Skip to content

Commit b156f16

Browse files
committed
rename entities according to spec
1 parent 8d84a03 commit b156f16

File tree

5 files changed

+57
-57
lines changed

5 files changed

+57
-57
lines changed

vunit/vhdl/verification_components/src/apb_completer.vhd

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -12,12 +12,12 @@ library osvvm;
1212
use osvvm.RandomPkg.RandomPType;
1313

1414
use work.memory_pkg.all;
15-
use work.apb_slave_pkg.all;
15+
use work.apb_completer_pkg.all;
1616
use work.logger_pkg.all;
1717

18-
entity apb_slave is
18+
entity apb_completer is
1919
generic (
20-
bus_handle : apb_slave_t
20+
bus_handle : apb_completer_t
2121
);
2222
port (
2323
clk : in std_logic;
@@ -32,7 +32,7 @@ entity apb_slave is
3232
);
3333
end entity;
3434

35-
architecture a of apb_slave is
35+
architecture a of apb_completer is
3636

3737
begin
3838

vunit/vhdl/verification_components/src/apb_completer_pkg.vhd

Lines changed: 8 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -15,9 +15,9 @@ use work.logger_pkg.all;
1515
use work.memory_pkg.memory_t;
1616
use work.memory_pkg.to_vc_interface;
1717

18-
package apb_slave_pkg is
18+
package apb_completer_pkg is
1919

20-
type apb_slave_t is record
20+
type apb_completer_t is record
2121
-- Private
2222
p_actor : actor_t;
2323
p_memory : memory_t;
@@ -27,30 +27,30 @@ package apb_slave_pkg is
2727
p_ready_high_probability : real range 0.0 to 1.0;
2828
end record;
2929

30-
constant apb_slave_logger : logger_t := get_logger("vunit_lib:apb_slave_pkg");
31-
impure function new_apb_slave(
30+
constant apb_completer_logger : logger_t := get_logger("vunit_lib:apb_completer_pkg");
31+
impure function new_apb_completer(
3232
memory : memory_t;
3333
logger : logger_t := null_logger;
3434
actor : actor_t := null_actor;
3535
drive_invalid : boolean := true;
3636
drive_invalid_val : std_logic := 'X';
3737
ready_high_probability : real := 1.0)
38-
return apb_slave_t;
38+
return apb_completer_t;
3939

4040
constant slave_write_msg : msg_type_t := new_msg_type("apb slave write");
4141
constant slave_read_msg : msg_type_t := new_msg_type("apb slave read");
4242
end package;
4343

44-
package body apb_slave_pkg is
44+
package body apb_completer_pkg is
4545

46-
impure function new_apb_slave(
46+
impure function new_apb_completer(
4747
memory : memory_t;
4848
logger : logger_t := null_logger;
4949
actor : actor_t := null_actor;
5050
drive_invalid : boolean := true;
5151
drive_invalid_val : std_logic := 'X';
5252
ready_high_probability : real := 1.0)
53-
return apb_slave_t is
53+
return apb_completer_t is
5454
variable actor_tmp : actor_t := null_actor;
5555
variable logger_tmp : logger_t := null_logger;
5656
begin

vunit/vhdl/verification_components/src/apb_requester.vhd

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -19,11 +19,11 @@ use work.runner_pkg.all;
1919
use work.run_pkg.all;
2020
use work.run_types_pkg.all;
2121
use work.log_levels_pkg.all;
22-
use work.apb_master_pkg.all;
22+
use work.apb_requester_pkg.all;
2323

24-
entity apb_master is
24+
entity apb_requester is
2525
generic (
26-
bus_handle : apb_master_t
26+
bus_handle : apb_requester_t
2727
);
2828
port (
2929
clk : in std_logic;
@@ -38,7 +38,7 @@ entity apb_master is
3838
);
3939
end entity;
4040

41-
architecture behav of apb_master is
41+
architecture behav of apb_requester is
4242
constant message_queue : queue_t := new_queue;
4343
signal idle_bus : boolean := true;
4444

vunit/vhdl/verification_components/src/apb_requester_pkg.vhd

Lines changed: 33 additions & 33 deletions
Original file line numberDiff line numberDiff line change
@@ -16,71 +16,71 @@ use work.sync_pkg.all;
1616
use work.memory_pkg.memory_t;
1717
use work.memory_pkg.to_vc_interface;
1818

19-
package apb_master_pkg is
19+
package apb_requester_pkg is
2020

21-
type apb_master_t is record
21+
type apb_requester_t is record
2222
-- Private
2323
p_bus_handle : bus_master_t;
2424
p_drive_invalid : boolean;
2525
p_drive_invalid_val : std_logic;
2626
end record;
2727

28-
impure function new_apb_master(
28+
impure function new_apb_requester(
2929
data_length : natural;
3030
address_length : natural;
3131
logger : logger_t := null_logger;
3232
actor : actor_t := null_actor;
3333
drive_invalid : boolean := true;
3434
drive_invalid_val : std_logic := 'X'
35-
) return apb_master_t;
35+
) return apb_requester_t;
3636

37-
function get_logger(bus_handle : apb_master_t) return logger_t;
37+
function get_logger(bus_handle : apb_requester_t) return logger_t;
3838

3939
-- Blocking: Write the bus
4040
procedure write_bus(signal net : inout network_t;
41-
constant bus_handle : apb_master_t;
41+
constant bus_handle : apb_requester_t;
4242
constant address : std_logic_vector;
4343
constant data : std_logic_vector;
4444
-- default byte enable is all bytes
4545
constant byte_enable : std_logic_vector := "");
4646
procedure write_bus(signal net : inout network_t;
47-
constant bus_handle : apb_master_t;
47+
constant bus_handle : apb_requester_t;
4848
constant address : natural;
4949
constant data : std_logic_vector;
5050
-- default byte enable is all bytes
5151
constant byte_enable : std_logic_vector := "");
5252

5353
-- Non blocking: Read the bus returning a reference to the future reply
5454
procedure read_bus(signal net : inout network_t;
55-
constant bus_handle : apb_master_t;
55+
constant bus_handle : apb_requester_t;
5656
constant address : std_logic_vector;
5757
variable reference : inout bus_reference_t);
5858

5959
procedure read_bus(signal net : inout network_t;
60-
constant bus_handle : apb_master_t;
60+
constant bus_handle : apb_requester_t;
6161
constant address : natural;
6262
variable reference : inout bus_reference_t);
6363

6464
-- Blocking: read bus with immediate reply
6565
procedure read_bus(signal net : inout network_t;
66-
constant bus_handle : apb_master_t;
66+
constant bus_handle : apb_requester_t;
6767
constant address : std_logic_vector;
6868
variable data : inout std_logic_vector);
6969

7070
procedure read_bus(signal net : inout network_t;
71-
constant bus_handle : apb_master_t;
71+
constant bus_handle : apb_requester_t;
7272
constant address : natural;
7373
variable data : inout std_logic_vector);
7474

7575
-- Blocking: Read bus and check result against expected data
7676
procedure check_bus(signal net : inout network_t;
77-
constant bus_handle : apb_master_t;
77+
constant bus_handle : apb_requester_t;
7878
constant address : std_logic_vector;
7979
constant expected : std_logic_vector;
8080
constant msg : string := "");
8181

8282
procedure check_bus(signal net : inout network_t;
83-
constant bus_handle : apb_master_t;
83+
constant bus_handle : apb_requester_t;
8484
constant address : natural;
8585
constant expected : std_logic_vector;
8686
constant msg : string := "");
@@ -89,7 +89,7 @@ package apb_master_pkg is
8989
-- std_match If timeout is reached error with msg
9090
procedure wait_until_read_equals(
9191
signal net : inout network_t;
92-
bus_handle : apb_master_t;
92+
bus_handle : apb_requester_t;
9393
addr : std_logic_vector;
9494
value : std_logic_vector;
9595
timeout : delay_length := delay_length'high;
@@ -99,32 +99,32 @@ package apb_master_pkg is
9999
-- index set to value If timeout is reached error with msg
100100
procedure wait_until_read_bit_equals(
101101
signal net : inout network_t;
102-
bus_handle : apb_master_t;
102+
bus_handle : apb_requester_t;
103103
addr : std_logic_vector;
104104
idx : natural;
105105
value : std_logic;
106106
timeout : delay_length := delay_length'high;
107107
msg : string := "");
108108

109109
procedure wait_until_idle(signal net : inout network_t;
110-
handle : apb_master_t;
110+
handle : apb_requester_t;
111111
timeout : delay_length := max_timeout);
112112

113113
procedure wait_for_time(signal net : inout network_t;
114-
handle : apb_master_t;
114+
handle : apb_requester_t;
115115
delay : delay_length);
116116
end package;
117117

118-
package body apb_master_pkg is
118+
package body apb_requester_pkg is
119119

120-
impure function new_apb_master(
120+
impure function new_apb_requester(
121121
data_length : natural;
122122
address_length : natural;
123123
logger : logger_t := null_logger;
124124
actor : actor_t := null_actor;
125125
drive_invalid : boolean := true;
126126
drive_invalid_val : std_logic := 'X'
127-
) return apb_master_t is
127+
) return apb_requester_t is
128128
impure function create_bus (logger : logger_t) return bus_master_t is
129129
begin
130130
return new_bus(
@@ -148,14 +148,14 @@ package body apb_master_pkg is
148148
);
149149
end;
150150

151-
function get_logger(bus_handle : apb_master_t) return logger_t is
151+
function get_logger(bus_handle : apb_requester_t) return logger_t is
152152
begin
153153
return get_logger(bus_handle.p_bus_handle);
154154
end function;
155155

156156
-- Blocking: Write the bus
157157
procedure write_bus(signal net : inout network_t;
158-
constant bus_handle : apb_master_t;
158+
constant bus_handle : apb_requester_t;
159159
constant address : std_logic_vector;
160160
constant data : std_logic_vector;
161161
-- default byte enable is all bytes
@@ -165,7 +165,7 @@ package body apb_master_pkg is
165165
end procedure;
166166

167167
procedure write_bus(signal net : inout network_t;
168-
constant bus_handle : apb_master_t;
168+
constant bus_handle : apb_requester_t;
169169
constant address : natural;
170170
constant data : std_logic_vector;
171171
-- default byte enable is all bytes
@@ -176,31 +176,31 @@ package body apb_master_pkg is
176176

177177
-- Blocking: read bus with immediate reply
178178
procedure read_bus(signal net : inout network_t;
179-
constant bus_handle : apb_master_t;
179+
constant bus_handle : apb_requester_t;
180180
constant address : std_logic_vector;
181181
variable data : inout std_logic_vector) is
182182
begin
183183
read_bus(net, bus_handle.p_bus_handle, address, data);
184184
end procedure;
185185

186186
procedure read_bus(signal net : inout network_t;
187-
constant bus_handle : apb_master_t;
187+
constant bus_handle : apb_requester_t;
188188
constant address : natural;
189189
variable data : inout std_logic_vector) is
190190
begin
191191
read_bus(net, bus_handle.p_bus_handle, address, data);
192192
end procedure;
193193

194194
procedure read_bus(signal net : inout network_t;
195-
constant bus_handle : apb_master_t;
195+
constant bus_handle : apb_requester_t;
196196
constant address : natural;
197197
variable reference : inout bus_reference_t) is
198198
begin
199199
read_bus(net, bus_handle.p_bus_handle, address, reference);
200200
end procedure;
201201

202202
procedure read_bus(signal net : inout network_t;
203-
constant bus_handle : apb_master_t;
203+
constant bus_handle : apb_requester_t;
204204
constant address : std_logic_vector;
205205
variable reference : inout bus_reference_t) is
206206
begin
@@ -209,7 +209,7 @@ package body apb_master_pkg is
209209

210210
-- Blocking: Read bus and check result against expected data
211211
procedure check_bus(signal net : inout network_t;
212-
constant bus_handle : apb_master_t;
212+
constant bus_handle : apb_requester_t;
213213
constant address : std_logic_vector;
214214
constant expected : std_logic_vector;
215215
constant msg : string := "") is
@@ -218,7 +218,7 @@ package body apb_master_pkg is
218218
end procedure;
219219

220220
procedure check_bus(signal net : inout network_t;
221-
constant bus_handle : apb_master_t;
221+
constant bus_handle : apb_requester_t;
222222
constant address : natural;
223223
constant expected : std_logic_vector;
224224
constant msg : string := "") is
@@ -230,7 +230,7 @@ package body apb_master_pkg is
230230
-- std_match If timeout is reached error with msg
231231
procedure wait_until_read_equals(
232232
signal net : inout network_t;
233-
bus_handle : apb_master_t;
233+
bus_handle : apb_requester_t;
234234
addr : std_logic_vector;
235235
value : std_logic_vector;
236236
timeout : delay_length := delay_length'high;
@@ -243,7 +243,7 @@ package body apb_master_pkg is
243243
-- index set to value If timeout is reached error with msg
244244
procedure wait_until_read_bit_equals(
245245
signal net : inout network_t;
246-
bus_handle : apb_master_t;
246+
bus_handle : apb_requester_t;
247247
addr : std_logic_vector;
248248
idx : natural;
249249
value : std_logic;
@@ -254,14 +254,14 @@ package body apb_master_pkg is
254254
end procedure;
255255

256256
procedure wait_until_idle(signal net : inout network_t;
257-
handle : apb_master_t;
257+
handle : apb_requester_t;
258258
timeout : delay_length := max_timeout) is
259259
begin
260260
wait_until_idle(net, handle.p_bus_handle.p_actor, timeout);
261261
end procedure;
262262

263263
procedure wait_for_time(signal net : inout network_t;
264-
handle : apb_master_t;
264+
handle : apb_requester_t;
265265
delay : delay_length) is
266266
begin
267267
wait_for_time(net, handle.p_bus_handle.p_actor, delay);

0 commit comments

Comments
 (0)