From 91aa9daf84328add1f90f56e1caa994250f96b46 Mon Sep 17 00:00:00 2001 From: RndMnkIII Date: Sat, 8 Mar 2025 10:24:00 +0100 Subject: [PATCH 01/12] Pre-Analogizer changes to openFPGA NES Core This changes are made to allow to use some special features relevant for Analogizer: native Zapper Lightgun, Enable/Disable DeJitter timing and use additional clock for video DAC. --- rtl/nes.v | 3 ++- rtl/nes_top.sv | 15 ++++++++++----- target/pocket/core_constraints.sdc | 3 ++- target/pocket/save_state_controller.sv | 4 ++-- 4 files changed, 16 insertions(+), 9 deletions(-) diff --git a/rtl/nes.v b/rtl/nes.v index 2eb9f58..3fa5e5f 100644 --- a/rtl/nes.v +++ b/rtl/nes.v @@ -93,6 +93,7 @@ module NES( input [4:0] audio_channels, // Enabled audio channels input ex_sprites, input [1:0] mask, + input dejitter_timing, // Access signals for the SDRAM. output [24:0] cpumem_addr, @@ -296,7 +297,7 @@ always @(posedge clk) begin if (|faux_pixel_cnt) faux_pixel_cnt <= faux_pixel_cnt - 1'b1; - if (((skip_pixel && ~corepause_active) || (skip_pixel_pause && corepause_active)) && (faux_pixel_cnt == 0)) begin + if ((((skip_pixel && ~corepause_active) || (skip_pixel_pause && corepause_active)) && (faux_pixel_cnt == 0)) && !dejitter_timing) begin freeze_clocks <= 1'b1; faux_pixel_cnt <= {div_ppu_n - 1'b1, 1'b0} + 1'b1; end diff --git a/rtl/nes_top.sv b/rtl/nes_top.sv index 555561b..ff3dc47 100644 --- a/rtl/nes_top.sv +++ b/rtl/nes_top.sv @@ -56,15 +56,19 @@ module nes_top ( input wire p4_dpad_down, input wire p4_dpad_left, input wire p4_dpad_right, + + //Analogizer SNAC Zapper (always on P2 port) + input wire SNAC_Zapper_Trigger, + input wire SNAC_Zapper_Light, // Settings input wire hide_overscan, input wire [1:0] mask_vid_edges, input wire allow_extra_sprites, input wire [2:0] selected_palette, - + input dejitter_timing, input wire multitap_enabled, - input wire lightgun_enabled, + input wire [1:0] lightgun_enabled, //added bit one to check for Analogizer SNAC Zapper input wire [7:0] lightgun_dpad_aim_speed, input wire [2:0] turbo_speed, @@ -219,6 +223,7 @@ module nes_top ( .cycle (cycle), .scanline (scanline), .mask (mask_vid_edges), + .dejitter_timing(dejitter_timing), // User Input .joypad_out (joypad_out), .joypad_clock (joypad_clock), @@ -366,7 +371,7 @@ module nes_top ( wire paddle_btn = 0; wire [4:0] joypad1_data = {2'b0, mic, paddle_en & paddle_btn, joypad_bits[0]}; // Upper 4 bits are other peripherals - wire [4:0] joypad2_data = {trigger, light, 2'b0, joypad_bits2[0]}; + wire [4:0] joypad2_data = {(lightgun_enabled[1] ? SNAC_Zapper_Trigger : trigger), (lightgun_enabled[1] ? SNAC_Zapper_Light : light), 2'b0, joypad_bits2[0]}; //Added check for Analogizer SNAC Zapper wire [7:0] nes_joy_A = { p1_dpad_right, @@ -418,7 +423,7 @@ module nes_top ( zapper zap ( .clk(clk_ppu_21_47), - .reset(reset_nes | ~lightgun_enabled), + .reset(reset_nes | ~lightgun_enabled[0]), .dpad_up(p1_dpad_up), .dpad_down(p1_dpad_down), .dpad_left(p1_dpad_left), @@ -852,7 +857,7 @@ module nes_top ( .load_color_index(pal_index), .emphasis(emphasis), // Zapper - .reticle(lightgun_enabled ? reticle : 2'b00), + .reticle(lightgun_enabled[0] ? reticle : 2'b00), .pal_video(pal_video), // .ce_pix(ce_pix), diff --git a/target/pocket/core_constraints.sdc b/target/pocket/core_constraints.sdc index 6479252..9873d50 100644 --- a/target/pocket/core_constraints.sdc +++ b/target/pocket/core_constraints.sdc @@ -9,7 +9,8 @@ set_clock_groups -asynchronous \ -group { clk_74a } \ -group { clk_74b } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|*[0].*|divclk \ - ic|mp1|mf_pllbase_inst|altera_pll_i|*[1].*|divclk } \ + ic|mp1|mf_pllbase_inst|altera_pll_i|*[1].*|divclk \ + ic|mp1|mf_pllbase_inst|altera_pll_i|*[4].*|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|*[2].*|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|*[3].*|divclk } \ -group { ic|audio_mixer|audio_pll|mf_audio_pll_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk \ diff --git a/target/pocket/save_state_controller.sv b/target/pocket/save_state_controller.sv index b4d9ecf..52bfa65 100644 --- a/target/pocket/save_state_controller.sv +++ b/target/pocket/save_state_controller.sv @@ -129,7 +129,7 @@ module save_state_controller ( ); defparam fifo_load.intended_device_family = "Cyclone V", fifo_load.lpm_numwords = 4096, fifo_load.lpm_showahead = "OFF", fifo_load.lpm_type = "dcfifo_mixed_widths", - fifo_load.lpm_width = 32, fifo_load.lpm_widthu = 12, + fifo_load.lpm_width = 32, fifo_load.lpm_widthu = 12, fifo_load.ram_block_type = "M10K", fifo_load.lpm_widthu_r = 11, fifo_load.lpm_width_r = 64, fifo_load.overflow_checking = "OFF", fifo_load.rdsync_delaypipe = 5, fifo_load.underflow_checking = "ON", fifo_load.use_eab = "ON", fifo_load.wrsync_delaypipe = 5, fifo_load.write_aclr_synch = "ON"; @@ -163,7 +163,7 @@ module save_state_controller ( ); defparam fifo_save.intended_device_family = "Cyclone V", fifo_save.lpm_numwords = 4, fifo_save.lpm_showahead = "OFF", fifo_save.lpm_type = "dcfifo_mixed_widths", - fifo_save.lpm_width = 64, fifo_save.lpm_widthu = 2, fifo_save.lpm_widthu_r = 3, + fifo_save.lpm_width = 64, fifo_save.lpm_widthu = 2, fifo_save.ram_block_type = "M10K", fifo_save.lpm_widthu_r = 3, fifo_save.lpm_width_r = 32, fifo_save.overflow_checking = "ON", fifo_save.rdsync_delaypipe = 5, fifo_save.underflow_checking = "ON", fifo_save.use_eab = "ON", fifo_save.wrsync_delaypipe = 5; From 2e11a6a94c010f885e7c0e125e48192be88c0207 Mon Sep 17 00:00:00 2001 From: RndMnkIII Date: Sat, 8 Mar 2025 11:10:35 +0100 Subject: [PATCH 02/12] Analogizer Support --- README.md | 114 ++- analogizer/analogizer.qip | 14 + analogizer/analogizer_psx.sv | 210 +++++ analogizer/clock_divider_fract.v | 17 + analogizer/csync.v | 34 + analogizer/dualshock_controller.v | 365 +++++++++ analogizer/hq2x.sv | 371 +++++++++ analogizer/openFPGA_Pocket_Analogizer.v | 483 ++++++++++++ analogizer/openFPGA_Pocket_Analogizer_SNAC.sv | 500 ++++++++++++ .../pcengine_game_controller_multitap.v | 233 ++++++ analogizer/pcengine_gc.v | 195 +++++ analogizer/psPAD_top.v | 664 ++++++++++++++++ analogizer/psx_control.v | 123 +++ analogizer/scandoubler.v | 289 +++++++ analogizer/scandoubler_2.v | 211 +++++ analogizer/scanlines.v | 68 ++ analogizer/scanlines_analogizer.v | 68 ++ analogizer/serlatch_gc.v | 367 +++++++++ analogizer/sync_fix.v | 28 + analogizer/two_button_press_detector.v | 53 ++ analogizer/uart_tx.v | 147 ++++ analogizer/vga_out_sw.v | 72 ++ analogizer/yc_out.sv | 264 +++++++ pkg/pocket/Cores/agg23.NES/core.json | 30 +- pkg/pocket/Cores/agg23.NES/data.json | 14 +- pkg/pocket/Cores/agg23.NES/interact.json | 39 +- projects/nes_pocket.qsf | 20 +- target/pocket/core.qip | 1 + target/pocket/core_top.v | 724 +++++++++++------- target/pocket/mf_pllbase_pal.ppf | 17 + target/pocket/mf_pllbase_pal.qip | 337 ++++++++ target/pocket/mf_pllbase_pal.v | 261 +++++++ .../mf_pllbase_pal/mf_pllbase_pal_0002.qip | 4 + .../mf_pllbase_pal/mf_pllbase_pal_0002.v | 99 +++ 34 files changed, 6147 insertions(+), 289 deletions(-) create mode 100644 analogizer/analogizer.qip create mode 100644 analogizer/analogizer_psx.sv create mode 100644 analogizer/clock_divider_fract.v create mode 100644 analogizer/csync.v create mode 100644 analogizer/dualshock_controller.v create mode 100644 analogizer/hq2x.sv create mode 100644 analogizer/openFPGA_Pocket_Analogizer.v create mode 100644 analogizer/openFPGA_Pocket_Analogizer_SNAC.sv create mode 100644 analogizer/pcengine_game_controller_multitap.v create mode 100644 analogizer/pcengine_gc.v create mode 100644 analogizer/psPAD_top.v create mode 100644 analogizer/psx_control.v create mode 100644 analogizer/scandoubler.v create mode 100644 analogizer/scandoubler_2.v create mode 100644 analogizer/scanlines.v create mode 100644 analogizer/scanlines_analogizer.v create mode 100644 analogizer/serlatch_gc.v create mode 100644 analogizer/sync_fix.v create mode 100644 analogizer/two_button_press_detector.v create mode 100644 analogizer/uart_tx.v create mode 100644 analogizer/vga_out_sw.v create mode 100644 analogizer/yc_out.sv create mode 100644 target/pocket/mf_pllbase_pal.ppf create mode 100644 target/pocket/mf_pllbase_pal.qip create mode 100644 target/pocket/mf_pllbase_pal.v create mode 100644 target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip create mode 100644 target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.v diff --git a/README.md b/README.md index 565f8b3..42928a9 100644 --- a/README.md +++ b/README.md @@ -17,7 +17,8 @@ To install the core, copy the `Assets`, `Cores`, and `Platform` folders over to ROMs should be placed in `/Assets/nes/common` -PAL ROMs should boot, but there will be timing and sound issues as the core currently doesn't properly support PAL (proper support coming soon). I highly recommend you do not play PAL games, and instead use NTSC games (if they exist) at this time. +PAL ROMs are supported with proper timing and sound pitch. The NES ROM headers should be at least iNES2.0 version to correctly identify the ROM region. NES ROMs with legacy header or marked as MultiSystem will be +booted as NTSC. ## Features @@ -27,15 +28,14 @@ Core supports four players/controllers via the Analogue Dock. To enable four pla ### Mappers -This core has pairity with the MiSTer core's mapper support. [See the full breakdown here](https://github.com/MiSTer-devel/NES_MiSTer#supported-mappers). Please note that the VRC7 expansion audio chip is not supported in this core (but is in MiSTer) due to space constraints. +This core has pairity with the MiSTer core's mapper support. [See the full breakdown here](https://github.com/MiSTer-devel/NES_MiSTer#supported-mappers). ### Save States/Sleep + Wake Known as "Memories" on the Pocket, this core supports the creation and loading of save states for most mappers. See the full list in the [Mappers section](#mappers). By extension, the core supports Sleep + Wake functionality on the Pocket. In games with supported mappers, tapping the power button while playing will suspend the game, ready to be resumed when powering the Pocket back on. -### Saves - -Supports saves for most games and mappers. Saving on the NES is rather complicated due to the different scenarios for different mappers, so it's possible some less common mappers do not save correctly on this core. Please report all such issues to this repo. +### Save States/Sleep + Wake and Saves +Are supported. ### Controller Turbo @@ -72,7 +72,7 @@ For testing, or to temporarily load a new palette, you can choose the `Load Cust ### Video Options There are several options provided for tweaking the displayed video: - +* `Video Dejitter` - Intended for use with Analogizer video output with a CRT screen to mimick the real behaviour of the NES. Disable it for use with the Pocket screen, the Dock output or Video Scalers as the OSSC. * `Hide Overscan` - Hides the top and bottom 8 pixels of the video, which would normally be masked by the CRT. Adjusts the aspect ratio to correspond with this modification. This option does nothing in PAL mode * `Edge Masking` - Masks the sides of the screen in black, depending on the chosen option. The auto setting automatically masks the left side when certain conditions are met. * `Square Pixels` - The internal resolution of the NES is a 8:7 pixel aspect ratio (wide pixels), which roughly corresponds to what users would see on 4:3 display aspect ratio CRTs. Some games are designed to be displayed at 8:7 PAR (the core's default), and others at 1:1 PAR (square pixels). The `Square Pixels` option is provided to switch to a 1:1 pixel aspect ratio. @@ -80,6 +80,106 @@ There are several options provided for tweaking the displayed video: ### Lightguns -Core supports virtual lightguns by enabling the "Use Zapper" setting. The crosshair can be controlled with the D-Pad or left joystick, using the A button to fire. D-Pad aim sensitivity can be adjusted with the "D-Pad Aim Speed" setting. +Core supports virtual lightguns by enabling the `Use Zapper > Emulated Zapper (Stick)` setting. The crosshair can be controlled with the D-Pad or left joystick, using the A button to fire. D-Pad aim sensitivity can be adjusted with the "D-Pad Aim Speed" setting. In addition, the Analogizer core version supports directly connecting the Zapper gun using a SNAC NES adapter by enabling the `Use Zapper > SNAC Zapper` setting **NOTE:** Joystick support for aiming only appears to work when a controller is paired over Bluetooth and not connected to the Analogue Dock directly by USB. + +### Analogizer + +This Analogizer core use a configuration file to select Analogizer adapter options, not based on the Pocket's menu system. It is necessary to use [Pupdate](https://github.com/mattpannella/pupdate) release >= 4.3.1 or run an external [utility](https://github.com/RndMnkIII/AnalogizerConfigurator) to generate such a file. Once generated, you must copy the `analogizer.bin` file to the `/Assets/analogizer/common` folder on the Pocket SD card. If this folder does not exist, you must create it or if you have already extracted the Amiga core distribution file it will be created. Pupdate does all actions automatically after running this tool. Inside Pupdate navigate to: `Pocket Setup>Analogizer Config>Standard Analogizer Config`, choose Analogizer settings and exit to save to file. + +For the PAL/NTSC/Dendy ROM detection the Chip32 loader reads the NES game ROM header previously to load the core to decode the system type, this needs a iNES2.0 ROM header. If the ROM that are you using is of an older header type or a MultiSystem ROM is detected the core will boot into NTSC mode. + +At the moment I cannot add an option to the core menu to force a ROM to load using a system type (NTSC, PAL or Dendy) due to the way the core loader works. I hope to provide a solution to this later. + + + +Tested NES SNAC adapters working with the Zapper lightgun: +* https://ultimatemister.com/product/ultimate-snac-mini-hdmi/ +* Blue212 based design (uses two board, a common board and console specific connector board). https://manuferhi.com/p/snac-adapter-for-mister with the [two port NES](https://www.etsy.com/de-en/listing/1556489601/mister-fpga-snac-adapter-nes-2p) connector or [one port](https://www.etsy.com/de-en/listing/1781156747/mister-snac-adapter-nes-vertical). Any SNAC adapter based on Blue212 design will be work. + +Recomended settings inside Pupdate (PocketSetup>Analogizer Config>Standard Analogizer Config) for use the NES Zapper lightgun with a NES SNAC adapter: +``` +SNAC Controller: NES - Nintendo Entertainment System gamepad +SNAC Assigments: SNAC P1,P2 -> Pocket P1,P2 +``` + +Recomended settings with NES Core Pocket menu for use the NES Zapper lightgun with a NES SNAC adapter: +``` +Use Zapper > SNAC Zapper +``` + +Connect the Zapper to the second port of the NES SNAC adapter (if you have the two ports version) or to the first port (if you have the one port version). + +For use with PSX Analog stick emulating the reticle lightgun. Use this settings inside Pupdate (PocketSetup>Analogizer Config>Standard Analogizer Config): +``` +SNAC Controller: PSX (Analog PAD) - PlayStation 1/2 analog gamepad +SNAC Assigments: SNAC P1,P2 -> Pocket P1,P2 +``` + +Recomended settings with NES Core Pocket menu for use the NES Zapper lightgun with a NES SNAC adapter: +``` +Use Zapper > Emulated Zapper (Stick) +``` + +Use the PSX game controller connected to the first port of th PSX SNAC adapter. + +Analogizer support added by RndMnkIII. See more in the Analogizer main repository: [Analogizer](https://github.com/RndMnkIII/Analogizer) + +Adapted to Analogizer by [@RndMnkIII](https://github.com/RndMnkIII) based on **agg23** NES for Analogue Pocket: +https://github.com/agg23/openfpga-NES + +The core can output RGBS, RGsB, YPbPr, Y/C and SVGA scandoubler (50% scanlines) video signals. +| Video output | Status | SOG Switch(Only R2,R3 Analogizer) | +| :----------- | :----: | :-------------------------------: | +| RGBS | ✅ | Off | +| RGsB | ✅ | On | +| YPbPr | ✅🔹 | On | +| Y/C NTSC | ✅ | Off | +| Y/C PAL | ✅ | Off | +| Scandoubler | ✅ | Off | + +🔹 Tested with Sony PVM-9044D + +| :SNAC game controller: | Analogizer A/B config Switch | Status | +| :---------------------- | :--------------------------- | :----: | +| DB15 | A | ✅ | +| NES/Zapper | A | ✅ | +| SNES | A | ✅ | +| PCENGINE | A | ✅ | +| PCE MULTITAP | A | ✅ | +| PSX DS/DS2 Digital DPAD | B | ✅ | +| PSX DS/DS2 Analog DPAD | B | ✅ | + +The Analogizer interface allow to mix game inputs from compatible SNAC gamepads supported by Analogizer (DB15 Neogeo, NES, SNES, PCEngine, PSX) with Analogue Pocket built-in controls or from Dock USB or wireless supported controllers (Analogue support). + +All Analogizer adapter versions (v1, v2 and v3) has a side slide switch labeled as 'A B' that must be configured based on the used SNAC game controller. +For example for use it with PSX Dual Shock or Dual Shock 2 native gamepad you must position the switch lever on the B side position. For the remaining +game controllers you must switch the lever on the A side position. +Be careful when handling this switch. Use something with a thin, flat tip such as a precision screwdriver with a 2.0mm flat blade for example. Place the tip on the switch lever and press gently until it slides into the desired position: + +``` + --- + B|O |A A/B switch on position B + --- + --- + B| O|A A/B switch on position A + --- +``` + +* **Analogizer** is responsible for generating the correct encoded Y/C signals from RGB and outputs to R,G pins of VGA port. Also redirects the CSync to VGA HSync pin. +The required external Y/C adapter that connects to VGA port is responsible for output Svideo o composite video signal using his internal electronics. Oficially +only the Mike Simone Y/C adapters (active) designs will be supported by Analogizer and will be the ones to use. +However, depending on the type of screen you have, passive Y/C adapters could work with different degrees of success. + +Support native PCEngine/TurboGrafx-16 2btn, 6 btn gamepads and 5 player multitap using SNAC adapter +and PC Engine cable harness (specific for Analogizer). Many thanks to [Mike Simone](https://github.com/MikeS11/MiSTerFPGA_YC_Encoder) for his great Y/C Encoder project. + +You will need to connect an active VGA to Y/C adapter to the VGA port (the 5V power is provided by VGA pin 9). I'll recomend one of these (active): +* [MiSTerAddons - Active Y/C Adapter](https://misteraddons.com/collections/parts/products/yc-active-encoder-board/) +* [MikeS11 Active VGA to Composite / S-Video](https://ultimatemister.com/product/mikes11-active-composite-svideo/) +* [Active VGA->Composite/S-Video adapter](https://antoniovillena.com/product/mikes1-vga-composite-adapter/) + +Using another type of Y/C adapter not tested to be used with Analogizer will not receive official support. + + diff --git a/analogizer/analogizer.qip b/analogizer/analogizer.qip new file mode 100644 index 0000000..6577529 --- /dev/null +++ b/analogizer/analogizer.qip @@ -0,0 +1,14 @@ +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) openFPGA_Pocket_Analogizer.v] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) vga_out_sw.v] +set_global_assignment -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) openFPGA_Pocket_Analogizer_SNAC.sv] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) clock_divider_fract.v] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) serlatch_gc.v] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) pcengine_gc.v] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) pcengine_game_controller_multitap.v] +set_global_assignment -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) yc_out.sv] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) scandoubler.v] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) scandoubler_2.v] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) scanlines_analogizer.v] +set_global_assignment -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) hq2x.sv] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) dualshock_controller.v] +set_global_assignment -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) analogizer_psx.sv] diff --git a/analogizer/analogizer_psx.sv b/analogizer/analogizer_psx.sv new file mode 100644 index 0000000..a7cc86e --- /dev/null +++ b/analogizer/analogizer_psx.sv @@ -0,0 +1,210 @@ + +// convert dualshock to Analogizer Analogue Pocket format +// @RndMnkIII. 11/2024 +//Analogue pocket format +//key1 +// Pocket logic button order: +// [0] dpad_up +// [1] dpad_down +// [2] dpad_left +// [3] dpad_right +// [4] face_a +// [5] face_b +// [6] face_x +// [7] face_y +// [8] trig_l1 +// [9] trig_r1 +// [10] trig_l2 +// [11] trig_r2 +// [12] trig_l3 +// [13] trig_r3 +// [14] face_select +// [15] face_start +// [28:16] +// [31:28] type: +// Type Field (4 bits) Description +// 0x0 Nothing connected +// 0x1 Pocket built-in buttons (only possible on Player 1) +// 0x2 Docked game controller, no analog support +// 0x3 Docked game controller, analog support +// 0x4 Docked keyboard +// 0x5 Docked mouse +// 0x7-0xF Reserved +//JOY +// [31:0] joy +// [ 7: 0] lstick_x +// [15: 8] lstick_y +// [23:16] rstick_x +// [31:24] rstick_y + +module analogizer_psx #(parameter MASTER_CLK_FREQ=50_000_000) ( + input i_clk, + input i_rst, + input i_ena, + input i_stb, + //Pocket control interface + output reg [15:0] key1, + output reg [31:0] joy1, + output reg [15:0] key2, + output reg [31:0] joy2, + //PSX INTERFACE + + input [1:0] i_VIB_SW1, // Vibration SW VIB_SW[0] Small Moter OFF 0:ON 1: + //VIB_SW[1] Bic Moter OFF 0:ON 1(Dualshook Only) + input [7:0] i_VIB_DAT1, // Vibration(Bic Moter)Data 8'H00-8'HFF (Dualshook Only) + input [1:0] i_VIB_SW2, + input [7:0] i_VIB_DAT2, + output PSX_CLK, + input PSX_DAT, + output PSX_CMD, + output PSX_ATT1, + output PSX_ATT2, + input PSX_ACK, + //output PSX_IRQ + output wire [3:0] DBG_TX +); + logic [7:0] rx0, rx1, rx2, rx3, rx4, rx5, rx6; + logic [7:0] RXD_ID; + reg att1r, att2r; + //reg [3:0] id1, id2; + reg no_gamepad; + //reg send_bytes1_stb, send_bytes2_stb; + + always @(posedge i_clk) begin + no_gamepad <= (&RXD_ID) & (&rx0); //both are FF no device detected + att1r <= PSX_ATT1; + att2r <= PSX_ATT2; + //send_bytes1_stb <= 0; + //send_bytes2_stb <= 0; + + if (~att1r & PSX_ATT1) begin //capture when ATT1 becomes idle + //send_bytes1_stb <= 1; + + if(no_gamepad) begin //no gamepad detected, default data + key1 <= 16'h00; + joy1 <= 32'h80808080; //neutral position + end + else + begin + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A LEFT RIGHT DOWN UP + key1 <= {~rx1[3], ~rx1[0],~rx1[2],~rx1[1],~rx2[1],~rx2[0],~rx2[3],~rx2[2],~rx2[7],~rx2[4],~rx2[6],~rx2[5],~rx1[5],~rx1[7],~rx1[6],~rx1[4]}; + // rstick_y rstick_x lstick_y lstick_x + joy1 <= {rx4,rx3,rx6,rx5}; + end + end + else if(~att2r & PSX_ATT2) begin //capture when ATT2 becomes idle + //send_bytes2_stb <= 1; + + if(no_gamepad) begin //no gamepad detected, default data + key2 <= 16'h00; + joy2 <= 32'h80808080; //neutral position + end + else + begin + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A LEFT RIGHT DOWN UP + key2 <= {~rx1[3], ~rx1[0],~rx1[2],~rx1[1],~rx2[1],~rx2[0],~rx2[3],~rx2[2],~rx2[7],~rx2[4],~rx2[6],~rx2[5],~rx1[5],~rx1[7],~rx1[6],~rx1[4]}; + // rstick_y rstick_x lstick_y lstick_x + joy2 <= {rx4,rx3,rx6,rx5}; + end + end + end + //assign DBG_TX = {PSX_ATT1,PSX_CLK,PSX_CMD,PSX_DAT}; //r_Tx_DV; + //assign DBG_TX = {dbgtx,dbgtx,dbgtx,1'b0}; + assign DBG_TX = {4'b0000}; + // Dualshock controller + + dualshock_controller #(.FREQ(MASTER_CLK_FREQ)) ds1 ( + .clk(i_clk), .i_RSTn(~i_rst), .i_ena(i_ena), .i_stb(i_stb), + .i_MULTITAP_ena(1'b0), .i_VIB_SW1(i_VIB_SW1), .i_VIB_DAT1(i_VIB_DAT1), .i_VIB_SW2(i_VIB_SW2), .i_VIB_DAT2(i_VIB_DAT2), + .o_psCLK(PSX_CLK), .o_ATT1(PSX_ATT1), .o_ATT2(PSX_ATT2), .o_psTXD(PSX_CMD), + .i_psRXD(PSX_DAT), .i_psACK(PSX_ACK), + .o_RXD_ID(RXD_ID), .o_RXD_0(rx0), + .o_RXD_1(rx1), .o_RXD_2(rx2), .o_RXD_3(rx3), + .o_RXD_4(rx4), .o_RXD_5(rx5), .o_RXD_6(rx6) + ); + + //debug as UART TX at 500000bps +// wire [7:0] lut[15:0]; +// +// assign lut[0]=8'h30; // ascii 0 +// assign lut[1]=8'h31; // ascii 1 +// assign lut[2]=8'h32; // ascii 2 +// assign lut[3]=8'h33; // ascii 3 +// assign lut[4]=8'h34; // ascii 4 +// assign lut[5]=8'h35; // ascii 5 +// assign lut[6]=8'h36; // ascii 6 +// assign lut[7]=8'h37; // ascii 7 +// assign lut[8]=8'h38; // ascii 8 +// assign lut[9]=8'h39; // ascii 9 +// assign lut[10]=8'h41; // ascii A +// assign lut[11]=8'h42; // ascii B +// assign lut[12]=8'h43; // ascii C +// assign lut[13]=8'h44; // ascii D +// assign lut[14]=8'h45; // ascii E +// assign lut[15]=8'h46; // ascii F +// +// reg r_Tx_DV; +// wire w_Tx_Done; +// reg [7:0] r_Tx_Byte; +// reg r_Rx_Serial; +// +// //cycle bytes to send +// reg [5:0] byte_cnt; +// +// always @(posedge i_clk) begin +// r_Tx_DV <= 1'b0; +// if(~i_rst) begin +// byte_cnt <= 6'd0; +// r_Tx_DV <= 1'b0; +// end +// else begin +// if(send_bytes1_stb || send_bytes2_stb) begin +// byte_cnt <= 6'd1; +// r_Tx_DV <= 1'b1; +// end +// else begin +// if ((byte_cnt < 6'd27) && w_Tx_Done) begin +// byte_cnt <= byte_cnt + 6'd1; +// r_Tx_DV <= 1'b1; +// end +// end +// end +// end +// +// always@(*) begin +// case(byte_cnt) +// 6'd01: r_Tx_Byte = "R"; +// 6'd02: r_Tx_Byte = "x"; +// 6'd03: r_Tx_Byte = ":"; +// 6'd04: r_Tx_Byte = lut[RXD_ID[7:4]]; +// 6'd05: r_Tx_Byte = lut[RXD_ID[3:0]]; +// 6'd07: r_Tx_Byte = lut[rx0[7:4]]; +// 6'd08: r_Tx_Byte = lut[rx0[3:0]]; +// 6'd10: r_Tx_Byte = lut[rx1[7:4]]; +// 6'd11: r_Tx_Byte = lut[rx1[3:0]]; +// 6'd13: r_Tx_Byte = lut[rx2[7:4]]; +// 6'd14: r_Tx_Byte = lut[rx2[3:0]]; +// 6'd16: r_Tx_Byte = lut[rx3[7:4]]; +// 6'd17: r_Tx_Byte = lut[rx3[3:0]]; +// 6'd19: r_Tx_Byte = lut[rx4[7:4]]; +// 6'd20: r_Tx_Byte = lut[rx4[3:0]]; +// 6'd22: r_Tx_Byte = lut[rx5[7:4]]; +// 6'd23: r_Tx_Byte = lut[rx5[3:0]]; +// 6'd25: r_Tx_Byte = lut[rx6[7:4]]; +// 6'd26: r_Tx_Byte = lut[rx6[3:0]]; +// 6'd27: r_Tx_Byte = 8'h0D; //carriage return +// default: r_Tx_Byte = " "; +// endcase +// end + + //i_clk 48_000_000 +// wire dbgtx; +// uart_tx #(.CLKS_PER_BIT(96)) UART_TX_INST +// (.i_Clock(i_clk), +// .i_Tx_DV(r_Tx_DV), //enable to send byte +// .i_Tx_Byte(r_Tx_Byte), +// .o_Tx_Active(), +// .o_Tx_Serial(dbgtx), +// .o_Tx_Done(w_Tx_Done) +// ); +endmodule \ No newline at end of file diff --git a/analogizer/clock_divider_fract.v b/analogizer/clock_divider_fract.v new file mode 100644 index 0000000..ae48802 --- /dev/null +++ b/analogizer/clock_divider_fract.v @@ -0,0 +1,17 @@ +`timescale 1ns / 1ps + +module clock_divider_fract ( + input wire i_clk, + input wire i_rst, + input wire [31:0] i_step, + output reg o_stb +); + reg [31:0] counter=33'd0; + + always @(posedge i_clk) begin + if(i_rst) //synchronous reset + counter <= 32'd0; + else + {o_stb,counter} <= counter + i_step; + end +endmodule \ No newline at end of file diff --git a/analogizer/csync.v b/analogizer/csync.v new file mode 100644 index 0000000..21c584d --- /dev/null +++ b/analogizer/csync.v @@ -0,0 +1,34 @@ +module csync +( + input clk, + input hsync, + input vsync, + + output csync +); + +assign csync = (csync_vs ^ csync_hs); + +reg csync_hs, csync_vs; +always @(posedge clk) begin + reg prev_hs; + reg [15:0] h_cnt, line_len, hs_len; + + // Count line/Hsync length + h_cnt <= h_cnt + 1'd1; + + prev_hs <= hsync; + if (prev_hs ^ hsync) begin + h_cnt <= 0; + if (hsync) begin + line_len <= h_cnt - hs_len; + csync_hs <= 0; + end + else hs_len <= h_cnt; + end + + if (~vsync) csync_hs <= hsync; + else if(h_cnt == line_len) csync_hs <= 1; + + csync_vs <= vsync; +end \ No newline at end of file diff --git a/analogizer/dualshock_controller.v b/analogizer/dualshock_controller.v new file mode 100644 index 0000000..d9e8622 --- /dev/null +++ b/analogizer/dualshock_controller.v @@ -0,0 +1,365 @@ +//------------------------------------------------------------------- +// +// PLAYSTATION CONTROLLER(DUALSHOCK TYPE) INTERFACE TOP +// +// Version : 2.00 +// +// Copyright(c) 2003 - 2004 Katsumi Degawa , All rights reserved +// +// Important ! +// +// This program is freeware for non-commercial use. +// An author does no guarantee about this program. +// You can use this under your own risk. +// +// 2003.10.30 It is optimized . by K Degawa +// 2023.12 nand2mario: rewrite without ripple clocks to improve stability +// remove fine-grained vibration control as we don't use it +// 2024.11 RndMnkIII: added capability to read two controllers using two ATT signals +// check when there is a game controller connected +// +//------------------------------------------------------------------- +`timescale 100ps/10ps + +// Protocol: https://store.curiousinventor.com/guides/PS2/ +// - Full duplex (command and data at the same time) +// - On negedge of clock, the line start to change. +// On posedge, values are read. +// - Command 0x01 0x42(cmd) 0x00 0x00 0x00 +// Data 0xFF 0x41 0x5A 0xFF(btns) 0xFF(btns) +// ^- mode + # of words + +//--------- SIMULATION ---------------------------------------------- +//`define SIMULATION_1 +// +// Poll controller status every 2^Timer clock cycles +// 125Khz / 2^11 = 61Hz +// +// SONY PLAYSTATION® CONTROLLER INFORMATION +// https://gamesx.com/controldata/psxcont/psxcont.htm +// +// "The DS4 stock polling rate is 250Hz 3-4 ms compared to the SN30 which is 67-75Hz 13-18 ms" +// https://www.reddit.com/r/8bitdo/comments/u8z3ag/has_anyone_managed_to_get_their_controllers/ +`ifdef SIMULATION_1 +`define Timer_siz 18 +`else +`define Timer_siz 11 +`endif + +module dualshock_controller #( + parameter FREQ // frequency of `clk` +) ( + input clk, // Any main clock faster than 1Mhz + input i_RSTn, // MAIN RESET + input i_ena, // Enable operation of the module + input i_stb, + //PSX interface + input i_MULTITAP_ena, + input [1:0] i_VIB_SW1, // Vibration SW VIB_SW[0] Small Moter OFF 0:ON 1: + // VIB_SW[1] Bic Moter OFF 0:ON 1(Dualshook Only) + input [7:0] i_VIB_DAT1, // Vibration(Bic Moter)Data 8'H00-8'HFF (Dualshook Only) + input [1:0] i_VIB_SW2, + input [7:0] i_VIB_DAT2, + output o_psCLK, // psCLK CLK OUT + output o_ATT1, // ATT1 OUT + output o_ATT2, // ATT1 OUT + output o_psTXD, // psTXD OUT + input i_psRXD, // psRXD IN + input i_psACK, //ACK + + //vibration control + output reg [7:0] o_RXD_ID, // RX DEVICE ID (UPPER NIBBLE) AND PAYLOAD SIZE (LOWER NIBBLE) + output reg [7:0] o_RXD_0, + output reg [7:0] o_RXD_1, // RX DATA 1 (8bit) + output reg [7:0] o_RXD_2, // RX DATA 2 (8bit) + output reg [7:0] o_RXD_3, // RX DATA 3 (8bit) + output reg [7:0] o_RXD_4, // RX DATA 4 (8bit) + output reg [7:0] o_RXD_5, // RX DATA 5 (8bit) + output reg [7:0] o_RXD_6 // RX DATA 6 (8bit) +); + +reg i_CLK ; // SPI clock at 125Khz + // some cheap controllers cannot handle the nominal 250Khz +reg R_CE, F_CE ; // rising and falling edge pulses of i_CLK + +// Generate i_CLK, F_CE, R_CE +always @(posedge clk) begin + //clk_cnt <= clk_cnt + 1; + //if(i_ena)clk_cnt <= clk_cnt + 1; + R_CE <= 0; + F_CE <= 0; + //if (clk_cnt == CLK_DELAY-1) begin + if (i_stb && i_ena) begin + i_CLK <= ~i_CLK; + R_CE <= ~i_CLK; + F_CE <= i_CLK; + //clk_cnt <= 0; + end +end + +reg ack_r/* synthesis noprune */; +always @(posedge clk) begin + if (i_stb && i_ena) begin + ack_r <= i_psACK; + end +end + +reg device_id_type ; //1'b1 digital one, 1'b0 analog one +always @(posedge clk) begin + if(! i_RSTn) device_id_type <= 1'b0; + else if (W_byte_cnt == 2) begin + case(o_RXD_ID) + 8'h23: device_id_type <= 1'b1; + 8'h41: device_id_type <= 1'b0; + 8'h53: device_id_type <= 1'b1; + 8'h73: device_id_type <= 1'b1; + 8'hE3: device_id_type <= 1'b1; + 8'hF3: device_id_type <= 1'b1; + 8'h80: device_id_type <= 1'b1; //multitap + default: device_id_type <= 1'b0; + endcase + end +end + +wire W_type = 1'b1 ; // DIGITAL PAD 0, ANALOG PAD 1 +wire [3:0] W_byte_cnt ; +wire W_RXWT ; +wire W_TXWT ; +wire W_TXEN ; +wire W_TXSET ; +reg [7:0]W_TXD_DAT /* synthesis noprune */; +wire [7:0]W_RXD_DAT ; + +ps_pls_gan pls( + .clk(clk), .R_CE(R_CE), .i_CLK(i_CLK), .i_RSTn(i_RSTn), .i_TYPE(device_id_type), + .o_RXWT(W_RXWT), .o_TXWT(W_TXWT), + .o_TXEN(W_TXEN), .o_psCLK(o_psCLK), + .o_ATT1(o_ATT1), .o_ATT2(o_ATT2), .o_byte_cnt(W_byte_cnt) +); + +ps_txd txd( + .clk(clk), .F_CE(F_CE), .i_RSTn(i_RSTn), + .i_WT(W_TXWT), .i_EN(W_TXEN), .i_TXD_DAT(W_TXD_DAT), .o_psTXD(o_psTXD) +); + +ps_rxd rxd( + .clk(clk), .R_CE(R_CE), .i_RSTn(i_RSTn), + .i_WT(W_RXWT), .i_psRXD(i_psRXD), .o_RXD_DAT(W_RXD_DAT) +); + +// TX command generation +always @* begin + case(W_byte_cnt) + 0: W_TXD_DAT = 8'h01; + 1: W_TXD_DAT = 8'h42; + 2: W_TXD_DAT = (i_MULTITAP_ena) ? 8'h01 : 8'h00; + // 3: W_TXD_DAT = 8'h00; // or vibration command + // 4: W_TXD_DAT = 8'h00; // or vibration command + 3: W_TXD_DAT = (~o_ATT1)? i_VIB_SW1[0] : ((~o_ATT2)? i_VIB_SW2[0] :8'h00 ); // or vibration command + 4: W_TXD_DAT = (~o_ATT1 && i_VIB_SW1[1])? i_VIB_DAT1 : ((~o_ATT2 && i_VIB_SW2[1])? i_VIB_DAT1 :8'h00 ); // or vibration command + default: W_TXD_DAT = 8'h00; + endcase +end + +// RX data decoding +//ID DESCRIPTION PAYLOAD_SIZE (half dwords) +// 1 Mouse 2 +// 9 Lightspan Keyboard SCPH-2000 6 +// 4 Digital Controller SCPH-1010 1 +// 5 Analog Joystick SCPH-1110 (Analog Mode) 3 +// 5 Dual Analog SCPH-1180 (Green LED mode) 3 +// 7 Dual Analog & Dual Shock 1/2 (Analog Mode) 3-8 +// 8 MultiTap disabled: based on device ID connected, enabled: 16 (4x8) + +reg W_RXWT_r ; + +always @(posedge clk) begin + W_RXWT_r <= W_RXWT; + if (~W_RXWT && W_RXWT_r) begin // record received value one cycle after RXWT + case (W_byte_cnt) + 1: o_RXD_ID <= W_RXD_DAT; + 2: o_RXD_0 <= W_RXD_DAT; + 3: o_RXD_1 <= W_RXD_DAT; + 4: o_RXD_2 <= W_RXD_DAT; + 5: o_RXD_3 <= W_RXD_DAT; + 6: o_RXD_4 <= W_RXD_DAT; + 7: o_RXD_5 <= W_RXD_DAT; + 8: o_RXD_6 <= W_RXD_DAT; + default:; + endcase + end +end + +endmodule + + +// timing signal generation module +module ps_pls_gan( + input clk, + input R_CE, + input i_CLK, + input i_RSTn, + input i_TYPE, + + output o_RXWT, // pulse to input RX byte + output o_TXWT, // pulse to output TX byte + output o_TXSET, + output o_TXEN, + output o_psCLK, // SPI clock to send to controller + output o_ATT1, // 0: active + output o_ATT2, // 0: active + output [3:0] o_byte_cnt // index for byte received +); + +parameter Timer_size = `Timer_siz; + +reg [3:0] o_byte_cnt_r ; +reg [`Timer_siz-1:0] Timer ; +reg RXWT, TXWT, TXSET ; +reg psCLK_gate ; // 0: send i_CLK on wire +reg psATT1 ; +reg psATT2 ; + +// increment timer on i_CLK rising edge +always @(posedge clk) begin + if (~i_RSTn) + Timer <= 0; + else if (R_CE) + Timer <= Timer+1; +end + +always @(posedge clk) begin + if (~i_RSTn) begin + psCLK_gate <= 1; + RXWT <= 0; + TXWT <= 0; + TXSET <= 0; + end else begin + TXWT <= 0; + RXWT <= 0; + TXSET <= 0; + if (R_CE) begin + case (Timer[4:0]) + 6: TXSET <= 1; + 9: TXWT <= 1; // pulse to set byte to send + 12: psCLK_gate <= 0; // send 8 cycles of clock: + 20: begin + psCLK_gate <= 1; // 13,14,15,16,17,18,19,20 + RXWT <= 1; // pulse to get received byte + end + default:; + endcase + end + end +end + +always @(posedge clk) begin + if (~i_RSTn) begin + psATT1 <= 1; + psATT2 <= 1; + end + else if (R_CE) begin + if (Timer[9:0] == 0) begin + psATT1 <= Timer[10]; //switch each 2^10 R_CE cycles + psATT2 <= ~Timer[10]; + end + else if ((i_TYPE == 0)&&(Timer[9:0] == 158)) begin// end of byte 4 + psATT1 <= 1; + psATT2 <= 1; + end + else if ((i_TYPE == 1)&&(Timer[9:0] == 286)) begin // end of byte 9 + psATT1 <= 1; + psATT2 <= 1; + end + + end +end + +always @(posedge clk) begin // update o_byte_cnt_r + if (!i_RSTn) + o_byte_cnt_r <= 0; + else if (R_CE) begin + if (Timer[9:0] == 0) + o_byte_cnt_r <= 0; + else begin + if (Timer[4:0] == 31) begin // received a byte + if (i_TYPE == 0 && o_byte_cnt_r == 5) + o_byte_cnt_r <= o_byte_cnt_r; + else if (i_TYPE == 1 && o_byte_cnt_r == 9) + o_byte_cnt_r <= o_byte_cnt_r; + else + o_byte_cnt_r <= o_byte_cnt_r + 4'd1; + end + end + end +end + +assign o_psCLK = psCLK_gate | i_CLK | ~(psATT1 ^ psATT2); +assign o_ATT1 = psATT1; +assign o_ATT2 = psATT2; +assign o_RXWT = (~psATT1 | ~psATT2) & RXWT; +assign o_TXSET = (~psATT1 | ~psATT2) & TXSET; +assign o_TXWT = (~psATT1 | ~psATT2) & TXWT; +assign o_TXEN = (~psATT1 | ~psATT2) & ~psCLK_gate; +assign o_byte_cnt = o_byte_cnt_r; + +endmodule + +// receiver +module ps_rxd( + input clk, + input R_CE, // one bit is transmitted on rising edge + input i_RSTn, + input i_WT, // pulse to output byte to o_RXD_DAT + input i_psRXD, + output reg [7:0] o_RXD_DAT +); + +reg [7:0] sp; + +always @(posedge clk) + if (~i_RSTn) begin + sp <= 1; + o_RXD_DAT <= 1; + end else begin + if (R_CE) // posedge i_CLK + sp <= { i_psRXD, sp[7:1]}; + if (i_WT) + o_RXD_DAT <= sp; + end + +endmodule + +// transmitter +module ps_txd ( + input clk, + input F_CE, // transmit on falling edge of i_CLK + input i_RSTn, + input i_WT, // pulse to load data to transmit + input i_EN, // 1 to do transmission + input [7:0] i_TXD_DAT, // byte to transmit, lowest bit first + output reg o_psTXD // output pin +); + +reg [7:0] ps; // data buffer + +always @(posedge clk) begin + if (~i_RSTn) begin + o_psTXD <= 1; + ps <= 0; + end else begin + if (i_WT) + ps <= i_TXD_DAT; + if (F_CE) begin // bit is sent on falling edge of i_CLK + if (i_EN) begin + o_psTXD <= ps[0]; + ps <= {1'b1, ps[7:1]}; + end else begin + o_psTXD <= 1'd1; + ps <= ps; + end + end + end +end + +endmodule \ No newline at end of file diff --git a/analogizer/hq2x.sv b/analogizer/hq2x.sv new file mode 100644 index 0000000..e70c9c5 --- /dev/null +++ b/analogizer/hq2x.sv @@ -0,0 +1,371 @@ +// +// +// Copyright (c) 2012-2013 Ludvig Strigeus +// Copyright (c) 2017,2018 Sorgelig +// +// This program is GPL Licensed. See COPYING for the full license. +// +// +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +// altera message_off 10030 + +module Hq2x #(parameter LENGTH, parameter HALF_DEPTH) +( + input clk, + + input ce_in, + input [DWIDTH:0] inputpixel, + input mono, + input disable_hq2x, + input reset_frame, + input reset_line, + + input ce_out, + input [1:0] read_y, + input hblank, + output [DWIDTH:0] outpixel +); + + +localparam AWIDTH = $clog2(LENGTH)-1; +localparam DWIDTH = HALF_DEPTH ? 11 : 23; +localparam DWIDTH1 = DWIDTH+1; + +(* romstyle = "M10K" *) reg [5:0] hqTable[256]; +initial begin + hqTable = '{ + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 47, 35, 23, 15, 55, 39, + 19, 19, 26, 58, 19, 19, 26, 58, 23, 15, 35, 35, 23, 15, 7, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 55, 39, 23, 15, 51, 43, + 19, 19, 26, 58, 19, 19, 26, 58, 23, 15, 51, 35, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 61, 35, 35, 23, 61, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 61, 7, 35, 23, 61, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 58, 23, 15, 51, 35, 23, 61, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 47, 35, 23, 15, 55, 39, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 55, 39, 23, 15, 51, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 39, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 39, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 7, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 7, 35, 23, 15, 7, 43 + }; +end + +wire [5:0] hqrule = hqTable[nextpatt]; + +reg [23:0] Prev0, Prev1, Prev2, Curr0, Curr1, Curr2, Next0, Next1, Next2; +reg [23:0] A, B, D, F, G, H; +reg [7:0] pattern, nextpatt; +reg [1:0] cyc; + +reg curbuf; +reg prevbuf = 0; +wire iobuf = !curbuf; + +wire diff0, diff1; +DiffCheck diffcheck0(Curr1, (cyc == 0) ? Prev0 : (cyc == 1) ? Curr0 : (cyc == 2) ? Prev2 : Next1, diff0); +DiffCheck diffcheck1(Curr1, (cyc == 0) ? Prev1 : (cyc == 1) ? Next0 : (cyc == 2) ? Curr2 : Next2, diff1); + +wire [7:0] new_pattern = {diff1, diff0, pattern[7:2]}; + +wire [23:0] X = (cyc == 0) ? A : (cyc == 1) ? Prev1 : (cyc == 2) ? Next1 : G; +wire [23:0] blend_result_pre; +Blend blender(clk, ce_in, disable_hq2x ? 6'd0 : hqrule, Curr0, X, B, D, F, H, blend_result_pre); + +wire [DWIDTH:0] Curr20tmp; +wire [23:0] Curr20 = HALF_DEPTH ? h2rgb(Curr20tmp) : Curr20tmp; +wire [DWIDTH:0] Curr21tmp; +wire [23:0] Curr21 = HALF_DEPTH ? h2rgb(Curr21tmp) : Curr21tmp; + +reg [AWIDTH:0] wrin_addr2; +reg [DWIDTH:0] wrpix; +reg wrin_en; + +function [23:0] h2rgb; + input [11:0] v; +begin + h2rgb = mono ? {v[7:0], v[7:0], v[7:0]} : {v[11:8],v[11:8],v[7:4],v[7:4],v[3:0],v[3:0]}; +end +endfunction + +function [11:0] rgb2h; + input [23:0] v; +begin + rgb2h = mono ? {4'b0000, v[23:20], v[19:16]} : {v[23:20], v[15:12], v[7:4]}; +end +endfunction + +hq2x_in #(.LENGTH(LENGTH), .DWIDTH(DWIDTH)) hq2x_in +( + .clk(clk), + + .rdaddr(offs), + .rdbuf0(prevbuf), + .rdbuf1(curbuf), + .q0(Curr20tmp), + .q1(Curr21tmp), + + .wraddr(wrin_addr2), + .wrbuf(iobuf), + .data(wrpix), + .wren(wrin_en) +); + +reg [AWIDTH+1:0] read_x; +reg [AWIDTH+1:0] wrout_addr; +reg wrout_en; +reg [DWIDTH1*4-1:0] wrdata, wrdata_pre; +wire [DWIDTH1*4-1:0] outpixel_x4; +reg [DWIDTH1*2-1:0] outpixel_x2; + +assign outpixel = read_x[0] ? outpixel_x2[DWIDTH1*2-1:DWIDTH1] : outpixel_x2[DWIDTH:0]; + +hq2x_buf #(.NUMWORDS(LENGTH*2), .AWIDTH(AWIDTH+1), .DWIDTH(DWIDTH1*4-1)) hq2x_out +( + .clock(clk), + + .rdaddress({read_x[AWIDTH+1:1],read_y[1]}), + .q(outpixel_x4), + + .data(wrdata), + .wraddress(wrout_addr), + .wren(wrout_en) +); + +always @(posedge clk) begin + if(ce_out) begin + if(read_x[0]) outpixel_x2 <= read_y[0] ? outpixel_x4[DWIDTH1*4-1:DWIDTH1*2] : outpixel_x4[DWIDTH1*2-1:0]; + if(~hblank & ~&read_x) read_x <= read_x + 1'd1; + if(hblank) read_x <= 0; + end +end + +wire [DWIDTH:0] blend_result = HALF_DEPTH ? rgb2h(blend_result_pre) : blend_result_pre[DWIDTH:0]; + +reg [AWIDTH:0] offs; +always @(posedge clk) begin + reg old_reset_line; + reg old_reset_frame; + reg [3:0] wrdata_finished; + reg [AWIDTH+1:0] waddr; + + wrout_en <= 0; + wrin_en <= 0; + + if(ce_in) begin + + // blend_result has been delayed by 4 cycles + case(cyc) + 0: wrdata[DWIDTH:0] <= blend_result; + 1: wrdata[DWIDTH1+DWIDTH:DWIDTH1] <= blend_result; + 2: wrdata[DWIDTH1*3+DWIDTH:DWIDTH1*3] <= blend_result; + 3: wrdata[DWIDTH1*2+DWIDTH:DWIDTH1*2] <= blend_result; + endcase + + wrdata_finished <= wrdata_finished << 1; + if(wrdata_finished[3]) begin + wrout_en <= 1; + wrout_addr <= waddr; + end + + if(~&offs) begin + if (cyc == 1) begin + Prev2 <= Curr20; + Curr2 <= Curr21; + Next2 <= HALF_DEPTH ? h2rgb(inputpixel) : inputpixel; + wrpix <= inputpixel; + wrin_addr2 <= offs; + wrin_en <= 1; + end + + if(cyc==3) begin + offs <= offs + 1'd1; + waddr <= {offs, curbuf}; + wrdata_finished[0] <= 1; + end + end + + pattern <= new_pattern; + if(cyc==3) begin + nextpatt <= {new_pattern[7:6], new_pattern[3], new_pattern[5], new_pattern[2], new_pattern[4], new_pattern[1:0]}; + {A, G} <= {Prev0, Next0}; + {B, F, H, D} <= {Prev1, Curr2, Next1, Curr0}; + {Prev0, Prev1} <= {Prev1, Prev2}; + {Curr0, Curr1} <= {Curr1, Curr2}; + {Next0, Next1} <= {Next1, Next2}; + end else begin + nextpatt <= {nextpatt[5], nextpatt[3], nextpatt[0], nextpatt[6], nextpatt[1], nextpatt[7], nextpatt[4], nextpatt[2]}; + {B, F, H, D} <= {F, H, D, B}; + end + + cyc <= cyc + 1'b1; + if(old_reset_line && ~reset_line) begin + old_reset_frame <= reset_frame; + offs <= 0; + cyc <= 0; + curbuf <= ~curbuf; + prevbuf <= curbuf; + {Prev0, Prev1, Prev2, Curr0, Curr1, Curr2, Next0, Next1, Next2} <= '0; + if(old_reset_frame & ~reset_frame) begin + curbuf <= 0; + prevbuf <= 0; + end + end + + old_reset_line <= reset_line; + end +end + +endmodule + +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +module hq2x_in #(parameter LENGTH, parameter DWIDTH) +( + input clk, + + input [AWIDTH:0] rdaddr, + input rdbuf0, rdbuf1, + output[DWIDTH:0] q0,q1, + + input [AWIDTH:0] wraddr, + input wrbuf, + input [DWIDTH:0] data, + input wren +); + +localparam AWIDTH = $clog2(LENGTH)-1; +wire [DWIDTH:0] out[2]; +assign q0 = out[rdbuf0]; +assign q1 = out[rdbuf1]; + +hq2x_buf #(.NUMWORDS(LENGTH), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf0(clk,data,rdaddr,wraddr,wren && (wrbuf == 0),out[0]); +hq2x_buf #(.NUMWORDS(LENGTH), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf1(clk,data,rdaddr,wraddr,wren && (wrbuf == 1),out[1]); + +endmodule + +module hq2x_buf #(parameter NUMWORDS, parameter AWIDTH, parameter DWIDTH) +( + input clock, + input [DWIDTH:0] data, + input [AWIDTH:0] rdaddress, + input [AWIDTH:0] wraddress, + input wren, + output reg [DWIDTH:0] q +); + +reg [DWIDTH:0] ram[0:NUMWORDS-1]; + +always_ff@(posedge clock) begin + if(wren) ram[wraddress] <= data; + q <= ram[rdaddress]; +end + +endmodule + +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +module DiffCheck +( + input [23:0] rgb1, + input [23:0] rgb2, + output result +); + + wire [7:0] r = rgb1[7:1] - rgb2[7:1]; + wire [7:0] g = rgb1[15:9] - rgb2[15:9]; + wire [7:0] b = rgb1[23:17] - rgb2[23:17]; + wire [8:0] t = $signed(r) + $signed(b); + wire [9:0] y = $signed(t) + $signed({g[7], g}); + wire [8:0] u = $signed(r) - $signed(b); + wire [9:0] v = $signed({g, 1'b0}) - $signed(t); + + // if y is inside (-96..96) + wire y_inside = (y < 10'h60 || y >= 10'h3a0); + + // if u is inside (-16, 16) + wire u_inside = (!u[8:4] || &u[8:4]); //(u < 9'h10 || u >= 9'h1f0); + + // if v is inside (-24, 24) + wire v_inside = (v < 10'h18 || v >= 10'h3e8); + assign result = !(y_inside && u_inside && v_inside); + +endmodule + +module Blend +( + input clk, + input clk_en, + input [5:0] rule, + input [23:0] E, + input [23:0] A, + input [23:0] B, + input [23:0] D, + input [23:0] F, + input [23:0] H, + output [23:0] Result +); + + localparam BLEND1 = 7'b110_10_00; // (A * 12 + B * 4 ) >> 4 + localparam BLEND2 = 7'b100_10_10; // (A * 8 + B * 4 + C * 4) >> 4 + localparam BLEND3 = 7'b101_10_01; // (A * 10 + B * 4 + C * 2) >> 4 + localparam BLEND4 = 7'b110_01_01; // (A * 12 + B * 2 + C * 2) >> 4 + localparam BLEND5 = 7'b010_11_11; // (A * 4 + B * 6 + C * 6) >> 4 + localparam BLEND6 = 7'b111_00_00; // (A * 14 + B * 1 + C * 1) >> 4 + + reg [23:0] a,b,d,e,h,f; + reg [3:0] bl_rule; + reg [1:0] df_rule; + always @(posedge clk) if (clk_en) begin + {bl_rule,df_rule} <= rule; + a <= A; b <= B; d <= D; e <= E; f <= F; h <= H; + end + + wire is_diff; + DiffCheck diff_checker(df_rule[1] ? b : h, df_rule[0] ? d : f, is_diff); + + reg [23:0] i10,i20,i30; + reg [6:0] op0; + always @(posedge clk) if (clk_en) begin + i10 <= e; + case({!is_diff, bl_rule}) + 1,11,12,13,17: {op0, i20, i30} <= {BLEND1, a, 24'd0}; + 2,14,18: {op0, i20, i30} <= {BLEND1, d, 24'd0}; + 3,15,19: {op0, i20, i30} <= {BLEND1, b, 24'd0}; + 4,20,24,27: {op0, i20, i30} <= {BLEND2, d, b}; + 5,21: {op0, i20, i30} <= {BLEND2, a, b}; + 6,22: {op0, i20, i30} <= {BLEND2, a, d}; + 25,29: {op0, i20, i30} <= {BLEND5, d, b}; + 26: {op0, i20, i30} <= {BLEND6, d, b}; + 28: {op0, i20, i30} <= {BLEND4, d, b}; + 30: {op0, i20, i30} <= {BLEND3, b, d}; + 31: {op0, i20, i30} <= {BLEND3, d, b}; + default: {op0, i20, i30} <= {BLEND1, e, 24'd0}; + endcase + end + + reg [23:0] i1,i2,i3; + reg [6:0] op; + always @(posedge clk) if (clk_en) begin + op <= op0; i1 <= i10; i2 <= i20; i3 <= i30; + end + + function [34:0] mul24x3; + input [23:0] op1; + input [2:0] op2; + begin + mul24x3 = 0; + if(op2[0]) mul24x3 = mul24x3 + {op1[23:16], 4'b0000, op1[15:8], 4'b0000, op1[7:0]}; + if(op2[1]) mul24x3 = mul24x3 + {op1[23:16], 4'b0000, op1[15:8], 4'b0000, op1[7:0], 1'b0}; + if(op2[2]) mul24x3 = mul24x3 + {op1[23:16], 4'b0000, op1[15:8], 4'b0000, op1[7:0], 2'b00}; + end + endfunction + + wire [35:0] res = {mul24x3(i1, op[6:4]), 1'b0} + mul24x3(i2, {op[3:2], !op[3:2]}) + mul24x3(i3, {op[1:0], !op[3:2]}); + + always @(posedge clk) if (clk_en) Result <= {res[35:28],res[23:16],res[11:4]}; + +endmodule diff --git a/analogizer/openFPGA_Pocket_Analogizer.v b/analogizer/openFPGA_Pocket_Analogizer.v new file mode 100644 index 0000000..dddd667 --- /dev/null +++ b/analogizer/openFPGA_Pocket_Analogizer.v @@ -0,0 +1,483 @@ +//This module encapsulates all Analogizer adapter signals +// Original work by @RndMnkIII. +// Date: 05/2024 +// Releases: +// * 1.0 05/2024 Initial RGBS output mode +// * 1.1 Added SOG modes: RGsB, YPbPt +// * 1.2 Added Mike Simon Y/C module, Scandoubler SVGA Mist module. +// * 1.3 11/02/2025 Added Bridge interface to directly access to the Analogizer settings, now returns the settings. Added NES SNAC Zapper support. + +// *** Analogizer R.3 adapter *** +// * WHEN SOG SWITCH IS IN ON POSITION, OUTPUTS CSYNC ON G CHANNEL +// # WHEN YPbPr VIDEO OUTPUT IS SELECTED, Y->G, Pr->R, Pb->B +//Pin mappings: VGA CONNECTOR USB3 TYPE A FEMALE CONNECTOR (SNAC) +// ______________________________________________________________________________________________________________________________________________________________________________________________________ +// / VS HS R# G*# B# 1 2 3 4 5 6 7 8 9 \ +// | | | | | | VBUS D- D+ GND RX- RX+ GND_D TX- TX+ | +//FUNCTION: | | | | | | +5V OUT1 OUT2 GND IO3 IN4 IO5 IO6 IN7 | +// | A | | | | | ^ ^ ^ | ^ ^ | | +// | N SOG | | | | | | | V V V V V | +// | A ------- | | | | | | +// | O OFF | S |--GND | | +------------+ | +// | L | W | | | SYNC | | | +// PIN DIR: | G | I +--------------------->| |---------------------------------------------------------------------------------------------------------+ | +// ^ OUTPUT | I | T | | | | RGB DAC | | | +// V INPUT | Z | C | | | | |===================================================================++ | | +// | E ON ===| H |--------+ | +------------+ || | | +// | R ------- | | || | | /BLANK || | | +// | | +--------+ || | +------------------------------------------------------------------+ || | | | +// | R +------+ | || +===============================++ | || | | +// | 2 | | || || | || | | +// | CONF.B IO5V --- | | \\================================ \\================================ | \\================================ VID IO3^ IO6^ | +// | CONF.A IN4 --- IN7 IO3V VS HS R0 R1 R2 R3 R4 R5 G0 G1 G2 G3 G4 G5 /BLK B0 B1 B2 B3 B4 B5 CLK OUT1 OUT2 IO5^ IO6V | +// | __3.3V__ |___ | __ |_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____^__GND__ | +//POCKET | / V V V V ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ V \ | +//CARTRIDGE PIN #: \____| 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 |___/ +// \_________|____|____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_____|_______/ +//Pocket Pin Name: | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank0[7] --------------------+ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank0[6] -------------------------+ | | | | | | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank0[5] ------------------------------+ | | | | | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank0[4] ------------------------------------+ | | | | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[0] ------------------------------------------+ | | | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[1] ------------------------------------------------+ | | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[2] ------------------------------------------------------+ | | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[3] ------------------------------------------------------------+ | | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[4] ------------------------------------------------------------------+ | | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[5] ------------------------------------------------------------------------+ | | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[6] ------------------------------------------------------------------------------+ | | | | | | | | | | | | | | | | | | | +//cart_tran_bank3[7] ------------------------------------------------------------------------------------+ | | | | | | | | | | | | | | | | | | +//------------------ | | | | | | | | | | | | | | | | | | +//cart_tran_bank2[0] ------------------------------------------------------------------------------------------+ | | | | | | | | | | | | | | | | | +//cart_tran_bank2[1] ------------------------------------------------------------------------------------------------+ | | | | | | | | | | | | | | | | +//cart_tran_bank2[2] ------------------------------------------------------------------------------------------------------+ | | | | | | | | | | | | | | | +//cart_tran_bank2[3] ------------------------------------------------------------------------------------------------------------+ | | | | | | | | | | | | | | +//cart_tran_bank2[4] ------------------------------------------------------------------------------------------------------------------+ | | | | | | | | | | | | | +//cart_tran_bank2[5] ------------------------------------------------------------------------------------------------------------------------+ | | | | | | | | | | | | +//cart_tran_bank2[6] ------------------------------------------------------------------------------------------------------------------------------+ | | | | | | | | | | | +//cart_tran_bank2[7] ------------------------------------------------------------------------------------------------------------------------------------+ | | | | | | | | | | +//------------------ | | | | | | | | | | +//cart_tran_bank1[0] ------------------------------------------------------------------------------------------------------------------------------------------+ | | | | | | | | | +//cart_tran_bank1[1] ------------------------------------------------------------------------------------------------------------------------------------------------+ | | | | | | | | +//cart_tran_bank1[2] ------------------------------------------------------------------------------------------------------------------------------------------------------+ | | | | | | | +//cart_tran_bank1[3] ------------------------------------------------------------------------------------------------------------------------------------------------------------+ | | | | | | +//cart_tran_bank1[4] ------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | | | | | +//cart_tran_bank1[5] ------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | | | | +//cart_tran_bank1[6] ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | | | +//cart_tran_bank1[7] ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | | +//cart_tran_pin30 ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | +//cart_tran_pin31 ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +`default_nettype none +`timescale 1ns / 1ps + +module openFPGA_Pocket_Analogizer #(parameter MASTER_CLK_FREQ=50_000_000, parameter LINE_LENGTH, parameter ADDRESS_ANALOGIZER_CONFIG = 8'hF7,parameter reg USE_OLD_STYLE_SVGA_SCANDOUBLER = 1'b0) ( + input wire clk_74a, + input wire i_clk, + input wire i_rst, + input wire i_ena, + //Video interface + input wire video_clk, + input wire [7:0] R, + input wire [7:0] G, + input wire [7:0] B, + input wire Hblank, + input wire Vblank, + input wire BLANKn, + input wire Hsync, + input wire Vsync, + input wire Csync, + + //openFPGA Bridge interface + input wire bridge_endian_little, + input wire [31:0] bridge_addr, + input wire bridge_rd, + output reg [31:0] analogizer_bridge_rd_data, + input wire bridge_wr, + input wire [31:0] bridge_wr_data, + + //Analogizer settings + output wire [4:0] snac_game_cont_type_out, + output wire [3:0] snac_cont_assignment_out, + output wire [3:0] analogizer_video_type_out, + output wire [2:0] SC_fx_out, + output wire pocket_blank_screen_out, + output wire analogizer_osd_out, + + //Video Y/C Encoder interface + input wire [39:0] CHROMA_PHASE_INC, + input wire PALFLAG, + //Video SVGA Scandoubler interface + input wire ce_pix, + input wire scandoubler, //logic for disable/enable the scandoubler + //SNAC interface + output wire [15:0] p1_btn_state, + output wire [31:0] p1_joy_state, + output wire [15:0] p2_btn_state, + output wire [31:0] p2_joy_state, + output wire [15:0] p3_btn_state, + output wire [15:0] p4_btn_state, + //PSX rumble interface joy1, joy2 + input [1:0] i_VIB_SW1, // Vibration SW VIB_SW[0] Small Moter OFF 0:ON 1: + //VIB_SW[1] Bic Moter OFF 0:ON 1(Dualshook Only) + input [7:0] i_VIB_DAT1, // Vibration(Bic Moter)Data 8'H00-8'HFF (Dualshook Only) + input [1:0] i_VIB_SW2, + input [7:0] i_VIB_DAT2, + // + output wire busy, + //Pocket Analogizer IO interface to the cartridge port + inout wire [7:0] cart_tran_bank2, + output wire cart_tran_bank2_dir, + inout wire [7:0] cart_tran_bank3, + output wire cart_tran_bank3_dir, + inout wire [7:0] cart_tran_bank1, + output wire cart_tran_bank1_dir, + inout wire [7:4] cart_tran_bank0, + output wire cart_tran_bank0_dir, + inout wire cart_tran_pin30, + output wire cart_tran_pin30_dir, + output wire cart_pin30_pwroff_reset, + inout wire cart_tran_pin31, + output wire cart_tran_pin31_dir, + //debug + output wire [3:0] DBG_TX, + output wire o_stb +); + + //Configuration file dat + //reg [31:0] analogizer_bridge_rd_data; + reg [31:0] analogizer_config = 0; + wire [31:0] analogizer_config_s; + // reg [31:0] config_mem [16]; //configuration memory + + synch_3 #(.WIDTH(32)) analogizer_sync(analogizer_config, analogizer_config_s, i_clk); + + //wire [31:0] memory_out; + //reg [3:0] word_cnt; + // handle memory mapped I/O from pocket + always @(posedge clk_74a) begin + if(bridge_wr) begin + case(bridge_addr[31:24]) + ADDRESS_ANALOGIZER_CONFIG: begin + if (bridge_addr[3:0] == 4'h0) begin + //word_cnt <= 4'h1; + analogizer_config <= bridge_endian_little ? bridge_wr_data : {bridge_wr_data[7:0],bridge_wr_data[15:8],bridge_wr_data[23:16],bridge_wr_data[31:24]}; + end + // else begin + // word_cnt <= word_cnt + 4'h1; + // config_mem[bridge_addr[3:0]] <= bridge_endian_little ? bridge_wr_data : {bridge_wr_data[7:0],bridge_wr_data[15:8],bridge_wr_data[23:16],bridge_wr_data[31:24]}; + // end + end + endcase + end + if(bridge_rd) begin + case(bridge_addr[31:24]) + ADDRESS_ANALOGIZER_CONFIG: begin + if (bridge_addr[3:0] == 4'h0) analogizer_bridge_rd_data <= bridge_endian_little ? analogizer_config_s : {analogizer_config_s[7:0],analogizer_config_s[15:8],analogizer_config_s[23:16],analogizer_config_s[31:24]}; //invert byte order to writeback to the Sav folders + //else analogizer_bridge_rd_data <= bridge_endian_little ? config_mem[bridge_addr[3:0]] : {memory_out[7:0],memory_out[15:8],memory_out[23:16],memory_out[31:24]}; + end + endcase + end + end + //assign memory_out = config_mem[bridge_addr[3:0]]; + + always @(posedge i_clk) begin + snac_game_cont_type <= analogizer_config_s[4:0]; + snac_cont_assignment <= analogizer_config_s[9:6]; + analogizer_video_type <= analogizer_config_s[13:10]; + //analogizer_ena <= analogizer_config_s[5]; + pocket_blank_screen <= analogizer_config_s[14]; + analogizer_osd_out2 <= analogizer_config_s[15]; + end + + wire conf_AB = (snac_game_cont_type >= 5'd16); + + //0 disable, 1 scanlines 25%, 2 scanlines 50%, 3 scanlines 75%, 4 hq2x + always @(posedge i_clk) begin + if(analogizer_video_type >= 4'd5) SC_fx <= analogizer_video_type - 4'd5; +end + +reg analogizer_ena; +reg [3:0] analogizer_video_type; +reg [4:0] snac_game_cont_type; +reg [3:0] snac_cont_assignment; +reg [2:0] SC_fx; +reg pocket_blank_screen; +reg analogizer_osd_out2; + +assign analogizer_video_type_out = analogizer_video_type; +assign snac_game_cont_type_out = snac_game_cont_type; +assign snac_cont_assignment_out = snac_cont_assignment; +assign SC_fx_out = SC_fx; +assign pocket_blank_screen_out = pocket_blank_screen; +assign analogizer_osd_out = analogizer_osd_out2; +//------------------------------------------------------------------------ + + wire [7:4] CART_BK0_OUT ; + wire [7:4] CART_BK0_IN ; + wire CART_BK0_DIR ; + wire [7:6] CART_BK1_OUT_P76 ; + wire CART_PIN30_OUT ; + wire CART_PIN30_IN ; + wire CART_PIN30_DIR ; + wire CART_PIN31_OUT ; + wire CART_PIN31_IN ; + wire CART_PIN31_DIR ; + + openFPGA_Pocket_Analogizer_SNAC #(.MASTER_CLK_FREQ(MASTER_CLK_FREQ)) snac + ( + .i_clk(i_clk), + .i_rst(i_rst), + .conf_AB(conf_AB), //0 conf. A(default), 1 conf. B (see graph above) + .game_cont_type(snac_game_cont_type), //0-15 Conf. A, 16-31 Conf. B + //.game_cont_sample_rate(game_cont_sample_rate), //0 compatibility mode (slowest), 1 normal mode, 2 fast mode, 3 superfast mode + .p1_btn_state(p1_btn_state), + .p1_joy_state(p1_joy_state), + .p2_btn_state(p2_btn_state), + .p2_joy_state(p2_joy_state), + .p3_btn_state(p3_btn_state), + .p4_btn_state(p4_btn_state), + .i_VIB_SW1(i_VIB_SW1), .i_VIB_DAT1(i_VIB_DAT1), .i_VIB_SW2(i_VIB_SW2), .i_VIB_DAT2(i_VIB_DAT2), + .busy(busy), + //SNAC Pocket cartridge port interface (see graph above) + .CART_BK0_OUT(CART_BK0_OUT), + .CART_BK0_IN(CART_BK0_IN), + .CART_BK0_DIR(CART_BK0_DIR), + .CART_BK1_OUT_P76(CART_BK1_OUT_P76), + .CART_PIN30_OUT(CART_PIN30_OUT), + .CART_PIN30_IN(CART_PIN30_IN), + .CART_PIN30_DIR(CART_PIN30_DIR), + .CART_PIN31_OUT(CART_PIN31_OUT), + .CART_PIN31_IN(CART_PIN31_IN), + .CART_PIN31_DIR(CART_PIN31_DIR), + //debug + .DBG_TX(DBG_TX), + .o_stb(o_stb) + ); + + //Choose type of analog video type of signal + reg [5:0] Rout, Gout, Bout ; + reg HsyncOut, VsyncOut, BLANKnOut ; + wire [7:0] Yout, PrOut, PbOut ; + wire [7:0] R_Sd, G_Sd, B_Sd ; + wire Hsync_Sd, Vsync_Sd ; + wire Hblank_Sd, Vblank_Sd ; + wire BLANKn_SD = ~(Hblank_Sd || Vblank_Sd) ; + + always @(*) begin + case(analogizer_video_type) + 4'h0: begin //RGBS + Rout = R[7:2]&{6{BLANKn}}; + Gout = G[7:2]&{6{BLANKn}}; + Bout = B[7:2]&{6{BLANKn}}; + HsyncOut = Csync; + VsyncOut = 1'b1; + BLANKnOut = BLANKn; + end + 4'h3, 4'h4: begin// Y/C Modes works for Analogizer R1, R2 Adapters + Rout = yc_o[23:18]; + Gout = yc_o[15:10]; + Bout = yc_o[7:2]; + HsyncOut = yc_cs; + VsyncOut = 1'b1; + BLANKnOut = 1'b1; + end + 4'h1: begin //RGsB + Rout = R[7:2]&{6{BLANKn}}; + Gout = G[7:2]&{6{BLANKn}}; + Bout = B[7:2]&{6{BLANKn}}; + HsyncOut = 1'b1; + VsyncOut = Csync; //to DAC SYNC pin, SWITCH SOG ON + BLANKnOut = BLANKn; + end + 4'h2: begin //YPbPr + Rout = PrOut[7:2]; + Gout = Yout[7:2]; + Bout = PbOut[7:2]; + HsyncOut = 1'b1; + VsyncOut = YPbPr_sync; //to DAC SYNC pin, SWITCH SOG ON + BLANKnOut = 1'b1; //ADV7123 needs this + end + + 4'h5, 4'h6, 4'h7, 4'h8, 4'h9: begin //Scandoubler modes + if (USE_OLD_STYLE_SVGA_SCANDOUBLER == 1'b1) begin + Rout = R_Sd; + Gout = G_Sd; + Bout = B_Sd; + HsyncOut = Hsync_Sd; + VsyncOut = Vsync_Sd; + BLANKnOut = 1'b1; + end else begin + Rout = vga_data_sl[23:18]; //R_Sd[7:2]; + Gout = vga_data_sl[15:10]; //G_Sd[7:2]; + Bout = vga_data_sl[7:2]; //B_Sd[7:2]; + HsyncOut = vga_hs_sl; //Hsync_Sd; + VsyncOut = vga_vs_sl; //Vsync_Sd; + BLANKnOut = 1'b1; + end + end + default: begin + Rout = 6'h0; + Gout = 6'h0; + Bout = 6'h0; + HsyncOut = Hsync; + VsyncOut = 1'b1; + BLANKnOut = BLANKn; + end + endcase + end + + wire YPbPr_sync, YPbPr_blank; + vga_out ybpr_video + ( + .clk(video_clk), + .ypbpr_en(1'b1), + .csync(Csync), + .de(BLANKn), + .din({R&{8{BLANKn}},G&{8{BLANKn}},B&{8{BLANKn}}}), //NES specific override, because not zero color data while blanking period. + .dout({PrOut,Yout,PbOut}), + .csync_o(YPbPr_sync), + .de_o(YPbPr_blank) + ); + + wire [23:0] yc_o ; + //wire yc_hs, yc_vs, + wire yc_cs ; + yc_out yc_out + ( + .clk(i_clk), + .PHASE_INC(CHROMA_PHASE_INC), + .PAL_EN(PALFLAG), + .hsync(Hsync), + .vsync(Vsync), + .csync(Csync), + .din({R&{8{BLANKn}},G&{8{BLANKn}},B&{8{BLANKn}}}), + .dout(yc_o), + .hsync_o(), + .vsync_o(), + .csync_o(yc_cs) + ); + +generate + if (USE_OLD_STYLE_SVGA_SCANDOUBLER == 1'b1) begin + //Using old scandoubler code for PC Engine CD core + scandoubler sc_video + ( + // system interface + .clk_sys(i_clk), + .bypass(1'b0), + + // Pixelclock + .ce_divider(3'd7), // 0 - clk_sys/4, 1 - clk_sys/2, 2 - clk_sys/3, 3 - clk_sys/4, etc. + //.ce_divider(3'd0), // 0 - clk_sys/4, 1 - clk_sys/2, 2 - clk_sys/3, 3 - clk_sys/4, etc. + .pixel_ena(), //output + .scanlines(SC_fx[1:0]), // scanlines (00-none 01-25% 10-50% 11-75%) + + // shifter video interface + .hb_in(Hblank), + .vb_in(Vblank), + .hs_in(Hsync), + //.hs_in(delayed_hsync[1]), + .vs_in(Vsync), + .r_in({R[7:2]&{6{BLANKn}}}), + .g_in({G[7:2]&{6{BLANKn}}}), + .b_in({B[7:2]&{6{BLANKn}}}), + + // output interface + .hb_out(Hblank_Sd), + .vb_out(Vblank_Sd), + .hs_out(Hsync_Sd), + .vs_out(Vsync_Sd), + .r_out(R_Sd), + .g_out(G_Sd), + .b_out(B_Sd) + ); + end else begin + wire ce_pix_Sd ; + scandoubler_2 #(.LENGTH(LINE_LENGTH), .HALF_DEPTH(0)) sd + ( + .clk_vid(i_clk), + .hq2x(SC_fx[2]), + + .ce_pix(ce_pix), + .hs_in(Hsync), + .vs_in(Vsync), + .hb_in(Hblank), + .vb_in(Vblank), + .r_in({R[7:0]&{8{BLANKn}}}), + .g_in({G[7:0]&{8{BLANKn}}}), + .b_in({B[7:0]&{8{BLANKn}}}), + + .ce_pix_out(ce_pix_Sd), + .hs_out(Hsync_Sd), + .vs_out(Vsync_Sd), + .hb_out(Hblank_Sd), + .vb_out(Vblank_Sd), + .r_out(R_Sd), + .g_out(G_Sd), + .b_out(B_Sd) + ); + + reg Hsync_SL, Vsync_SL, Hblank_SL, Vblank_SL ; + reg [7:0] R_SL, G_SL, B_SL ; + reg CE_PIX_SL, DE_SL ; + + always @(posedge video_clk) begin + Hsync_SL <= (scandoubler) ? Hsync_Sd : Hsync; + Vsync_SL <= (scandoubler) ? Vsync_Sd : Vsync; + Hblank_SL <= (scandoubler) ? Hblank_Sd : Hblank; + Vblank_SL <= (scandoubler) ? Vblank_Sd : Vblank; + R_SL <= (scandoubler) ? R_Sd : {R[7:0]&{8{BLANKn}}}; + G_SL <= (scandoubler) ? G_Sd : {G[7:0]&{8{BLANKn}}}; + B_SL <= (scandoubler) ? B_Sd : {B[7:0]&{8{BLANKn}}}; + CE_PIX_SL <= (scandoubler) ? ce_pix_Sd : ce_pix; + DE_SL <= BLANKn; + end + + + wire [23:0] vga_data_sl ; + wire vga_vs_sl, vga_hs_sl ; + scanlines_analogizer #(0) VGA_scanlines + ( + .clk(video_clk), + + .scanlines(SC_fx[1:0]), + //.din(de_emu ? {R_SL, G_SL,B_SL} : 24'd0), + .din({R_SL, G_SL,B_SL}), + .hs_in(Hsync_SL), + .vs_in(Vsync_SL), + .de_in(DE_SL), + .ce_in(CE_PIX_SL), + + .dout(vga_data_sl), + .hs_out(vga_hs_sl), + .vs_out(vga_vs_sl), + .de_out(), + .ce_out() + ); + end +endgenerate + + //infer tri-state buffers for cartridge data signals + //BK0 + assign cart_tran_bank0 = i_rst | ~i_ena ? 4'hf : ((CART_BK0_DIR) ? CART_BK0_OUT : 4'hZ); //on reset state set ouput value to 4'hf + assign cart_tran_bank0_dir = i_rst | ~i_ena ? 1'b1 : CART_BK0_DIR; //on reset state set pin dir to output + assign CART_BK0_IN = cart_tran_bank0; + //BK3 + assign cart_tran_bank3 = i_rst | ~i_ena ? 8'hzz : {Rout[5:0],HsyncOut,VsyncOut}; //on reset state set ouput value to 8'hZ + assign cart_tran_bank3_dir = i_rst | ~i_ena ? 1'b0 : 1'b1; //on reset state set pin dir to input + //BK2 + assign cart_tran_bank2 = i_rst | ~i_ena ? 8'hzz : {Bout[0],BLANKnOut,Gout[5:0]}; //on reset state set ouput value to 8'hZ + assign cart_tran_bank2_dir = i_rst | ~i_ena ? 1'b0 : 1'b1; //on reset state set pin dir to input + //BK1 + assign cart_tran_bank1 = i_rst | ~i_ena ? 8'hzz : {CART_BK1_OUT_P76,video_clk,Bout[5:1]}; //on reset state set ouput value to 8'hZ + assign cart_tran_bank1_dir = i_rst | ~i_ena ? 1'b0 : 1'b1; //on reset state set pin dir to input + //PIN30 + assign cart_tran_pin30 = i_rst | ~i_ena ? 1'bz : ((CART_PIN30_DIR) ? CART_PIN30_OUT : 1'bZ); //on reset state set ouput value to 4'hf + assign cart_tran_pin30_dir = i_rst | ~i_ena ? 1'b0 : CART_PIN30_DIR; //on reset state set pin dir to output + assign CART_PIN30_IN = cart_tran_pin30; + assign cart_pin30_pwroff_reset = i_rst | ~i_ena ? 1'b0 : 1'b1; //1'b1 (GPIO USE) + //PIN31 + assign cart_tran_pin31 = i_rst | ~i_ena ? 1'bz : ((CART_PIN31_DIR) ? CART_PIN31_OUT : 1'bZ); //on reset state set ouput value to 4'hf + assign cart_tran_pin31_dir = i_rst | ~i_ena ? 1'b0 : CART_PIN31_DIR; //on reset state set pin dir to input + assign CART_PIN31_IN = cart_tran_pin31; +endmodule \ No newline at end of file diff --git a/analogizer/openFPGA_Pocket_Analogizer_SNAC.sv b/analogizer/openFPGA_Pocket_Analogizer_SNAC.sv new file mode 100644 index 0000000..7d3b9d7 --- /dev/null +++ b/analogizer/openFPGA_Pocket_Analogizer_SNAC.sv @@ -0,0 +1,500 @@ +//This module encapsulates all Analogizer adapter SNAC controllers +// Original work by @RndMnkIII. +// Date: 01/2024 +// Release: 1.0 + +// *** Analogizer R.1 adapter *** +//SNAC mappings: +//USB 3 Type A connector ______________________________________________________________________________________ +//PIN_NUMBER: / 1 2 3 4 5 6 7 8 9 \ +//PIN_NAME: | VBUS D- D+ GND RX- RX+ GND_D TX- TX+ | +//FUNCTION: | +5V OUT1 OUT2 GND IO3 IN4 IO5 IO6 IN7 | +// | A ^ ^ ^ | ^ ^ | | +// | N | | | | +--|-------|-------+ | +// | A +-----------|-------|--------------|-------+ | | +----------------+ | +// | L | +-|-------|--------------|------------+ +--------+ | | +// | O | | | | | +------------+ | | | +// | G | | | | | +->| B B |----|------+ | | +// | I | | | | +---|->| CONF. SW. |<---+ | | | +// | Z | | | +---|-------<----------|<-| A A |<----+ | | | +// | E | | | | | | +------------+ | | | | +// | R | | | | | +-->-+------>--------->----+ | | | +// | I| I| | | +-------+ | | | | +// | R N| N| +---------+ | B|A B OUT | | | +// | 1 4| I 7| IO3| OUT1| OUT2| IO3|IO5 +-----------------------------------+ | +// | | O IN| A| |O |O O|O |A IN | | +// | I| 5+----|-----|-----|U----|U---U|U ---|--------------------------+ | +// | ___N|___B|IN__|___IN|_____|T____|T___T|T____|_____ | +//POCKET | / V V V V ^ ^ ^ V \ | +//CARTRIDGE PIN #: \___| 2 3 4 5 ... 28 29 30 31 |_____________________________/ +// \____|____|____|_____|_____|_____|_____|_____|_____/ +//Pocket Pin Name: | | | | | | | | +//cart_tran_bank0[7] ---------------+ | | | | | | | cart_tran_bank0_dir=1'b0; //input +//cart_tran_bank0[6] --------------------+ | | | | | | +//cart_tran_bank0[5] -------------------------+ | | | | | +//cart_tran_bank0[4] -------------------------------+ | | | | +//cart_tran_bank1[6] -------------------------------------+ | | | cart_tran_bank1_dir=1'b1 //output +//cart_tran_bank1[7] -------------------------------------------+ | | +//cart_tran_pin30 -------------------------------------------------+ | cart_tran_pin30_dir=1'b1, cart_pin30_pwroff_reset=1'b1 (GPIO USE) +//cart_tran_pin31 -------------------------------------------------------+ cart_tran_pin31_dir=1'b0 / 1'b1 +//-------------------------------------------------------------------------------------------------------------------------------------------------------------------++------------------ +// C O N F I G U R A T I O N A || CONFIGURATION B +//-------------------------------------------------------------------------------------------------------------------------------------------------------------------++------------------ +// DEV TYPE 0 1 2 3 4 5 6 || 16 +// PIN_NAME SNAC DISABLED DB15 NES SNES PCENGINE(2BTN) PCENGINE(6BTN) PCENGINE(MULTITAP) || PSX +//USB3 SNAC || [NOT IMPLEMENTED] +//-------------------------------------------------------------------------------------------------------------------------------------------------------------------||------------------ +//VBUS +5V +5V +5V +5V +5V +5V || +5V +//D- OUT1 CLK(O) CLK_1(O) CLK_1(O) CLR(O)(*) CLR(O)(*) CLR(O)(*) || AT1(O) +//D+ OUT2 LAT(O) LAT(O) LAT(O) SEL(O)(*) SEL(O)(*) SEL(O)(*) || AT2(O) +//GND GND GND GND GND GND GND || GND +//RX- IO3 DAT(I) D0_1(I) D0_1(I) D2 (I)(*) D2 (I)(*) D2 (I)(*) || CLK(O) + +//RX+ IN4 D4_2(I) IO_2(I) D0 (I)(*) D0 (I)(*) D0 (I)(*) || DAT(I) +//GND_DRAIN IO5 CLK_2(O) CLK_2(O) || ACK(I) + +//TX- IO6 DAT(I)(1) D3_2(I) D3_2(I) D1 (I)(*) D1 (I)(*) D1 (I)(*) || CMD(O) + +//TX+ IN7 D0_2(I) D0_2(I) D3 (I)(*) D3 (I)(*) D3 (I)(*) D3 (I)(*) || IRQ10(I) +// +//(1) Alternate output of DAT (for male-to-male extension cables which cross Tx,Rx lines) + +//(*) Needs a specific cable harness for use MiSTer SNAC adapter with the Pocket: +// SNAC PCENGINE POCKET +// ADAPTER FUNCTION SNAC +// D- -> D0 -> RX+ IN4 +// D+ -> D1 -> TX- IO6 (IN) +// RX- -> D2 -> RX- IO3 (IN) +// RX+ -> CLR -> D- OUT1 +// GND_D -> D3 -> TX+ IN7 +// TX- -> SEL -> D+ OUT2 +`default_nettype none +`timescale 1ns / 1ps + +module openFPGA_Pocket_Analogizer_SNAC #(parameter MASTER_CLK_FREQ=50_000_000) +( + input wire i_clk, //Core Master Freq. + input wire i_rst, //Core general reset + input wire conf_AB, //0 conf. A(default), 1 conf. B (see graph above) + input wire [4:0] game_cont_type, //0-15 Conf. A, 16-31 Conf. B + //input wire [2:0] game_cont_sample_rate, //0 compatibility mode (slowest), 1 normal mode, 2 fast mode, 3 superfast mode + //PSX rumble interface joy1, joy2 + input [1:0] i_VIB_SW1, // Vibration SW VIB_SW[0] Small Moter OFF 0:ON 1: + //VIB_SW[1] Bic Moter OFF 0:ON 1(Dualshook Only) + input [7:0] i_VIB_DAT1, // Vibration(Bic Moter)Data 8'H00-8'HFF (Dualshook Only) + input [1:0] i_VIB_SW2, + input [7:0] i_VIB_DAT2, + output reg [15:0] p1_btn_state, + output reg [31:0] p1_joy_state, + output reg [15:0] p2_btn_state, + output reg [31:0] p2_joy_state, + output reg [15:0] p3_btn_state, + output reg [15:0] p4_btn_state, + output reg busy, + //SNAC Pocket cartridge port interface (see graph above) + output reg [7:4] CART_BK0_OUT, + input wire [7:4] CART_BK0_IN, + output reg CART_BK0_DIR, + output reg [7:6] CART_BK1_OUT_P76, + output reg CART_PIN30_OUT, + input wire CART_PIN30_IN, + output reg CART_PIN30_DIR, + output reg CART_PIN31_OUT, + input wire CART_PIN31_IN, + output reg CART_PIN31_DIR, + //debug + output wire [3:0] DBG_TX, + output wire o_stb +); + // + logic SNAC_OUT1 ; //cart_tran_bank1[6] D- + logic SNAC_OUT2 ; //cart_tran_bank1[7] D+ + logic SNAC_IO3_A ;//Conf.A: cart_tran_bank0[4] (in), Conf.B: pin30(out) RX- + logic SNAC_IO3_B ;//Conf.B: cart_tran_bank0[4] (in), Conf.B: pin30(out) RX- + logic SNAC_IN4 ; //cart_tran_bank0[7] RX+ + logic SNAC_IO5_A ;//Conf.A: pin30(out), Conf.B: cart_tran_bank1[6] GND_D + logic SNAC_IO5_B ;//Conf.A: pin30(out), Conf.B: cart_tran_bank1[6] GND_D + logic SNAC_IO6_A ;//Conf.A: pin31(in), Conf.B: pin31(out) TX- + logic SNAC_IO6_B ;//Conf.A: pin31(in), Conf.B: pin31(out) TX- + logic SNAC_IN7 ; //cart_tran_bank0[5] TX+ + + //calculate step sizes for fract clock enables + // localparam pce_compat_polling_freq = 20_000; // 20_000 / 5 = 4K samples/sec PCE + localparam pce_normal_polling_freq = 40_000; // 40_000 / 5 = 8K samples/sec PCE + localparam pce_fast_polling_freq = 80_000; // 80_000 / 5 = 16K samples/sec PCE + // localparam pce_very_fast_polling_freq = 100_000; // 100_000 / 5 = 20K samples/sec PCE + + // localparam Compat_60Hz_polling_freq = 1_080; // + // localparam Compat_120Hz_polling_freq = 2_160; // + localparam snes_compat_polling_freq = 50_000; // + // localparam serlatch_compat_polling_freq = 100_000; // 100_000 / 25 = 4K samples/sec DB15 100_000 / 18 = 5.55K samples/sec NES/SNES + localparam serlatch_normal_polling_freq = 200_000; // 200_000 / 25 = 8K samples/sec DB15 200_000 / 18 = 11.11K samples/sec NES/SNES + localparam serlatch_fast_polling_freq = 400_000; // 400_000 / 25 = 16K samples/sec DB15 400_000 / 18 = 22.22K samples/sec NES/SNES + // localparam serlatch_very_fast_polling_freq = 1_000_000; //1_000_000 / 25 = 32K samples/sec DB15 1_000_000 / 18 = 55.55K samples/sec NES/SNES + + localparam psx_normal_polling_freq = 125_000; + localparam psx_fast_polling_freq = 250_000; + localparam psx_ultra_fast_polling_freq = 500_000; + localparam psx_multitap_polling_freq = 1_000_000; + + // localparam uart_dbg_freq = 500_000 * 16; //115_200 * 16; + localparam uart_dbg_freq = 1_000_000; //115_200 * 16; + localparam [64:0] uart_dbg_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * uart_dbg_freq * 2) / 1000; + localparam [32:0] uart_dbg_pstep = uart_dbg_pstep_[32:0]; + + + //the FSM is clocked 2x the polling freq. + localparam [32:0] MAX_INT = 33'h0ffffffff; + // localparam [64:0] pce_compat_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * pce_compat_polling_freq * 2) / 1000; + // localparam [32:0] pce_compat_pstep = pce_compat_pstep_[32:0]; + localparam [64:0] pce_normal_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * pce_normal_polling_freq * 2) / 1000; + localparam [32:0] pce_normal_pstep = pce_normal_pstep_[32:0]; + localparam [64:0] pce_fast_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * pce_fast_polling_freq * 2) / 1000; + localparam [32:0] pce_fast_pstep = pce_fast_pstep_[32:0]; + + localparam [64:0] psx_fast_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * psx_fast_polling_freq * 2) / 1000; + localparam [32:0] psx_fast_pstep = psx_fast_pstep_[32:0]; + localparam [64:0] psx_normal_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * psx_normal_polling_freq * 2) / 1000; + localparam [32:0] psx_normal_pstep = psx_normal_pstep_[32:0]; + localparam [64:0] psx_ultra_fast_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * psx_ultra_fast_polling_freq * 2) / 1000; + localparam [32:0] psx_ultra_fast_pstep = psx_ultra_fast_pstep_[32:0]; + localparam [64:0] psx_multitap_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * psx_multitap_polling_freq * 2) / 1000; + localparam [32:0] psx_multitap_pstep = psx_multitap_pstep_[32:0]; + // localparam [64:0] pce_very_fast_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) *pce_very_fast_polling_freq * 2) / 1000; + // localparam [32:0] pce_very_fast_pstep = pce_very_fast_pstep_[32:0]; + + // localparam [64:0] serlatch_compat_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * serlatch_compat_polling_freq * 2) / 1000; + // localparam [32:0] serlatch_compat_pstep = serlatch_compat_pstep_[32:0]; + localparam [64:0] serlatch_normal_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * serlatch_normal_polling_freq * 2) / 1000; + localparam [32:0] serlatch_normal_pstep = serlatch_normal_pstep_[32:0]; + localparam [64:0] serlatch_fast_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * serlatch_fast_polling_freq * 2) / 1000; + localparam [32:0] serlatch_fast_pstep = serlatch_fast_pstep_[32:0]; + // localparam [64:0] serlatch_very_fast_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * serlatch_very_fast_polling_freq * 2) / 1000; + // localparam [32:0] serlatch_very_fast_pstep = serlatch_very_fast_pstep_[32:0]; + + localparam [64:0] snes_compat_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * snes_compat_polling_freq * 2) / 1000; + localparam [32:0] snes_compat_pstep = snes_compat_pstep_[32:0]; + + // localparam [64:0] Compat_60Hz_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * Compat_60Hz_polling_freq * 2) / 1000; + // localparam [32:0] Compat_60Hz_pstep = Compat_60Hz_pstep_[32:0]; + // localparam [64:0] Compat_120Hz_pstep_ = ((MAX_INT / (MASTER_CLK_FREQ / 1000)) * Compat_120Hz_polling_freq * 2) / 1000; + // localparam [32:0] Compat_120Hz_pstep = Compat_120Hz_pstep_[32:0]; + + //Supported game controller types + localparam GC_DISABLED = 5'h0; + localparam GC_DB15 = 5'h1; + localparam GC_NES = 5'h2; + localparam GC_SNES = 5'h3; + localparam GC_PCE_2BTN = 5'h4; + localparam GC_PCE_6BTN = 5'h5; + localparam GC_PCE_MULTITAP = 5'h6; + localparam GC_DB15_FAST = 5'h9; + localparam GC_SNES_SWAP = 5'hB; + localparam GC_PSX = 5'h10; //16 PSX 125KHz + localparam GC_PSX_FAST = 5'h11; //17 PSX 250KHz + localparam GC_PSX_ANALOG = 5'h12; //16 PSX 125KHz + localparam GC_PSX_ANALOG_FAST = 5'h13; //17 PSX 250KHz + + //Configuration: + localparam CONF_A = 1'b0; + localparam CONF_B = 1'b1; + + reg conf_AB_r; + reg [4:0] game_cont_type_r; + // reg [2:0] game_cont_sample_rate_r; + reg [32:0] strobe_step_size; + reg reset_on_change; + + always @(posedge i_clk) begin + //register SNAC settings + conf_AB_r <= conf_AB; + game_cont_type_r <= game_cont_type; + //game_cont_sample_rate_r <= game_cont_sample_rate; + + //detect change of SNAC settings and reset clock divider and set new settings + reset_on_change <= 1'b0; + //if(i_rst || (game_cont_type_r != game_cont_type) || (game_cont_sample_rate_r != game_cont_sample_rate)) begin + if(i_rst || (game_cont_type_r != game_cont_type)) begin + reset_on_change <= 1'b1; + end + end + + reg serlat_ena; + reg pce_ena; + reg psx_ena; + + always @(posedge i_clk) begin + case (game_cont_type) + GC_PSX, GC_PSX_ANALOG: begin + psx_ena <= 1'b1; + strobe_step_size <= psx_normal_pstep; + end + GC_PSX_FAST, GC_PSX_ANALOG_FAST: begin + psx_ena <= 1'b1; + strobe_step_size <= psx_fast_pstep; + end + GC_DB15: begin + serlat_ena <= 1'b1; + strobe_step_size <= serlatch_normal_pstep; + // case (game_cont_sample_rate) + // 0: begin strobe_step_size <= serlatch_compat_pstep; end + // 1: begin strobe_step_size <= serlatch_normal_pstep; end + // 2: begin strobe_step_size <= serlatch_fast_pstep; end + // // 3: begin strobe_step_size <= serlatch_very_fast_pstep; end + // // 4: begin strobe_step_size <= snes_compat_pstep; end + // // 5: begin strobe_step_size <= Compat_60Hz_pstep; end + // // 6: begin strobe_step_size <= Compat_120Hz_pstep; end + // default: begin strobe_step_size <= serlatch_compat_pstep ; end + // endcase + end + GC_DB15_FAST: begin + serlat_ena <= 1'b1; + strobe_step_size <= serlatch_fast_pstep; + end + GC_NES, GC_SNES, GC_SNES_SWAP: begin + serlat_ena <= 1'b1; + strobe_step_size <= snes_compat_pstep; + // case (game_cont_sample_rate) + // 0: begin strobe_step_size <= serlatch_compat_pstep ; end + // 1: begin strobe_step_size <= serlatch_normal_pstep; end + // 2: begin strobe_step_size <= serlatch_fast_pstep; end + // // 3: begin strobe_step_size <= serlatch_very_fast_pstep; end + // // 4: begin strobe_step_size <= snes_compat_pstep; end + // // 5: begin strobe_step_size <= Compat_60Hz_pstep; end + // // 6: begin strobe_step_size <= Compat_120Hz_pstep; end + // default: begin strobe_step_size <= serlatch_compat_pstep ; end + // endcase + end + GC_PCE_2BTN, GC_PCE_6BTN: begin + pce_ena <= 1'b1; + strobe_step_size <= pce_normal_pstep; + // case (game_cont_sample_rate) + // 0: begin strobe_step_size <= pce_compat_pstep; end + // 1: begin strobe_step_size <= pce_normal_pstep; end + // 2: begin strobe_step_size <= pce_fast_pstep; end + // 3: begin strobe_step_size <= pce_very_fast_pstep; end + // default: begin strobe_step_size <= pce_compat_pstep; end + // endcase + end + GC_PCE_MULTITAP: begin + pce_ena <= 1'b1; + strobe_step_size <= pce_fast_pstep; + end + + default: begin//disabled + serlat_ena <= 1'b0; + pce_ena <= 1'b0; + psx_ena <= 1'b0; + strobe_step_size <= 33'h0; + end + endcase + end + + always @(posedge i_clk) begin + case (conf_AB) + CONF_A: begin + CART_BK0_DIR <= 1'b0; //INPUT + {SNAC_IN4,SNAC_IN7,SNAC_IO3_A} <= {CART_BK0_IN[7],CART_BK0_IN[5],CART_BK0_IN[4]}; //OUTPUT + CART_BK1_OUT_P76 <= {SNAC_OUT2,SNAC_OUT1}; //OUTPUT + CART_PIN30_DIR <= 1'b1; //OUTPUT + CART_PIN30_OUT <= SNAC_IO5_A; + CART_PIN31_DIR <= 1'b0; //INPUT + SNAC_IO6_A <= CART_PIN31_IN; + end + CONF_B: begin + CART_BK0_DIR <= 1'b0; //INPUT + {SNAC_IN4,SNAC_IO5_B,SNAC_IN7} <= {CART_BK0_IN[7],CART_BK0_IN[6],CART_BK0_IN[5]}; //OUTPUT + CART_BK1_OUT_P76 <= {SNAC_OUT2,SNAC_OUT1}; //OUTPUT + CART_PIN30_DIR <= 1'b1; //OUTPUT + CART_PIN30_OUT <= SNAC_IO3_B; + CART_PIN31_DIR <= 1'b1; //OUTPUT + CART_PIN31_OUT <= SNAC_IO6_B; + end + endcase + end + + wire stb_clk ; + clock_divider_fract ckdiv( + .i_clk (i_clk), + .i_rst(reset_on_change), //reset on polling freq change + .i_step(strobe_step_size[31:0]), + .o_stb (stb_clk) + ); + + wire dbg_clk_w; + reg dbg_clk /* synthesis noprune */; + clock_divider_fract dbgckdiv( + .i_clk (i_clk), + .i_rst(reset_on_change), //reset on polling freq change + .i_step(uart_dbg_pstep[31:0]), + .o_stb (dbg_clk_w) + ); + + always @(posedge i_clk) dbg_clk <= dbg_clk_w; + + assign o_stb = stb_clk; + + //PSX game controller for 1/2 players + wire [15:0] psx_key1, psx_key2; + wire [31:0] psx_joy1, psx_joy2; + wire PSX_SNAC_OUT1 ; + wire PSX_SNAC_OUT2 ; + analogizer_psx #(.MASTER_CLK_FREQ(MASTER_CLK_FREQ)) psx ( + .i_clk(i_clk), + .i_rst(reset_on_change), + .i_ena(psx_ena), + .i_stb(stb_clk), + .key1(psx_key1), + .joy1(psx_joy1), + .key2(psx_key2), + .joy2(psx_joy2), + //PSX RUMBLE INTERFACE + .i_VIB_SW1(i_VIB_SW1), .i_VIB_DAT1(i_VIB_DAT1), .i_VIB_SW2(i_VIB_SW2), .i_VIB_DAT2(i_VIB_DAT2), + //PSX EXTERNAL INTERFACE + .PSX_CLK(SNAC_IO3_B), + .PSX_DAT(SNAC_IN4), + .PSX_CMD(SNAC_IO6_B), + .PSX_ATT1(PSX_SNAC_OUT1), + .PSX_ATT2(PSX_SNAC_OUT2), + .PSX_ACK(SNAC_IO5_B), + .DBG_TX(DBG_TX) + ); + //assign PSX_SNAC_OUT2 = 1'b1; + + //DB15/NES/SNES game controller + wire [15:0] sl_p1 ; + wire [15:0] sl_p2 ; + wire SERLAT_SNAC_OUT1 ; + wire SERLAT_SNAC_OUT2 ; + reg [1:0] i_D3_r; + reg [1:0] i_D4_r; + //wire SERLAT_SNAC_IO5_A /* synthesis keep */; + + //shift in D3 and D4 from SNAC_IN4 and SNAC_IO6_A for NES Zapper controller + always @(posedge i_clk) begin + i_D3_r <= {i_D3_r[0],SNAC_IO6_A}; + i_D4_r <= {i_D4_r[0],SNAC_IN4}; + end + serlatch_game_controller #(.MASTER_CLK_FREQ(MASTER_CLK_FREQ)) slgc + ( + .i_clk(i_clk), + .i_rst(reset_on_change), + .game_controller_type(game_cont_type[3:0]), //0x1 DB15, 0x2 NES, 0x3 SNES, 0x9 DB15 FAST, 0XB SNES SWAP A,B<->X,Y + .i_stb(stb_clk), + .p1_btn_state(sl_p1), + .p2_btn_state(sl_p2), + .busy(), + //SNAC Game controller interface + .o_clk(SERLAT_SNAC_OUT1), //shared for 2 controllers + .o_clk2(SNAC_IO5_A), + .o_lat(SERLAT_SNAC_OUT2), //shared for 2 controllers + .i_dat1((game_cont_type == 5'h1 || game_cont_type == 5'h9) ? SNAC_IO3_A & SNAC_IO6_A : SNAC_IO3_A ), //data from controller 1 + .i_dat2(SNAC_IN7) //data from controller 2 + ); + + //PCENGINE game controller + wire [15:0] pce_p1 ; + wire PCE_SNAC_OUT1 ; + wire PCE_SNAC_OUT2 ; + + pcengine_game_controller #(.MASTER_CLK_FREQ(MASTER_CLK_FREQ), .PULSE_CLR_LINE(1'b1)) pcegc1 + ( + .i_clk(i_clk), + .i_rst(reset_on_change), + .game_controller_type(game_cont_type[3:0]), //0X4 2btn, 0X5 6btn + .i_stb(stb_clk), + .player_btn_state(pce_p1), + .busy(), + //SNAC Game controller interface + .o_clr(PCE_SNAC_OUT1), //shared for 2 controllers + .o_sel(PCE_SNAC_OUT2), //shared for 2 controllers + .i_dat({SNAC_IN7,SNAC_IO3_A,SNAC_IO6_A,SNAC_IN4}) //data from controller + ); + +wire [15:0] pce_multitap_p1, pce_multitap_p2, pce_multitap_p3, pce_multitap_p4; +wire PCE_MULTITAP_SNAC_OUT1, PCE_MULTITAP_SNAC_OUT2; + +pcengine_game_controller_multitap #(.MASTER_CLK_FREQ(MASTER_CLK_FREQ)) pcegmutitap +( + .i_clk(i_clk), + .i_rst(reset_on_change), + .game_controller_type(game_cont_type[3:0]), //0x6 multitap + .i_stb(stb_clk), + .player1_btn_state(pce_multitap_p1), + .player2_btn_state(pce_multitap_p2), + .player3_btn_state(pce_multitap_p3), + .player4_btn_state(pce_multitap_p4), + .player5_btn_state(), + .busy(), + //SNAC Game controller interface + .o_clr(PCE_MULTITAP_SNAC_OUT1), //shared for 2 controllers + .o_sel(PCE_MULTITAP_SNAC_OUT2), //shared for 2 controllers + .i_dat({SNAC_IN7,SNAC_IO3_A,SNAC_IO6_A,SNAC_IN4}) //data from controller +); + + always @(*) begin + p1_joy_state = 32'h80808080; //analog stick neutral position value + p2_joy_state = 32'h80808080; //analog stick neutral position value + + case(game_cont_type) + GC_DISABLED: begin + SNAC_OUT1 = 1'b0; + SNAC_OUT2 = 1'b0; + p1_btn_state = 16'h0; + p2_btn_state = 16'h0; + p3_btn_state = 16'h0; + p4_btn_state = 16'h0; + end + GC_DB15, GC_DB15_FAST, GC_SNES, GC_SNES_SWAP: begin + SNAC_OUT1 = SERLAT_SNAC_OUT1; + SNAC_OUT2 = SERLAT_SNAC_OUT2; + p1_btn_state = sl_p1; + p2_btn_state = sl_p2; + p3_btn_state = 16'h0; + p4_btn_state = 16'h0; + + end + //added special case for NES Zapper + GC_NES: begin + SNAC_OUT1 = SERLAT_SNAC_OUT1; + SNAC_OUT2 = SERLAT_SNAC_OUT2; + p1_btn_state = sl_p1; //{sl_p1[15:8], ~i_D4_r[1], ~i_D3_r[1], sl_p1[5:0]}; //insert D4 and D3 at 7 and 6 bit positions (X,Y buttons) + p2_btn_state = {sl_p2[15:8], ~i_D4_r[1], ~i_D3_r[1], sl_p2[5:0]}; + p3_btn_state = 16'h0; + p4_btn_state = 16'h0; + + end + GC_PCE_2BTN, GC_PCE_6BTN: begin + SNAC_OUT1 = PCE_SNAC_OUT1; + SNAC_OUT2 = PCE_SNAC_OUT2; + p1_btn_state = pce_p1; + p2_btn_state = 16'h0; + p3_btn_state = 16'h0; + p4_btn_state = 16'h0; + end + GC_PCE_MULTITAP: begin + SNAC_OUT1 = PCE_MULTITAP_SNAC_OUT1; + SNAC_OUT2 = PCE_MULTITAP_SNAC_OUT2; + p1_btn_state = pce_multitap_p1; + p2_btn_state = pce_multitap_p2; + p3_btn_state = pce_multitap_p3; + p4_btn_state = pce_multitap_p4; + end + GC_PSX, GC_PSX_ANALOG, GC_PSX_FAST, GC_PSX_ANALOG_FAST: begin + SNAC_OUT1 = PSX_SNAC_OUT1; + SNAC_OUT2 = PSX_SNAC_OUT2; + p1_btn_state = psx_key1; + p1_joy_state = psx_joy1; + p2_btn_state = psx_key2; + p2_joy_state = psx_joy2; + p3_btn_state = 16'h0; + p4_btn_state = 16'h0; + end + default: begin + SNAC_OUT1 = 1'b0; + SNAC_OUT2 = 1'b0; + p1_btn_state = 16'h0; + p2_btn_state = 16'h0; + p3_btn_state = 16'h0; + p4_btn_state = 16'h0; + end + endcase + end +endmodule \ No newline at end of file diff --git a/analogizer/pcengine_game_controller_multitap.v b/analogizer/pcengine_game_controller_multitap.v new file mode 100644 index 0000000..ff3c6ab --- /dev/null +++ b/analogizer/pcengine_game_controller_multitap.v @@ -0,0 +1,233 @@ +//pcengine_game_controller_multitap.v +//*********************************************************************** +//* Analogizer PCEngine SNAC openFPGA interface for the Analogue Pocket * +//*********************************************************************** +// By @RndMnkIII. +// Date: 01/2024 +// Release: 1.0 + +// Aquí he documentado el funcionamiento de los diferentes mandos de juegos a los que he ido dando soporte, basado en las capturas de datos +// realizadas con analizador lógico + generador de patrones: +// +// ************ +// * PCEngine * Tested up 100KHz clr to clr (500KHz step) +// ************ +// <--------- 2BTN --------> +// <----------------- 6BTN ----------------> +// STB | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | +// ......... +// CLR : :_______________________________ +// _______________ _______ +// SEL / \_______/ \_______ +// ________ _______ _______ _______ _______ +// DATA 1 \ LDRU X RS21 X 0 X 6543 +// ^ ^ ^ ^ +// SAMPLE | | | | +// 1 2 3 4 + +// NEED TO CHECK THIS -+ +// | +// V +// +// <--------- 2BTN -------> +// <----------------- 6BTN ------------------------> +// STB | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 |10 |11 | +// | SCAN1 | SCAN2 | +// ..... ..... +// CLR : :___________________: :___________________ +// ___________ ___________ +// SEL / \___________/ \___________ +// _________ _________ _________ _________ +// DATA ____/ LDRU X RS21 \___/ 0 X 6543 +// ^ ^ ^ ^ ^ ^ +// SAMPLE | | | | +// 1 2 3 4 +// TEST DATA 1 +// TEST DATA 2 +// POCKET INPUTS +// 1 P1 up DO +// 0 P1 down D2 +// 1 P1 left D3 +// 0 P1 right D1 +// 0 P1 y D1 +// 1 P1 x D0 +// 0 P1 b D1 +// 1 P1 a D0 +// 1 P1 l1 D2 +// 0 P1 r1 D3 +// 0 P1 l2 -------------------------- +// 0 P1 r2 -------------------------- +// 0 P1 l3 -------------------------- +// 0 P1 r3 -------------------------- +// 0 P1 select D2 +// 1 P1 start D3 +// X +//P1 1010 0101 1000 0001 +// A 5 8 1 +//gtkwave +//p1 1010 0101 1000 0001 +// A581 +// MULTITAP (x5 Controllers): +// CLR SEL Active Port Port 1 CLR Port 1 SEL Port 2 CLR Port 2 SEL Port 3 CLR Port 3 SEL Port 4 CLR Port 4 SEL Port 5 CLR Port 5 SEL +// 1 L H None H H H H H H H H H H +// 2 H H None H H H H H H H H H H +// 3 L H 1 L H H H H H H H H H +// 4 L L 1 L L H H H H H H H H +// 5 L H 2 H H L H H H H H H H +// 6 L L 2 H H L L H H H H H H +// 7 L H 3 H H H H L H H H H H +// 8 L L 3 H H H H L L H H H H +// 9 L H 4 H H H H H H L H H H +// 10 L L 4 H H H H H H L L H H +// 11 L H 5 H H H H H H H H L H +// 12 L L 5 H H H H H H H H L L +`default_nettype none + +module pcengine_game_controller_multitap #(parameter MASTER_CLK_FREQ=50_000_000) +( + input wire i_clk, + input wire i_rst, + input wire [3:0] game_controller_type, //0x4 2btn, 0x5 6btn, 0x6 multitap + input wire i_stb, + output reg [15:0] player1_btn_state, + output reg [15:0] player2_btn_state, + output reg [15:0] player3_btn_state, + output reg [15:0] player4_btn_state, + output reg [15:0] player5_btn_state, + output reg busy, + + //SNAC Game controller interface + output wire o_clr, + output wire o_sel, + input wire [3:0] i_dat //data from controller +); + //FSM states + parameter IDLE = 3'b001; + parameter CLR = 3'b010; + parameter PRE_CLR = 3'b011; + parameter DATA = 3'b100; + + //store module settings + reg [3:0] game_controller_type_r; + + reg [6:0] state ; + + reg [4:0] counter; + reg [4:0] scan_number; + reg [4:0] counter_top_value; + reg clr_internal; + reg sel_internal; + reg [11:0] pb1_r, pb2_r, pb3_r, pb4_r, pb5_r; + + wire sample_data; + + //always sample data at falling edge of o_clk starting and second clock pulse in latch phase. + assign sample_data = ~counter[0] && i_stb && (counter > 0) && (counter <= counter_top_value); + + always @(posedge i_clk) begin + game_controller_type_r <= game_controller_type; + + //detect any change on gamepad configuration and restart FSM at IDLE state. + if(i_rst || (game_controller_type != game_controller_type_r)) begin + state <= IDLE; + pb1_r <= 12'hfff; + pb2_r <= 12'hfff; + pb3_r <= 12'hfff; + pb4_r <= 12'hfff; + pb5_r <= 12'hfff; + end + else begin + if(i_stb) begin + case(state) + IDLE: + begin + //fetch data from last read + + //button order from first to last + //0 1 2 3 4 5 6 7 8 9 10 11 + //UP RIGHT DOWN LEFT I II SELECT RUN III IV V VI + //follow Pocket game controls order: D C B A E F + // up down left right btn_y btn_x btn_b btn_a btn_l1 btn_r1 btn_l2 btn_r2 btn_l3 btn_r3 select start + //player_btn_state <= ~{pb_r[0], pb_r[2], pb_r[3], pb_r[1], pb_r[9], pb_r[8], pb_r[5], pb_r[4], pb_r[10], pb_r[11], 1'b1, 1'b1, 1'b1, 1'b1,pb_r[6],pb_r[7]}; + + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGH LEFT DOWN UP + player1_btn_state <= ~{pb1_r[7], pb1_r[6], 8'b11111111, pb1_r[5], pb1_r[4],pb1_r[1], pb1_r[3], pb1_r[2], pb1_r[0]}; + player2_btn_state <= ~{pb2_r[7], pb2_r[6], 8'b11111111, pb2_r[5], pb2_r[4],pb2_r[1], pb2_r[3], pb2_r[2], pb2_r[0]}; + player3_btn_state <= ~{pb3_r[7], pb3_r[6], 8'b11111111, pb3_r[5], pb3_r[4],pb3_r[1], pb3_r[3], pb3_r[2], pb3_r[0]}; + player4_btn_state <= ~{pb4_r[7], pb4_r[6], 8'b11111111, pb4_r[5], pb4_r[4],pb4_r[1], pb4_r[3], pb4_r[2], pb4_r[0]}; + player5_btn_state <= ~{pb5_r[7], pb5_r[6], 8'b11111111, pb5_r[5], pb5_r[4],pb5_r[1], pb5_r[3], pb5_r[2], pb5_r[0]}; + + counter <= 0; + scan_number <= 0; + counter_top_value <= 5'd19; + + sel_internal <= 1'b1; + clr_internal <= 1'b0; + busy <= 1'b1; + pb1_r <= 12'hfff; + pb2_r <= 12'hfff; + pb3_r <= 12'hfff; + pb4_r <= 12'hfff; + pb5_r <= 12'hfff; + state <= PRE_CLR; + end + PRE_CLR: begin + sel_internal <= 1'b1; + clr_internal <= 1'b1; + state <= CLR; + end + + CLR: + begin + counter <= counter + 1; + sel_internal <= 1'b1; + clr_internal <= 1'b0; + pb1_r[3:0] <= i_dat; + state <= DATA; + end + DATA: + begin + counter <= counter + 1; //should be start clocking at 3 + //following data samples are get in DATA phase. + if(counter[0]) begin + sel_internal <= ~sel_internal; + scan_number <= scan_number + 1; + end + + if(sample_data) begin//read button state + case(scan_number) + //0: pb1_r[3:0] <= i_dat; + 1: pb1_r[7:4] <= i_dat; + 2: pb2_r[3:0] <= i_dat; + 3: pb2_r[7:4] <= i_dat; + 4: pb3_r[3:0] <= i_dat; + 5: pb3_r[7:4] <= i_dat; + 6: pb4_r[3:0] <= i_dat; + 7: pb4_r[7:4] <= i_dat; + 8: pb5_r[3:0] <= i_dat; + 9: pb5_r[7:4] <= i_dat; + default: + begin + pb1_r <= pb1_r; + pb2_r <= pb2_r; + pb3_r <= pb3_r; + pb4_r <= pb4_r; + pb5_r <= pb5_r; + end + endcase + end + + //the gamepads buton state are fetched at the end of DATA phase 1101 0101 + if(scan_number == 9) begin + state <= IDLE; + busy <= 1'b0; + end + end + endcase + end + end + end + + assign o_clr = (game_controller_type_r == 4'h6) ? clr_internal : 1'b0; + assign o_sel = (game_controller_type_r == 4'h6) ? sel_internal : 1'b0; +endmodule \ No newline at end of file diff --git a/analogizer/pcengine_gc.v b/analogizer/pcengine_gc.v new file mode 100644 index 0000000..6563a96 --- /dev/null +++ b/analogizer/pcengine_gc.v @@ -0,0 +1,195 @@ +//pcengine_gamecontroller.v +//*********************************************************************** +//* Analogizer PCEngine SNAC openFPGA interface for the Analogue Pocket * +//*********************************************************************** +// By @RndMnkIII. +// Date: 01/2024 +// Release: 1.0 + +// Aquí he documentado el funcionamiento de los diferentes mandos de juegos a los que he ido dando soporte, basado en las capturas de datos +// realizadas con analizador lógico + generador de patrones: +// +// ************ +// * PCEngine * Tested up 100KHz clr to clr (500KHz step) +// ************ +// <--------- 2BTN --------> +// <----------------- 6BTN ----------------> +// STB | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | +// ......... +// CLR : :_______________________________ +// _______________ _______ +// SEL / \_______/ \_______ +// ________ _______ _______ _______ _______ +// DATA 1 \ LDRU X RS21 X 0 X 6543 +// ^ ^ ^ ^ +// SAMPLE | | | | +// 1 2 3 4 + +// NEED TO CHECK THIS -+ +// | +// V +// +// <--------- 2BTN -------> +// <----------------- 6BTN ------------------------> +// STB | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 |10 |11 | +// | SCAN1 | SCAN2 | +// ___ ___ +// CLR | |___________________| |___________________ +// ___________ ___________ +// SEL / \___________/ \___________ +// _________ _________ _________ _________ +// DATA ____/ LDRU X RS21 \___/ 0 X 6543 +// ^ ^ ^ ^ ^ ^ +// SAMPLE | | | | +// 1 2 3 4 +`default_nettype none + +module pcengine_game_controller #(parameter MASTER_CLK_FREQ=50_000_000, parameter PULSE_CLR_LINE=1'b0) +( + input wire i_clk, + input wire i_rst, + input wire [3:0] game_controller_type, //0X4 2btn,0X5 6btn + input wire i_stb, + output reg [15:0] player_btn_state, + output reg busy, + + //SNAC Game controller interface + output wire o_clr, + output wire o_sel, + input wire [3:0] i_dat //data from controller +); + + + //FSM states + parameter IDLE = 3'b001; + parameter CLR = 3'b010; + parameter DATA = 3'b100; + + //store module settings + reg [3:0] game_controller_type_r; + + wire pulse_clr = PULSE_CLR_LINE; + + reg [2:0] state ; + + reg [3:0] counter; + reg [3:0] scan_number; + reg [3:0] counter_top_value; + reg clr_internal; + reg sel_internal; + reg [11:0] pb_r; + + + wire latch_level,disable_clock_on_latch; + wire sample_data; + + reg btn6; + + //always sample data at falling edge of o_clk starting and second clock pulse in latch phase. + assign sample_data = ~counter[0] && i_stb && (counter > 0) && (counter <= counter_top_value); + + always @(posedge i_clk) begin + game_controller_type_r <= game_controller_type; + + //detect any change on gamepad configuration and restart FSM at IDLE state. + if(i_rst || (game_controller_type != game_controller_type_r)) begin + state <= IDLE; + pb_r <= 12'hfff; + end + else begin + if(i_stb) begin + case(state) + IDLE: + begin + //fetch data from last read + + //button order from first to last + //0 1 2 3 4 5 6 7 8 9 10 11 + //UP RIGHT DOWN LEFT I II SELECT RUN III IV V VI + //follow Pocket game controls order: D C B A E F + + + if(game_controller_type_r == 4'h5) begin + //6btn mapping + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGH LEFT DOWN UP + // 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 + player_btn_state <= ~{pb_r[7], pb_r[6], 4'b1111, pb_r[11], pb_r[10], pb_r[9], pb_r[8], pb_r[5], pb_r[4], pb_r[1], pb_r[3], pb_r[2], pb_r[0]}; + end + else if (game_controller_type_r == 4'h4) begin + //2btn mapping RUN+A = X, RUN+B = Y not implemented + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGH LEFT DOWN UP + player_btn_state <= ~{pb_r[7], pb_r[6], 6'b111111, 1'b1, 1'b1, pb_r[5], pb_r[4], pb_r[1], pb_r[3], pb_r[2], pb_r[0]}; + end + else begin + player_btn_state <= 16'h0; + end + counter <= 0; + scan_number <= 0; + + // if (game_controller_type_r == 5'd4) counter_top_value <= 4'd4; + // else if(game_controller_type_r == 5'd5) counter_top_value <= 4'd8; + counter_top_value <= 4'd4; + sel_internal <= 1'b1; + clr_internal <= pulse_clr; + busy <= 1'b1; + //if (~btn6) pb_r <= 12'hfff; + state <= CLR; + end + CLR: + begin + counter <= counter + 1; + if (counter == 1) begin + state <= DATA; + sel_internal <= 1'b1; + clr_internal <= 1'b0; + end + end + DATA: + begin + counter <= counter + 1; //should be start clocking at 3 + //following data samples are get in DATA phase. + if(counter[0]) begin + sel_internal <= ~sel_internal; + scan_number <= scan_number + 1; + end + + if(sample_data) begin//read button state + case(scan_number) + 0: begin + if(i_dat == 4'b0000) begin + btn6 <= 1'b1; + //pb_r[3:0] <= pb_r[3:0]; + end + else begin + btn6 <= 1'b0; + pb_r[3:0] <= i_dat; + end + end + 1: begin + if(btn6) begin + pb_r[11:8] <= i_dat; + end + else begin + pb_r[7:4] <= i_dat; + end + //btn6 <= 1'b0; + end + // 3: pb_r[11:8] <= i_dat; + default: pb_r <= pb_r; + endcase + end + + //the gamepads buton state are fetched at the end of DATA phase + if(counter == counter_top_value) begin + state <= IDLE; + busy <= 1'b0; + end + end + endcase + end + end + end + + assign o_clr = (game_controller_type_r == 4'd0) ? 1'b0 : clr_internal; + assign o_sel = (game_controller_type_r == 4'd0) ? 1'b0 : sel_internal; +endmodule \ No newline at end of file diff --git a/analogizer/psPAD_top.v b/analogizer/psPAD_top.v new file mode 100644 index 0000000..e3ec1b6 --- /dev/null +++ b/analogizer/psPAD_top.v @@ -0,0 +1,664 @@ +//------------------------------------------------------------------- +// +// PLAYSTATION CONTROLLER(DUALSHOCK TYPE) INTERFACE TOP +// +// Version : 2.00 +// +// Copyright(c) 2003 - 2004 Katsumi Degawa , All rights reserved +// +// Important ! +// +// This program is freeware for non-commercial use. +// An author does no guarantee about this program. +// You can use this under your own risk. +// +// 2003.10.30 It is optimized . by K Degawa +// +//------------------------------------------------------------------- +`timescale 100ps/10ps + +//--------- SIMULATION ---------------------------------------------- +//`define SIMULATION_1 + +`ifdef SIMULATION_1 +`define Timer_siz 18 +`else +`define Timer_siz 12 +`endif +//------------------------------------------------------------------- +`define Dualshock + +module psPAD_top( + +I_CLK250K, // MAIN CLK 250KHz +I_RSTn, // MAIN RESET + +O_psCLK, // psCLK CLK OUT +O_psSEL, // psSEL OUT +O_psTXD, // psTXD OUT +I_psRXD, // psRXD IN +O_RXD_1, // RX DATA 1 (8bit) +O_RXD_2, // RX DATA 2 (8bit) +O_RXD_3, // RX DATA 3 (8bit) +O_RXD_4, // RX DATA 4 (8bit) +O_RXD_5, // RX DATA 5 (8bit) +O_RXD_6, // RX DATA 6 (8bit) +I_CONF_SW, // Dualshook Config ACTIVE-HI +I_MODE_SW, // Dualshook Mode Set DEGITAL PAD 0: ANALOG PAD 1: +I_MODE_EN, // Dualshook Mode Control OFF 0: ON 1: +I_VIB_SW, // Vibration SW VIB_SW[0] Small Moter OFF 0:ON 1: + // VIB_SW[1] Bic Moter OFF 0:ON 1(Dualshook Only) +I_VIB_DAT // Vibration(Bic Moter)Data 8'H00-8'HFF (Dualshook Only) + +); + +input I_CLK250K,I_RSTn; +input I_CONF_SW; +input I_MODE_SW,I_MODE_EN; +input [1:0]I_VIB_SW; +input [7:0]I_VIB_DAT; +input I_psRXD; +output O_psCLK; +output O_psSEL; +output O_psTXD; +output [7:0]O_RXD_1; +output [7:0]O_RXD_2; +output [7:0]O_RXD_3; +output [7:0]O_RXD_4; +output [7:0]O_RXD_5; +output [7:0]O_RXD_6; + +wire W_scan_seq_pls; +wire W_type; +wire [3:0]W_byte_cnt; +wire W_RXWT; +wire W_TXWT; +wire W_TXSET; +wire W_TXEN; +wire [7:0]W_TXD_DAT; +wire [7:0]W_RXD_DAT; +wire W_conf_ent; + +ps_pls_gan pls( + +.I_CLK(I_CLK250K), +.I_RSTn(I_RSTn), +.I_TYPE(W_type), // DEGITAL PAD 0: ANALOG PAD 1: + +.O_SCAN_SEQ_PLS(W_scan_seq_pls), +.O_RXWT(W_RXWT), +.O_TXWT(W_TXWT), +.O_TXSET(W_TXSET), +.O_TXEN(W_TXEN), +.O_psCLK(O_psCLK), +.O_psSEL(O_psSEL), +.O_byte_cnt(W_byte_cnt), + +//.Timer(O_Timer) +.Timer() + +); + +`ifdef Dualshock +txd_commnd cmd( +.I_CLK(W_TXSET), +.I_RSTn(I_RSTn), +.I_BYTE_CNT(W_byte_cnt), +.I_MODE({I_CONF_SW,~I_MODE_EN,I_MODE_SW}), +.I_VIB_SW(I_VIB_SW), +.I_VIB_DAT(I_VIB_DAT), +.I_RXD_DAT(W_RXD_DAT), +.O_TXD_DAT(W_TXD_DAT), +.O_TYPE(W_type), +.O_CONF_ENT(W_conf_ent) + +); + +`else +txd_commnd_EZ cmd( + +.I_CLK(W_TXSET), +.I_RSTn(I_RSTn), +.I_BYTE_CNT(W_byte_cnt), +.I_MODE(), +.I_VIB_SW(I_VIB_SW), +.I_VIB_DAT(), +.I_RXD_DAT(), +.O_TXD_DAT(W_TXD_DAT), +.O_TYPE(W_type), +.O_CONF_ENT(W_conf_ent) + +); + +`endif + +ps_txd txd( + +.I_CLK(I_CLK250K), +.I_RSTn(I_RSTn), +.I_WT(W_TXWT), +.I_EN(W_TXEN), +.I_TXD_DAT(W_TXD_DAT), +.O_psTXD(O_psTXD) + +); + +ps_rxd rxd( + +.I_CLK(O_psCLK), +.I_RSTn(I_RSTn), +.I_WT(W_RXWT), +.I_psRXD(I_psRXD), +.O_RXD_DAT(W_RXD_DAT) + +); + +//---------- RXD DATA DEC ---------------------------------------- +reg [7:0]O_RXD_1; +reg [7:0]O_RXD_2; +reg [7:0]O_RXD_3; +reg [7:0]O_RXD_4; +reg [7:0]O_RXD_5; +reg [7:0]O_RXD_6; + +reg W_rxd_mask; +always@(posedge W_scan_seq_pls) + W_rxd_mask <= ~W_conf_ent; + +always@(negedge W_RXWT) +begin + if(W_rxd_mask)begin + case(W_byte_cnt) + 3: O_RXD_1 <= W_RXD_DAT; + 4: O_RXD_2 <= W_RXD_DAT; + 5: O_RXD_3 <= W_RXD_DAT; + 6: O_RXD_4 <= W_RXD_DAT; + 7: O_RXD_5 <= W_RXD_DAT; + 8: O_RXD_6 <= W_RXD_DAT; + default:; + endcase + end +end + +endmodule + +`ifdef Dualshock +//.I_MODE({I_CONF_SW,~I_MODE_EN,I_MODE_SW}), +module txd_commnd_EZ( + +I_CLK, +I_RSTn, +I_BYTE_CNT, +I_MODE, +I_VIB_SW, +I_VIB_DAT, +I_RXD_DAT, +O_TXD_DAT, +O_TYPE, +O_CONF_ENT + +); + +input I_CLK,I_RSTn; +input [3:0]I_BYTE_CNT; +input [2:0]I_MODE; +input [1:0]I_VIB_SW; +input [7:0]I_VIB_DAT; +input [7:0]I_RXD_DAT; +output [7:0]O_TXD_DAT; +output O_TYPE; +output O_CONF_ENT; + +reg [7:0]O_TXD_DAT; + +assign O_TYPE = 1'b1; +assign O_CONF_ENT = 1'b0; +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn)begin + O_TXD_DAT <= 8'h00; + end + else begin + case(I_BYTE_CNT) + 0:O_TXD_DAT <= 8'h01; + 1:O_TXD_DAT <= 8'h42; + 3:begin + if(I_VIB_SW) O_TXD_DAT <= 8'h40; + else O_TXD_DAT <= 8'h00; + end + 4:begin + if(I_VIB_SW) O_TXD_DAT <= 8'h01; + else O_TXD_DAT <= 8'h00; + end + default: O_TXD_DAT <= 8'h00; + endcase + end +end + +endmodule +`endif + +module txd_commnd( + +I_CLK, +I_RSTn, +I_BYTE_CNT, +I_MODE, +I_VIB_SW, +I_VIB_DAT, +I_RXD_DAT, +O_TXD_DAT, +O_TYPE, +O_CONF_ENT + +); + +input I_CLK,I_RSTn; +input [3:0]I_BYTE_CNT; +input [2:0]I_MODE; +input [1:0]I_VIB_SW; +input [7:0]I_VIB_DAT; +input [7:0]I_RXD_DAT; +output [7:0]O_TXD_DAT; +output O_TYPE; +output O_CONF_ENT; + +wire [1:0]pad_mode = I_MODE[1:0]; +wire ds_sw = I_MODE[2]; + +reg [7:0]O_TXD_DAT; +reg [2:0]conf_state; +reg conf_entry; +reg conf_ent_reg; +reg conf_done; +reg pad_status; +reg pad_id; + +assign O_TYPE = pad_id; +assign O_CONF_ENT = conf_entry; + +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn) pad_id <= 1'b0; + else begin + if(I_BYTE_CNT==2)begin + case(I_RXD_DAT) //------ GET TYPE(Byte_SEQ) + 8'h23: pad_id <= 1'b1; + 8'h41: pad_id <= 1'b0; + 8'h53: pad_id <= 1'b1; + 8'h73: pad_id <= 1'b1; + 8'hE3: pad_id <= 1'b1; + 8'hF3: pad_id <= 1'b1; + default: pad_id <= 1'b0; + endcase + end + end +end + +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn)begin + O_TXD_DAT <= 8'h00; + conf_entry <= 1'b0; + conf_ent_reg <= 1'b0; + conf_done <= 1'b1; + conf_state <= 0; + pad_status <= 0; + end + else begin +//---------- nomal mode -------------------------------------------------------- +//----------------- read_data_and_vibrate_ex 01,42,00,WW,PP(,00,00,00,00) +// --,ID,SS,XX,XX(,XX,XX,XX,XX) + if(~conf_entry)begin + case(I_BYTE_CNT) + 0:O_TXD_DAT <= 8'h01; + 1:O_TXD_DAT <= 8'h42; + 3:begin + if(I_RXD_DAT==8'h00) conf_ent_reg <= 1'b1; + if(pad_status)begin + if(I_VIB_SW[0]) O_TXD_DAT <= 8'h01; + else O_TXD_DAT <= 8'h00; + end + else begin + if(I_VIB_SW[0] | I_VIB_SW[1]) O_TXD_DAT <= 8'h40; + else O_TXD_DAT <= 8'h00; + end + end + 4:begin + if(pad_status)begin + if(I_VIB_SW[1]) O_TXD_DAT <= I_VIB_DAT; + else O_TXD_DAT <= 8'h00; + end + else begin + if(I_VIB_SW[0] | I_VIB_SW[1]) O_TXD_DAT <= 8'h01; + else O_TXD_DAT <= 8'h00; + end + if(pad_id==0)begin + if(conf_state == 0 && ds_sw) + conf_entry <= 1'b1; + if(conf_state == 7 && (pad_status&conf_ent_reg))begin + conf_state <= 0; + conf_entry <= 1'b1; + end + end + end + 8:begin + O_TXD_DAT <= 8'h00; + if(pad_id==1)begin + if(conf_state == 0 && ds_sw) + conf_entry <= 1'b1; + if(conf_state == 7 && (pad_status&conf_ent_reg))begin + conf_state <= 0; + conf_entry <= 1'b1; + end + end + end + default: O_TXD_DAT <= 8'h00; + endcase + end +//---------- confg mode -------------------------------------------------------- + else begin + case(conf_state) + //-------- config_mode_enter (43): 01,43,00,01,00(,00 x 4 or XX x 16) + // --,ID,SS,XX,XX(,XX x 4 or XX x 16) + 0:begin + case(I_BYTE_CNT) + 0:begin + O_TXD_DAT <= 8'h01; + conf_done <= 1'b0; + end + 1:O_TXD_DAT <= 8'h43; + 3:O_TXD_DAT <= 8'h01; + 4:begin + O_TXD_DAT <= 8'h00; + if(pad_id==0)begin + if(pad_status) conf_state <= 3; + else conf_state <= 1; + end + end + 8:begin + O_TXD_DAT <= 8'h00; + if(pad_id==1)begin + if(pad_status) conf_state <= 3; + else conf_state <= 1; + end + end + default:O_TXD_DAT <= 8'h00; + endcase + end + //-------- query_model_and_mode (45): 01,45,00,5A,5A,5A,5A,5A,5A + // FF,F3,5A,TT,02,MM,VV,01,00 + 1:begin + case(I_BYTE_CNT) + 0:O_TXD_DAT <= 8'h01; + 1:O_TXD_DAT <= 8'h45; + 2:begin + O_TXD_DAT <= 8'h00; + conf_done <= (I_RXD_DAT == 8'hF3)? 1'b0:1'b1; + end + 4:begin + O_TXD_DAT <= 8'h00; + if(I_RXD_DAT==8'h01 || I_RXD_DAT==8'h03) pad_status <= 1; + if(pad_id==0 && conf_done==1'b1)begin + conf_state <= 7; + conf_entry <= 1'b0; + end + end + 8:begin + O_TXD_DAT <= 8'h00; + conf_state <= 2; + if(pad_id==1 && conf_done==1'b1)begin + conf_state <= 7; + conf_entry <= 1'b0; + end + end + default:O_TXD_DAT <= 8'h00; + endcase + end + //-------- set_mode_and_lock (44): 01,44,00,XX,YY,00,00,00,00 + // --,F3,5A,00,00,00,00,00,00 + 2:begin + case(I_BYTE_CNT) + 0:O_TXD_DAT <= 8'h01; + 1:O_TXD_DAT <= 8'h44; + 3:O_TXD_DAT <= pad_mode[0] ? 8'h01:8'h00; + 4:O_TXD_DAT <= pad_mode[1] ? 8'h03:8'h00; + 8:begin + O_TXD_DAT <= 8'h00; + conf_state<= 3; + end + default:O_TXD_DAT <= 8'h00; + endcase + end + //-------- vibration_enable (4D): 01,4D,00,00,01,FF,FF,FF,FF + // --,F3,5A,XX,YY,FF,FF,FF,FF + 3:begin + case(I_BYTE_CNT) + 0:O_TXD_DAT <= 8'h01; + 1:O_TXD_DAT <= 8'h4D; + 2,3:O_TXD_DAT <= 8'h00; + 4:O_TXD_DAT <= 8'h01; + 8:begin + O_TXD_DAT <= 8'hFF; + conf_state<= 6; + end + default:O_TXD_DAT <= 8'hFF; + endcase + end + //-------- config_mode_exit (43): 01,43,00,00,5A,5A,5A,5A,5A + // --,F3,5A,00,00,00,00,00,00 + 6:begin + case(I_BYTE_CNT) + 0:O_TXD_DAT <= 8'h01; + 1:O_TXD_DAT <= 8'h43; + 2,3:O_TXD_DAT <= 8'h00; + 8:begin + O_TXD_DAT <= 8'h5A; + conf_state<= 7; + conf_entry<= 1'b0; + conf_done <= 1'b1; + conf_ent_reg<= 1'b0; + end + default:O_TXD_DAT <= 8'h5A; + endcase + end + default:; + endcase + end + end +end + +endmodule + +module ps_pls_gan( + +I_CLK, +I_RSTn, +I_TYPE, + +O_SCAN_SEQ_PLS, +O_RXWT, +O_TXWT, +O_TXSET, +O_TXEN, +O_psCLK, +O_psSEL, +O_byte_cnt, + +Timer + +); + +parameter Timer_size = `Timer_siz; + +input I_CLK,I_RSTn; +input I_TYPE; +output O_SCAN_SEQ_PLS; +output O_RXWT; +output O_TXWT; +output O_TXSET; +output O_TXEN; +output O_psCLK; +output O_psSEL; +output [3:0]O_byte_cnt; + +output [Timer_size-1:0]Timer; +reg [Timer_size-1:0]Timer; + +reg O_SCAN_SEQ_PLS; +reg RXWT; +reg TXWT; +reg TXSET; +reg psCLK_gate; +reg psSEL; +reg [3:0]O_byte_cnt; + +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn) Timer <= 0; + else Timer <= Timer+1; +end + +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn) + O_SCAN_SEQ_PLS <= 0; + else begin + if(Timer == 0) O_SCAN_SEQ_PLS <= 1; + else O_SCAN_SEQ_PLS <= 0; + end +end + +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn) + begin + psCLK_gate <= 1; + RXWT <= 0; + TXWT <= 0; + TXSET <= 0; + end + else begin + case(Timer[4:0]) + 6: TXSET <= 1; + 8: TXSET <= 0; + 9: TXWT <= 1; + 11: TXWT <= 0; + 12: psCLK_gate <= 0; + 20: psCLK_gate <= 1; + 21: RXWT <= 1; + 23: RXWT <= 0; + default:; + endcase + end +end + +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn) + psSEL <= 1; + else begin + if(O_SCAN_SEQ_PLS == 1) + psSEL <= 0; + else if((I_TYPE == 0)&&(Timer == 158)) + psSEL <= 1; + else if((I_TYPE == 1)&&(Timer == 286)) + psSEL <= 1; + end +end + +always@(posedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn) + O_byte_cnt <= 0; + else begin + if( O_SCAN_SEQ_PLS == 1) + O_byte_cnt <= 0; + else begin + if( Timer[4:0] == 5'b11111)begin + if(I_TYPE == 0 && O_byte_cnt == 5) + O_byte_cnt <= O_byte_cnt; + else if(I_TYPE == 1 && O_byte_cnt == 9) + O_byte_cnt <= O_byte_cnt; + else + O_byte_cnt <= O_byte_cnt+1; + end + end + end +end + +assign O_psCLK = psCLK_gate | I_CLK | psSEL; +assign O_psSEL = psSEL; +assign O_RXWT = ~psSEL&RXWT; +assign O_TXSET = ~psSEL&TXSET; +assign O_TXWT = ~psSEL&TXWT; +assign O_TXEN = ~psSEL&(~psCLK_gate); + +endmodule + +module ps_rxd( + +I_CLK, +I_RSTn, +I_WT, +I_psRXD, +O_RXD_DAT + +); + +input I_CLK,I_RSTn,I_WT; +input I_psRXD; +output [7:0]O_RXD_DAT; +reg [7:0]O_RXD_DAT; +reg [7:0]sp; + +always@(posedge I_CLK or negedge I_RSTn) + if(! I_RSTn) sp <= 1; + else sp <= { I_psRXD, sp[7:1]}; +always@(posedge I_WT or negedge I_RSTn) + if(! I_RSTn) O_RXD_DAT <= 1; + else O_RXD_DAT <= sp; + +endmodule + +module ps_txd( + +I_CLK, +I_RSTn, +I_WT, +I_EN, +I_TXD_DAT, +O_psTXD + +); + +input I_CLK,I_RSTn; +input I_WT,I_EN; +input [7:0]I_TXD_DAT; +output O_psTXD; +reg O_psTXD; +reg [7:0]ps; + +always@(negedge I_CLK or negedge I_RSTn) +begin + if(! I_RSTn)begin + O_psTXD <= 1; + ps <= 0; + end + else begin + if(I_WT) + ps <= I_TXD_DAT; + else begin + if(I_EN)begin + O_psTXD <= ps[0]; + ps <= {1'b1, ps[7:1]}; + end + else begin + O_psTXD <= 1'd1; + ps <= ps; + end + end + end +end + +endmodule \ No newline at end of file diff --git a/analogizer/psx_control.v b/analogizer/psx_control.v new file mode 100644 index 0000000..f66d48a --- /dev/null +++ b/analogizer/psx_control.v @@ -0,0 +1,123 @@ +module psx_control(clk_50mhz, + I_psRXD, + O_psCLK, + O_psSEL, + O_psTXD, + O_l1, + O_l2, + O_r1, + O_r2, + O_l3, + O_r3, + O_d_pad_up, + O_d_pad_down, + O_d_pad_left, + O_d_pad_right, + O_square, + O_triangle, + O_circle, + O_x, + O_select, + O_start, + O_analog1_left_right, + O_analog2_left_right, + O_analog1_up_down, + O_analog2_up_down, + switches + ); + +input clk_50mhz; // 50Mhz +input I_psRXD; +output O_psCLK; +output O_psSEL; +output O_psTXD; +output O_l1; +output O_l2; +output O_r1; +output O_r2; +output O_l3; +output O_r3; +output O_d_pad_up; +output O_d_pad_down; +output O_d_pad_left; +output O_d_pad_right; +output O_square; +output O_triangle; +output O_circle; +output O_x; +output O_select; +output O_start; +output [7:0]O_analog1_left_right; +output [7:0]O_analog2_left_right; +output [7:0]O_analog1_up_down; +output [7:0]O_analog2_up_down; +input [1:0]switches; + + +wire [7:0]data_to_psx1; +wire [7:0]data_to_psx2; +wire [7:0]data_to_psx3; +wire [7:0]data_to_psx4; +wire [7:0]data_to_psx5; +wire [7:0]data_to_psx6; + + +// BUTTONS PSX +assign O_d_pad_up=~data_to_psx1[4]; +assign O_d_pad_down=~data_to_psx1[6]; +assign O_d_pad_left=~data_to_psx1[5]; +assign O_d_pad_right=~data_to_psx1[7]; + +assign O_select=~data_to_psx1[0]; +assign O_start=~data_to_psx1[3]; +assign O_l3=~data_to_psx1[1]; +assign O_r3=~data_to_psx1[2]; +assign O_l1=~data_to_psx2[2]; +assign O_r1=~data_to_psx2[3]; +assign O_l2=~data_to_psx2[0]; +assign O_r2=~data_to_psx2[1]; +assign O_triangle=~data_to_psx2[4]; +assign O_square=~data_to_psx2[7]; +assign O_circle=~data_to_psx2[5]; +assign O_x=~data_to_psx2[6]; + +assign O_analog1_left_right=data_to_psx3[7:0]; +assign O_analog2_left_right=data_to_psx5[7:0]; +assign O_analog1_up_down=data_to_psx4[7:0]; +assign O_analog2_up_down=data_to_psx6[7:0]; + + +wire CLK_FSM_PSX; + +/*DIVISOR DE FRECUENCIA PARA GENERAR CLK DE LA FSM DEL DECODIFICADOR DE PSX*/ +pll pll_inst ( + .inclk0 ( clk_50mhz ), + .c0 ( CLK_FSM_PSX ), + .c1 ( ) + ); + + +psPAD_top psPAD_top_inst +( + .I_CLK250K(CLK_FSM_PSX) , // input I_CLK250K_sig + .I_RSTn(1'b1) , // input I_RSTn_sig + .O_psCLK(O_psCLK) , // output O_psCLK_sig + .O_psSEL(O_psSEL) , // output O_psSEL_sig + .O_psTXD(O_psTXD) , // output O_psTXD_sig + .I_psRXD(I_psRXD) , // input I_psRXD_sig + .O_RXD_1(data_to_psx1) , // output [7:0] O_RXD_1_sig + .O_RXD_2(data_to_psx2) , // output [7:0] O_RXD_2_sig + .O_RXD_3(data_to_psx3) , // output [7:0] O_RXD_3_sig + .O_RXD_4(data_to_psx4) , // output [7:0] O_RXD_4_sig + .O_RXD_5(data_to_psx5) , // output [7:0] O_RXD_5_sig + .O_RXD_6(data_to_psx6) , // output [7:0] O_RXD_6_sig + .I_CONF_SW(1'b1) , // input I_CONF_SW_sig + .I_MODE_SW(1'b1) , // input I_MODE_SW_sig + .I_MODE_EN(1'b1) , // input I_MODE_EN_sig + .I_VIB_SW(switches) , // input [1:0] I_VIB_SW_sig + .I_VIB_DAT(8'hff) // input [7:0] I_VIB_DAT_sig +); + + + +endmodule diff --git a/analogizer/scandoubler.v b/analogizer/scandoubler.v new file mode 100644 index 0000000..9437526 --- /dev/null +++ b/analogizer/scandoubler.v @@ -0,0 +1,289 @@ +// +// scandoubler.v +// +// Copyright (c) 2015 Till Harbaum +// +// This source file is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published +// by the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This source file is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . + +// AMR - generates and output a pixel clock with a reliable phase relationship with +// with the scandoubled hsync pulse. Allows the incoming data to be sampled more +// sparsely, reducing block RAM usage. ce_x1/x2 are replaced with a ce_divider +// which is the largest value the counter will reach before resetting - so 3'111 to +// divide clk_sys by 8, 3'011 to divide by 4, 3'101 to divide by six. + +// Also now has a bypass mode, in which the incoming data will be scaled to the output +// width but otherwise unmodified. Simplifies the rest of the video chain. + + +module scandoubler +( + // system interface + input clk_sys, + + input bypass, + + // Pixelclock + input [2:0] ce_divider, // 0 - clk_sys/4, 1 - clk_sys/2, 2 - clk_sys/3, 3 - clk_sys/4, etc. + output pixel_ena, + + // scanlines (00-none 01-25% 10-50% 11-75%) + input [1:0] scanlines, + + // shifter video interface + input hb_in, + input vb_in, + input hs_in, + input vs_in, + input [COLOR_DEPTH-1:0] r_in, + input [COLOR_DEPTH-1:0] g_in, + input [COLOR_DEPTH-1:0] b_in, + + // output interface + output hb_out, + output vb_out, + output hs_out, + output vs_out, + output [OUT_COLOR_DEPTH-1:0] r_out, + output [OUT_COLOR_DEPTH-1:0] g_out, + output [OUT_COLOR_DEPTH-1:0] b_out +); + +parameter HCNT_WIDTH = 9; // Resolution of scandoubler buffer +parameter COLOR_DEPTH = 6; // Bits per colour to be stored in the buffer +parameter HSCNT_WIDTH = 12; // Resolution of hsync counters +parameter OUT_COLOR_DEPTH = 6; // Bits per color outputted + +// --------------------- create output signals ----------------- +// latch everything once more to make it glitch free and apply scanline effect +reg scanline; +reg [OUT_COLOR_DEPTH-1:0] r; +reg [OUT_COLOR_DEPTH-1:0] g; +reg [OUT_COLOR_DEPTH-1:0] b; + +wire [COLOR_DEPTH*3-1:0] sd_mux = bypass ? {r_in, g_in, b_in} : sd_out[COLOR_DEPTH*3-1:0]; + +localparam m = OUT_COLOR_DEPTH/COLOR_DEPTH; +localparam n = OUT_COLOR_DEPTH%COLOR_DEPTH; + +always @(*) begin + if (n>0) begin + b = { {m{sd_mux[COLOR_DEPTH-1:0]}}, sd_mux[COLOR_DEPTH-1 -:n] }; + g = { {m{sd_mux[COLOR_DEPTH*2-1:COLOR_DEPTH]}}, sd_mux[COLOR_DEPTH*2-1 -:n] }; + r = { {m{sd_mux[COLOR_DEPTH*3-1:COLOR_DEPTH*2]}}, sd_mux[COLOR_DEPTH*3-1 -:n] }; + end else begin + b = { {m{sd_mux[COLOR_DEPTH-1:0]}} }; + g = { {m{sd_mux[COLOR_DEPTH*2-1:COLOR_DEPTH]}} }; + r = { {m{sd_mux[COLOR_DEPTH*3-1:COLOR_DEPTH*2]}} }; + end +end + + +reg [OUT_COLOR_DEPTH+6:0] r_mul; +reg [OUT_COLOR_DEPTH+6:0] g_mul; +reg [OUT_COLOR_DEPTH+6:0] b_mul; +reg hb_o; +reg vb_o; +reg hs_o; +reg vs_o; + +wire scanline_bypass = (!scanline) | (!(|scanlines)) | bypass; + +// More subtle variant of the scanlines effect. +// 0 00 -> 1000000 0x40 - bypass / inert mode +// 1 01 -> 0111010 0x3a - 25% +// 2 10 -> 0101110 0x2e - 50% +// 3 11 -> 0011010 0x1a - 75% + +wire [6:0] scanline_coeff = scanline_bypass ? + 7'b1000000 : {~(&scanlines),scanlines[0],1'b1,~scanlines[0],2'b10}; + +always @(posedge clk_sys) begin + if(ce_x2) begin + hs_o <= hs_sd; + vs_o <= vs_sd; + hb_o <= hb_sd; + vb_o <= vb_sd; + + // reset scanlines at every new screen + if(vs_o != vs_in) scanline <= 0; + + // toggle scanlines at begin of every hsync + if(hs_o && !hs_sd) scanline <= !scanline; + + r_mul<=r*scanline_coeff; + g_mul<=g*scanline_coeff; + b_mul<=b*scanline_coeff; + end +end + +wire [OUT_COLOR_DEPTH-1:0] r_o = r_mul[OUT_COLOR_DEPTH+5 -:OUT_COLOR_DEPTH]; +wire [OUT_COLOR_DEPTH-1:0] g_o = g_mul[OUT_COLOR_DEPTH+5 -:OUT_COLOR_DEPTH]; +wire [OUT_COLOR_DEPTH-1:0] b_o = b_mul[OUT_COLOR_DEPTH+5 -:OUT_COLOR_DEPTH]; + +// Output multiplexing +wire blank_out = hb_out | vb_out; +assign r_out = blank_out ? {OUT_COLOR_DEPTH{1'b0}} : bypass ? r : r_o; +assign g_out = blank_out ? {OUT_COLOR_DEPTH{1'b0}} : bypass ? g : g_o; +assign b_out = blank_out ? {OUT_COLOR_DEPTH{1'b0}} : bypass ? b : b_o; +assign hb_out = bypass ? hb_in : hb_o; +assign vb_out = bypass ? vb_in : vb_o; +assign hs_out = bypass ? hs_in : hs_o; +assign vs_out = bypass ? vs_in : vs_o; + + +// scan doubler output register +reg [COLOR_DEPTH*3-1:0] sd_out; + +// ================================================================== +// ======================== the line buffers ======================== +// ================================================================== + +// 2 lines of 2**HCNT_WIDTH pixels 3*COLOR_DEPTH bit RGB +(* ramstyle = "no_rw_check" *) reg [COLOR_DEPTH*3-1:0] sd_buffer[2*2**HCNT_WIDTH]; + +// use alternating sd_buffers when storing/reading data +reg line_toggle; + +// total hsync time (in 16MHz cycles), hs_total reaches 1024 +reg [HCNT_WIDTH-1:0] hcnt; +reg [HSCNT_WIDTH:0] hs_max; +reg [HSCNT_WIDTH:0] hs_rise; +reg [HCNT_WIDTH:0] hb_fall[2]; +reg [HCNT_WIDTH:0] hb_rise[2]; +reg [HCNT_WIDTH+1:0] vb_event[2]; +reg [HCNT_WIDTH+1:0] vs_event[2]; +reg [HSCNT_WIDTH:0] synccnt; + +// Input pixel clock, aligned with input sync: +wire[2:0] ce_divider_adj = |ce_divider ? ce_divider : 3'd3; // 0 = clk/4 for compatiblity +reg [2:0] ce_divider_in; +reg [2:0] ce_divider_out; + +reg [2:0] i_div; +wire ce_x1 = (i_div == ce_divider_in); + +always @(posedge clk_sys) begin + reg hsD, vsD; + reg vbD; + reg hbD; + + // Pixel logic on x1 clkena + if(ce_x1) begin + hcnt <= hcnt + 1'd1; + vsD <= vs_in; + vbD <= vb_in; + + sd_buffer[{line_toggle, hcnt}] <= {r_in, g_in, b_in}; + if (vbD ^ vb_in) vb_event[line_toggle] <= {1'b1, vb_in, hcnt}; + if (vsD ^ vs_in) vs_event[line_toggle] <= {1'b1, vs_in, hcnt}; + // save position of hblank + hbD <= hb_in; + if(!hbD && hb_in) hb_rise[line_toggle] <= {1'b1, hcnt}; + if( hbD && !hb_in) hb_fall[line_toggle] <= {1'b1, hcnt}; + end + + // Generate pixel clock + i_div <= i_div + 1'd1; + + if (i_div==ce_divider_adj) i_div <= 3'b000; + + synccnt <= synccnt + 1'd1; + hsD <= hs_in; + if(hsD && !hs_in) begin + // At hsync latch the ce_divider counter limit for the input clock + // and pass the previous input clock limit to the output stage. + // This should give correct output if the pixel clock changes mid-screen. + ce_divider_out <= ce_divider_in; + ce_divider_in <= ce_divider_adj; + hs_max <= {1'b0,synccnt[HSCNT_WIDTH:1]}; + hcnt <= 0; + synccnt <= 0; + i_div <= 3'b000; + end + + // save position of rising edge + if(!hsD && hs_in) hs_rise <= {1'b0,synccnt[HSCNT_WIDTH:1]}; + + // begin of incoming hsync + if(hsD && !hs_in) begin + line_toggle <= !line_toggle; + vb_event[!line_toggle] <= 0; + vs_event[!line_toggle] <= 0; + hb_rise[!line_toggle][HCNT_WIDTH] <= 0; + hb_fall[!line_toggle][HCNT_WIDTH] <= 0; + end + +end + +// ================================================================== +// ==================== output timing generation ==================== +// ================================================================== + +reg [HSCNT_WIDTH:0] sd_synccnt; +reg [HCNT_WIDTH-1:0] sd_hcnt; +reg vb_sd = 0; +reg hb_sd = 0; +reg hs_sd = 0; +reg vs_sd = 0; + +// Output pixel clock, aligned with output sync: +reg [2:0] sd_i_div; +wire ce_x2 = (sd_i_div == ce_divider_out) | (sd_i_div == {1'b0,ce_divider_out[2:1]}); + +// timing generation runs 32 MHz (twice the input signal analysis speed) +always @(posedge clk_sys) begin + reg hsD; + + // Output logic on x2 clkena + if(ce_x2) begin + // output counter synchronous to input and at twice the rate + sd_hcnt <= sd_hcnt + 1'd1; + + // read data from line sd_buffer + sd_out <= sd_buffer[{~line_toggle, sd_hcnt}]; + + // Handle VBlank event + if(vb_event[~line_toggle][HCNT_WIDTH+1] && sd_hcnt == vb_event[~line_toggle][HCNT_WIDTH-1:0]) vb_sd <= vb_event[~line_toggle][HCNT_WIDTH]; + // Handle VSync event + if(vs_event[~line_toggle][HCNT_WIDTH+1] && sd_hcnt == vs_event[~line_toggle][HCNT_WIDTH-1:0]) vs_sd <= vs_event[~line_toggle][HCNT_WIDTH]; + // Handle HBlank events + if(hb_rise[~line_toggle][HCNT_WIDTH] && sd_hcnt == hb_rise[~line_toggle][HCNT_WIDTH-1:0]) hb_sd <= 1; + if(hb_fall[~line_toggle][HCNT_WIDTH] && sd_hcnt == hb_fall[~line_toggle][HCNT_WIDTH-1:0]) hb_sd <= 0; + end + + sd_i_div <= sd_i_div + 1'd1; + if (sd_i_div==ce_divider_adj) sd_i_div <= 3'b000; + + // Framing logic on sysclk + sd_synccnt <= sd_synccnt + 1'd1; + hsD <= hs_in; + + if(sd_synccnt == hs_max || (hsD && !hs_in)) begin + sd_synccnt <= 0; + sd_hcnt <= 0; + hs_sd <= 0; + sd_i_div <= 3'b000; + end + + if(sd_synccnt == hs_rise) hs_sd <= 1; + +end + +wire ce_x4 = sd_i_div[0]; // Faster pixel_ena for higher subdivisions to prevent blending from becoming to coarse. + +assign pixel_ena = ce_divider_out > 3'd5 ? + bypass ? ce_x2 : ce_x4 : + bypass ? ce_x1 : ce_x2 ; + +endmodule \ No newline at end of file diff --git a/analogizer/scandoubler_2.v b/analogizer/scandoubler_2.v new file mode 100644 index 0000000..57bdd11 --- /dev/null +++ b/analogizer/scandoubler_2.v @@ -0,0 +1,211 @@ +// +// scandoubler.v +// +// Copyright (c) 2015 Till Harbaum +// Copyright (c) 2017-2021 Alexey Melnikov +// +// This source file is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published +// by the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This source file is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . + +// TODO: Delay vsync one line + +module scandoubler_2 #(parameter LENGTH, parameter HALF_DEPTH) +( + // system interface + input clk_vid, + input hq2x, + + // shifter video interface + input ce_pix, + input hs_in, + input vs_in, + input hb_in, + input vb_in, + input [DWIDTH:0] r_in, + input [DWIDTH:0] g_in, + input [DWIDTH:0] b_in, + + // output interface + output ce_pix_out, + output reg hs_out, + output vs_out, + output hb_out, + output vb_out, + output [DWIDTH:0] r_out, + output [DWIDTH:0] g_out, + output [DWIDTH:0] b_out +); + +localparam DWIDTH = HALF_DEPTH ? 3 : 7; + +reg [7:0] pix_len = 0; +wire [7:0] pl = pix_len + 1'b1; + +reg [7:0] pix_in_cnt = 0; +wire [7:0] pc_in = pix_in_cnt + 1'b1; +reg [7:0] pixsz, pixsz2, pixsz4 = 0; + +reg ce_x4i, ce_x1i; +always @(posedge clk_vid) begin + reg old_ce, valid, hs; + + if(~&pix_len) pix_len <= pl; + if(~&pix_in_cnt) pix_in_cnt <= pc_in; + + ce_x4i <= 0; + ce_x1i <= 0; + + // use such odd comparison to place ce_x4 evenly if master clock isn't multiple of 4. + if((pc_in == pixsz4) || (pc_in == pixsz2) || (pc_in == (pixsz2+pixsz4))) ce_x4i <= 1; + + old_ce <= ce_pix; + if(~old_ce & ce_pix) begin + if(valid & ~hb_in & ~vb_in) begin + pixsz <= pl; + pixsz2 <= {1'b0, pl[7:1]}; + pixsz4 <= {2'b00, pl[7:2]}; + end + pix_len <= 0; + valid <= 1; + end + + hs <= hs_in; + if((~hs & hs_in) || (pc_in >= pixsz)) begin + ce_x4i <= 1; + ce_x1i <= 1; + pix_in_cnt <= 0; + end + + if(hb_in | vb_in) valid <= 0; +end + +reg req_line_reset; +reg [DWIDTH:0] r_d, g_d, b_d; +always @(posedge clk_vid) begin + if(ce_x1i) begin + req_line_reset <= hb_in; + r_d <= r_in; + g_d <= g_in; + b_d <= b_in; + end +end + +Hq2x #(.LENGTH(LENGTH), .HALF_DEPTH(HALF_DEPTH)) Hq2x +( + .clk(clk_vid), + + .ce_in(ce_x4i), + .inputpixel({b_d,g_d,r_d}), + .disable_hq2x(~hq2x), + .reset_frame(vb_in), + .reset_line(req_line_reset), + + .ce_out(ce_x4o), + .read_y(sd_line), + .hblank(hbo[0]&hbo[8]), + .outpixel({b_out,g_out,r_out}) +); + +reg [7:0] pix_out_cnt = 0; +wire [7:0] pc_out = pix_out_cnt + 1'b1; + +reg ce_x4o, ce_x2o; +always @(posedge clk_vid) begin + reg hs; + + if(~&pix_out_cnt) pix_out_cnt <= pc_out; + + ce_x4o <= 0; + ce_x2o <= 0; + + // use such odd comparison to place ce_x4 evenly if master clock isn't multiple of 4. + if((pc_out == pixsz4) || (pc_out == pixsz2) || (pc_out == (pixsz2+pixsz4))) ce_x4o <= 1; + if( pc_out == pixsz2) ce_x2o <= 1; + + hs <= hs_out; + if((~hs & hs_out) || (pc_out >= pixsz)) begin + ce_x2o <= 1; + ce_x4o <= 1; + pix_out_cnt <= 0; + end +end + +reg [1:0] sd_line; +reg [3:0] vbo; +reg [3:0] vso; +reg [8:0] hbo; +always @(posedge clk_vid) begin + + reg [31:0] hcnt; + reg [30:0] sd_hcnt; + reg [30:0] hs_start, hs_end; + reg [30:0] hde_start, hde_end; + + reg hs, hb; + + if(ce_x4o) begin + hbo[8:1] <= hbo[7:0]; + end + + // output counter synchronous to input and at twice the rate + sd_hcnt <= sd_hcnt + 1'd1; + if(sd_hcnt == hde_start) begin + sd_hcnt <= 0; + vbo[3:1] <= vbo[2:0]; + end + + if(sd_hcnt == hs_end) begin + sd_line <= sd_line + 1'd1; + if(&vbo[3:2]) sd_line <= 1; + vso[3:1] <= vso[2:0]; + end + + if(sd_hcnt == hde_start)hbo[0] <= 0; + if(sd_hcnt == hde_end) hbo[0] <= 1; + + // replicate horizontal sync at twice the speed + if(sd_hcnt == hs_end) hs_out <= 0; + if(sd_hcnt == hs_start) hs_out <= 1; + + hs <= hs_in; + hb <= hb_in; + + hcnt <= hcnt + 1'd1; + if(hb && !hb_in) begin + hde_start <= hcnt[31:1]; + hbo[0] <= 0; + hcnt <= 0; + sd_hcnt <= 0; + vbo <= {vbo[2:0],vb_in}; + end + + if(!hb && hb_in) hde_end <= hcnt[31:1]; + + // falling edge of hsync indicates start of line + if(hs && !hs_in) begin + hs_end <= hcnt[31:1]; + vso[0] <= vs_in; + end + + // save position of rising edge + if(!hs && hs_in) hs_start <= hcnt[31:1]; +end + +assign vs_out = vso[3]; +assign ce_pix_out = hq2x ? ce_x4o : ce_x2o; + +//Compensate picture shift after HQ2x +assign vb_out = vbo[3]; +assign hb_out = hbo[6]; + +endmodule diff --git a/analogizer/scanlines.v b/analogizer/scanlines.v new file mode 100644 index 0000000..0b8acd2 --- /dev/null +++ b/analogizer/scanlines.v @@ -0,0 +1,68 @@ +module scanlines #(parameter v2=0) +( + input clk, + + input [1:0] scanlines, + input [23:0] din, + input hs_in,vs_in, + input de_in,ce_in, + + output reg [23:0] dout, + output reg hs_out,vs_out, + output reg de_out,ce_out +); + +reg [1:0] scanline; +always @(posedge clk) begin + reg old_hs, old_vs; + + old_hs <= hs_in; + old_vs <= vs_in; + + if(old_hs && ~hs_in) begin + if(v2) begin + scanline <= scanline + 1'd1; + if (scanline == scanlines) scanline <= 0; + end + else scanline <= scanline ^ scanlines; + end + if(old_vs && ~vs_in) scanline <= 0; +end + +wire [7:0] r,g,b; +assign {r,g,b} = din; + +reg [23:0] d; +always @(*) begin + case(scanline) + 1: // reduce 25% = 1/2 + 1/4 + d = {{1'b0, r[7:1]} + {2'b00, r[7:2]}, + {1'b0, g[7:1]} + {2'b00, g[7:2]}, + {1'b0, b[7:1]} + {2'b00, b[7:2]}}; + + 2: // reduce 50% = 1/2 + d = {{1'b0, r[7:1]}, + {1'b0, g[7:1]}, + {1'b0, b[7:1]}}; + + 3: // reduce 75% = 1/4 + d = {{2'b00, r[7:2]}, + {2'b00, g[7:2]}, + {2'b00, b[7:2]}}; + + default: d = {r,g,b}; + endcase +end + +always @(posedge clk) begin + reg [23:0] dout1, dout2; + reg de1,de2,vs1,vs2,hs1,hs2,ce1,ce2; + + dout <= dout2; dout2 <= dout1; dout1 <= d; + vs_out <= vs2; vs2 <= vs1; vs1 <= vs_in; + hs_out <= hs2; hs2 <= hs1; hs1 <= hs_in; + de_out <= de2; de2 <= de1; de1 <= de_in; + ce_out <= ce2; ce2 <= ce1; ce1 <= ce_in; +end + +endmodule \ No newline at end of file diff --git a/analogizer/scanlines_analogizer.v b/analogizer/scanlines_analogizer.v new file mode 100644 index 0000000..a76ee61 --- /dev/null +++ b/analogizer/scanlines_analogizer.v @@ -0,0 +1,68 @@ +module scanlines_analogizer #(parameter v2=0) +( + input clk, + + input [1:0] scanlines, + input [23:0] din, + input hs_in,vs_in, + input de_in,ce_in, + + output reg [23:0] dout, + output reg hs_out,vs_out, + output reg de_out,ce_out +); + +reg [1:0] scanline; +always @(posedge clk) begin + reg old_hs, old_vs; + + old_hs <= hs_in; + old_vs <= vs_in; + + if(old_hs && ~hs_in) begin + if(v2) begin + scanline <= scanline + 1'd1; + if (scanline == scanlines) scanline <= 0; + end + else scanline <= scanline ^ scanlines; + end + if(old_vs && ~vs_in) scanline <= 0; +end + +wire [7:0] r,g,b; +assign {r,g,b} = din; + +reg [23:0] d; +always @(*) begin + case(scanline) + 1: // reduce 25% = 1/2 + 1/4 + d = {{1'b0, r[7:1]} + {2'b00, r[7:2]}, + {1'b0, g[7:1]} + {2'b00, g[7:2]}, + {1'b0, b[7:1]} + {2'b00, b[7:2]}}; + + 2: // reduce 50% = 1/2 + d = {{1'b0, r[7:1]}, + {1'b0, g[7:1]}, + {1'b0, b[7:1]}}; + + 3: // reduce 75% = 1/4 + d = {{2'b00, r[7:2]}, + {2'b00, g[7:2]}, + {2'b00, b[7:2]}}; + + default: d = {r,g,b}; + endcase +end + +always @(posedge clk) begin + reg [23:0] dout1, dout2; + reg de1,de2,vs1,vs2,hs1,hs2,ce1,ce2; + + dout <= dout2; dout2 <= dout1; dout1 <= d; + vs_out <= vs2; vs2 <= vs1; vs1 <= vs_in; + hs_out <= hs2; hs2 <= hs1; hs1 <= hs_in; + de_out <= de2; de2 <= de1; de1 <= de_in; + ce_out <= ce2; ce2 <= ce1; ce1 <= ce_in; +end + +endmodule \ No newline at end of file diff --git a/analogizer/serlatch_gc.v b/analogizer/serlatch_gc.v new file mode 100644 index 0000000..6b84da4 --- /dev/null +++ b/analogizer/serlatch_gc.v @@ -0,0 +1,367 @@ +//************************************************************** +//* Analogizer SNAC openFPGA interface for the Analogue Pocket * +//************************************************************** +// By @RndMnkIII. +// Date: 01/2024 +// Release: 1.0 + +// Aquí he documentado el funcionamiento de los diferentes mandos de juegos a los que he ido dando soporte, basado en las capturas de datos +// realizadas con analizador lógico + generador de patrones: +// +// ******** +// * DB15 * Tested up 1MHz +// ******** +// +// STB | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 |10 |11 |12 |13 |14 |15 |16 |17 |18 |19 |20 |21 |22 |23 |24 |25 |26 |27 |28 |29 |30 |31 |32 |33 |34 |35 |36 |37 |38 |39 |40 |41 |42 |43 |44 |45 |46 |47 |48 |49 | +// ____ ___________________________________________________________________________________________________________________________________________________________________________________________ +// LATCH \_______/ +// ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ +// CLK / \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___ +// ___ ___________ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ +// DATA / \ P1 D X P1 C X P1 B X P1 A X P1 RG X P1 LF X P1 DW X P1 UP X P2 RG X P2 LF X P2 DW X P2 UP X P1 F X P1 E X P1 SELX P1 ST X P2 F X P2 E X P2 SELX P2 ST X P2 D X P2 C X P2B X P2 A +// ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ +// SAMPLE | | | | | | | | | | | | | | | | | | | | | | | | +// 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 +// TEST DATA 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 +// TEST DATA 2 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 +// POCKET INPUTS +// 1 P1 up X +// 0 P1 down X +// 1 P1 left X +// 0 P1 right X +// 0 P1 y X +// 1 P1 x X +// 0 P1 b X +// 1 P1 a X +// 1 P1 l1 X +// 0 P1 r1 X +// 0 P1 l2 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P1 r2 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P1 l3 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P1 r3 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P1 select X +// 1 P1 start X +// 1 P2 up X +// 0 P2 down X +// 1 P2 left X +// 0 P2 right X +// 0 P2 y X +// 1 P2 x X +// 0 P2 b X +// 1 P2 a X +// 1 P2 l1 X +// 0 P2 r1 X +// 0 P2 l2 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P2 r2 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P2 l3 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P2 r3 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +// 0 P2 select X +// 1 P2 start X +//P1 1010 0101 1000 0001 +// A 5 8 1 +//P2 1010 0101 1000 0001 +// A 5 8 1 +//gtkwave +//p1 1010 0101 1000 0001 +// A581 +//p2 1010 0101 1000 0001 +// A581 +// x +// 1111111 +// 6543210987654321 +// ******** +// * NES * Tested up 1MHz +// ******** +// STB | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 |10 |11 |12 |13 |14 |15 |16 |17 |18 |19 |20 |21 |22 |23 |24 |25 |26 |27 |28 |29 |30 |31 |32 |33 |34 |35 | +// _______ +// LATCH ____/ \___________________________________________________________________________________________________________________________________ +// ..... ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ +// CLK ________.___.___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___ +// ____ ___________ _______ _______ _______ _______ _______ _______ _______ _______________________________________________________________________ +// DATA \ BTN A X BTN B X SELECTX START X UP X DOWN X LEFT X RIGHT / +// ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ +// SAMPLE | | | | | | | | | | | | | | | | | +// 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 +// POCKET INPUTS +// P1 P2 +// 0 1 P1 up X +// 1 0 P1 down X +// 0 1 P1 left X +// 1 0 P1 right X +// 0 0 P1 y ------------------------------------------------------------------------------------------------------------------------------------ +// 0 0 P1 x ------------------------------------------------------------------------------------------------------------------------------------ +// 1 0 P1 b X +// 0 1 P1 a X +// 0 0 P1 l1 ------------------------------------------------------------------------------------------------------------------------------------ +// 0 0 P1 r1 ------------------------------------------------------------------------------------------------------------------------------------ +// 0 0 P1 l2 ------------------------------------------------------------------------------------------------------------------------------------ +// 0 0 P1 r2 ------------------------------------------------------------------------------------------------------------------------------------ +// 0 0 P1 l3 ---------------------------------------------------------------------------------------------------------------------------------- +// 0 0 P1 r3 ------------------------------------------------------------------------------------------------------------------------------------ +// 0 1 P1 select X +// 1 0 P1 start X +// P1 0101 0010 0000 0001 <- 0101_0101 B START DOWN RIGHT +// 5 2 0 1 +// P2 1010 0001 0000 0010 <- 1010_1010 A SELECT UP LEFT +// A 1 0 2 +// 1111111 +// 6543210987654321 +//gtkwave +// P1 5201 +// P2 A102 +// +// ******** +// * SNES * Tested up 200KHz +// ******** +// STB | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 |10 |11 |12 |13 |14 |15 |16 |17 |18 |19 |20 |21 |22 |23 |24 |25 |26 |27 |28 |29 |30 |31 |32 |33 |34 |35 | +// _______ +// LATCH ____/ \___________________________________________________________________________________________________________________________________ +// ..... ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ +// CLK ________.___.___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___/ \___ +// __ _________ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ _______ +// DATA ___ / \ BTN_B X BTN_Y X SEL X START X UP X DOWN X LEFT X RIGHT X BTN_A X BTN_X X TG_L X TG_R X H X H X H X H \______ +// ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ +// SAMPLE | | | | | | | | | | | | | | | | | +// 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 +// POCKET INPUTS +// 0 P1 up X +// 1 P1 down X +// 2 P1 left X +// 3 P1 right X +// 4 P1 y X +// 5 P1 x X +// 6 P1 b X +// 7 P1 a X +// 8 P1 l1 X +// 9 P1 r1 X +//10 P1 l2 ------------------------------------------------------------------------------------------------------------------------------------ +//11 P1 r2 ------------------------------------------------------------------------------------------------------------------------------------ +//12 P1 l3 ------------------------------------------------------------------------------------------------------------------------------------ +//13 P1 r3 ------------------------------------------------------------------------------------------------------------------------------------ +//14 P1 select X +//15 P1 start X +`default_nettype none + +module serlatch_game_controller #(parameter MASTER_CLK_FREQ=53_600_000) +( + input wire i_clk, + input wire i_rst, + input wire [3:0] game_controller_type, //0x0 DISABLED, 0x1 DB15, 0x2 NES, 0x3 SNES, 0x9 DB15 Fast, 0xB SNES SWAP A,B<->X,Y + input wire i_stb, + output reg [15:0] p1_btn_state, + output reg [15:0] p2_btn_state, + output reg busy, + + //SNAC Game controller interface + output wire o_clk, //for controller 1 + output wire o_clk2, //for controller 2 + output wire o_lat, //shared for 2 controllers + input wire i_dat1, //data from controller 1 + input wire i_dat2 //data from controller 2 +); + //FSM states + parameter IDLE = 3'b001; + parameter LATCH = 3'b010; + parameter DATA = 3'b100; + + //store module settings + reg [3:0] game_controller_type_r; + reg [2:0] state = IDLE; + + reg [5:0] counter; + //reg [4:0] btn_cnt; + reg [5:0] counter_top_value; + reg latch_internal; + reg clk_internal; + reg [23:0] bstat_r; + reg [15:0] p1b_r; + reg [15:0] p2b_r; + + wire latch_level ; + wire disable_clock_on_latch ; + wire sample_data ; + + //always sample data at falling edge of o_clk starting and second clock pulse in latch phase. + assign sample_data = ~counter[0] && i_stb && (counter > 1) && (counter <= counter_top_value); + + + always @(posedge i_clk) begin + //detect reset or any change on gamepad configuration and restart FSM at IDLE state. + if(i_rst || (game_controller_type != game_controller_type_r)) begin + state <= IDLE; + //clear internal register button state + p1b_r <= 16'hffff; + p2b_r <= 16'hffff; + bstat_r <= 24'hffffff; + end + + game_controller_type_r <= game_controller_type; + + if(i_stb) begin + case(state) + IDLE: + begin + //fetch data from last read + //set button status output + case(game_controller_type_r) + 4'h0: begin //DISABLED + p1_btn_state = 16'd0; + p2_btn_state = 16'd0; + end + 4'h1,4'h9: begin //DB15, DB15 FAST + // Pocket logic button order: + // [0] dpad_up + // [1] dpad_down + // [2] dpad_left + // [3] dpad_right + // [4] face_a + // [5] face_b + // [6] face_x + // [7] face_y + // [8] trig_l1 + // [9] trig_r1 + // [10] trig_l2 + // [11] trig_r2 + // [12] trig_l3 + // [13] trig_r3 + // [14] face_select + // [15] face_start + + //SNAC DB15 adapter button order from first to last + // 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 + //P1D,P1C,P1B,P1A,P1R,P1L,P1D,P1U,P2R,P2L,P2D,P2U,P1F,P1E,P1SEL,P1ST,P2F,P2E,P2SEL,P2ST,P2D,P2C,P2B,P2A + //follow Pocket game controls order: + //PLAYER1 START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGH LEFT DOWN UP + p1_btn_state <= ~{bstat_r[15], bstat_r[14], 4'b1111, bstat_r[12], bstat_r[13], bstat_r[0], bstat_r[1], bstat_r[2], bstat_r[3], bstat_r[4], bstat_r[5], bstat_r[6], bstat_r[7]}; + + //PLAYER2 START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGH LEFT DOWN UP + p2_btn_state <= ~{bstat_r[19], bstat_r[18], 4'b1111, bstat_r[16], bstat_r[17], bstat_r[20], bstat_r[21], bstat_r[22], bstat_r[23], bstat_r[8], bstat_r[9], bstat_r[10], bstat_r[11]}; + end + 4'h2: begin //NES + //SNAC NES adapter button order from first to last + // 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 + // A B SEL ST UP DW LF RG H H H H H H H H + + //follow Pocket game controls order: + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGHT LEFT DOWN UP + p1_btn_state <= ~{p1b_r[3],p1b_r[2], 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, p1b_r[1], p1b_r[0],p1b_r[7],p1b_r[6],p1b_r[5],p1b_r[4]}; + p2_btn_state <= ~{p2b_r[3],p2b_r[2], 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, p2b_r[1], p2b_r[0],p2b_r[7],p2b_r[6],p2b_r[5],p2b_r[4]}; + end + 4'h3: begin //SNES + //SNAC SNES adapter button order from first to last + // 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 + // B Y SEL ST UP DW LF RG A X LT LR H H H H + + //follow Pocket game controls order: + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGHT LEFT DOWN UP + p1_btn_state <= ~{p1b_r[3],p1b_r[2],1'b1, 1'b1, 1'b1, 1'b1, p1b_r[11], p1b_r[10], p1b_r[1], p1b_r[9], p1b_r[0], p1b_r[8],p1b_r[7],p1b_r[6], p1b_r[5],p1b_r[4]}; + p2_btn_state <= ~{p2b_r[3],p2b_r[2],1'b1, 1'b1, 1'b1, 1'b1, p2b_r[11], p2b_r[10], p2b_r[1], p2b_r[9], p2b_r[0], p2b_r[8],p2b_r[7],p2b_r[6], p2b_r[5],p2b_r[4]}; + + end + 4'hB: begin //SNES SWAP A,B <-> X,Y + //SNAC SNES adapter button order from first to last + // 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 + // B Y SEL ST UP DW LF RG A X LT LR H H H H + + //follow Pocket game controls order: + // START SELECT R3 L3 R2 L2 R1 L1 Y X B A RIGHT LEFT DOWN UP + p1_btn_state <= ~{p1b_r[3],p1b_r[2],1'b1, 1'b1, 1'b1, 1'b1, p1b_r[11], p1b_r[10], p1b_r[0], p1b_r[8], p1b_r[1], p1b_r[9],p1b_r[7],p1b_r[6], p1b_r[5],p1b_r[4]}; + p2_btn_state <= ~{p2b_r[3],p2b_r[2],1'b1, 1'b1, 1'b1, 1'b1, p2b_r[11], p2b_r[10], p2b_r[0], p2b_r[8], p2b_r[1], p2b_r[9],p2b_r[7],p2b_r[6], p2b_r[5],p2b_r[4]}; + + end + default: + begin //disabled + p1_btn_state <= 16'd0; + p2_btn_state <= 16'd0; + end + endcase + + //init counter and set initial LAT,CLK values on IDLE state + counter <= 6'd0; + + counter_top_value <= 6'd0; + if ((game_controller_type_r == 4'h1) || (game_controller_type_r == 4'h9)) counter_top_value <= 6'd48; + else if((game_controller_type_r == 4'h2) || (game_controller_type_r == 4'h3) || (game_controller_type_r == 4'hB)) counter_top_value <= 6'd34; + + latch_internal <= latch_level; + clk_internal <= disable_clock_on_latch ? 1'b0 : 1'b1; + state <= LATCH; + busy <= 1'b1; + p1b_r <= 16'hffff; + p2b_r <= 16'hffff; + bstat_r <= 24'hffffff; + end + LATCH: + begin + counter <= counter + 6'd1; + latch_internal <= ~latch_level; + clk_internal <= disable_clock_on_latch ? 1'b0 : ~clk_internal; + + //first sample of data is available in LATCH phase. + if(sample_data) begin//read button state + if((game_controller_type_r == 4'h1) || (game_controller_type_r == 4'h9)) begin //if is selected DB15,DB15 FAST all button state is store in one 24bit register + bstat_r[0] <= i_dat1; //3->0, 5->1, 7->2, 9->3, ... + // $display("DB15 [LATCH] BTN_CNT:%d i_dat1:%d", btn_cnt, i_dat1); + end + else begin + p1b_r[0] <= i_dat1; + p2b_r[0] <= i_dat2; + end + end + + if(counter == 6'd2) begin + state <= DATA; + latch_internal <= latch_level; + end + end + DATA: + begin + counter <= counter + 6'd1; //should be start clocking at 3 + clk_internal <= ~clk_internal; + //following data samples are get in DATA phase. + if(sample_data) begin//read button state + if((game_controller_type_r == 4'h1) || (game_controller_type_r == 4'h9) ) begin //if is selected DB15,DB15 FAST all button state is store in one 24bit register + bstat_r[((counter>>1)-1)] <= i_dat1; //3->0, 5->1, 7->2, 9->3, ... + //$display("DB15 [DATA] BTN_CNT:%d i_dat1:%d r_dat[%d]:%d", btn_cnt, i_dat1, btn_cnt,bstat_r[btn_cnt]); + end + else if((game_controller_type_r == 4'h2) || (game_controller_type_r == 4'h3) || (game_controller_type_r == 4'hB)) begin + p1b_r[((counter>>1)-1)] <= i_dat1; + p2b_r[((counter>>1)-1)] <= i_dat2; + end + end + + //the gamepads buton state are fetched at the end of DATA phase + if(counter == counter_top_value) begin + state <= IDLE; + busy <= 1'b0; + end + end + endcase + end + end + + //the DB15 SNAC interface uses active LOW latch signal, NES,SNES use active HIGH latch: + // ----- ------ ... + //DB15 LATCH |___| + // + // ___ + //NES,SNES LATCH _____| |_____ ... + + assign latch_level = ((game_controller_type_r == 4'h1) || (game_controller_type_r == 4'h9)) ? 1'b1 : 1'b0; //DB15, DB15 FAST + + //the NES,SNES SNAC interfaces disable clock signal while are in LATCH phase + //but internally the falling edge CLK is used for sample the button state + // ___ + //LATCH ______| |_________ ... + // _ _ _ + //o_clk | |_________| |_| |_ ... + // _ _ _ _ _ + //CLK | |_|X|_|X|_| |_| |_ ... + // ... 1 2 3 4 5 6 7 8 ... + assign disable_clock_on_latch = ((game_controller_type_r != 4'h1) && (game_controller_type_r != 4'h9)) ? 1'b1 : 1'b0; //en caso de que sea controlador NES,SNES + + //counter values: 36 for NES,SNES, 50 for DB15 + assign o_clk = (game_controller_type_r == 4'h0) ? 1'b0 : clk_internal; + assign o_clk2 = (game_controller_type_r == 4'h0) ? 1'b0 : clk_internal; + assign o_lat = (game_controller_type_r == 4'h0) ? 1'b0 : latch_internal; +endmodule \ No newline at end of file diff --git a/analogizer/sync_fix.v b/analogizer/sync_fix.v new file mode 100644 index 0000000..014dd72 --- /dev/null +++ b/analogizer/sync_fix.v @@ -0,0 +1,28 @@ +module sync_fix +( + input clk, + + input sync_in, + output sync_out +); + +assign sync_out = sync_in ^ pol; + +reg pol; +always @(posedge clk) begin + integer pos = 0, neg = 0, cnt = 0; + reg s1,s2; + + s1 <= sync_in; + s2 <= s1; + + if(~s2 & s1) neg <= cnt; + if(s2 & ~s1) pos <= cnt; + + cnt <= cnt + 1; + if(s2 != s1) cnt <= 0; + + pol <= pos > neg; +end + +endmodule \ No newline at end of file diff --git a/analogizer/two_button_press_detector.v b/analogizer/two_button_press_detector.v new file mode 100644 index 0000000..c0c061b --- /dev/null +++ b/analogizer/two_button_press_detector.v @@ -0,0 +1,53 @@ +//Module to detect when two buttons are pressed for 2 seconds +module two_button_press_detector( + input wire clk, // System clock at 28.375160 MHz + input wire reset, // Reset signal + input wire button1, // First button input + input wire button2, // Second button input + output reg detection_done // Output signal when both buttons are pressed for 2 seconds +); + + parameter COUNT_MAX = 56750320; // Number of clock cycles for 2 seconds + reg [31:0] counter; // Counter for 2 seconds + + // State machine states + localparam IDLE = 0, + COUNTING = 1; + reg state; + + always @(posedge clk or posedge reset) begin + if (reset) begin + counter <= 0; + detection_done <= 0; + state <= IDLE; + end else begin + case (state) + IDLE: begin + if (button1 && button2) begin + counter <= 0; + state <= COUNTING; + end else begin + detection_done <= 0; + counter <= 0; + end + end + COUNTING: begin + if (button1 && button2) begin + if (counter < COUNT_MAX - 1) begin + counter <= counter + 1; + detection_done <= 0; + end else begin + detection_done <= 1; + state <= IDLE; // Reset back to IDLE after detection + end + end else begin + detection_done <= 0; + state <= IDLE; + counter <= 0; // Reset counter if buttons are released before 2 seconds + end + end + endcase + end + end + +endmodule \ No newline at end of file diff --git a/analogizer/uart_tx.v b/analogizer/uart_tx.v new file mode 100644 index 0000000..304c88a --- /dev/null +++ b/analogizer/uart_tx.v @@ -0,0 +1,147 @@ +////////////////////////////////////////////////////////////////////// +// File Downloaded from http://www.nandland.com +////////////////////////////////////////////////////////////////////// +// This file contains the UART Transmitter. This transmitter is able +// to transmit 8 bits of serial data, one start bit, one stop bit, +// and no parity bit. When transmit is complete o_Tx_done will be +// driven high for one clock cycle. +// +// Set Parameter CLKS_PER_BIT as follows: +// CLKS_PER_BIT = (Frequency of i_Clock)/(Frequency of UART) +// Example: 10 MHz Clock, 115200 baud UART +// (10000000)/(115200) = 87 + + //48_000_000 /500_000 = 96 +module uart_tx + #(parameter CLKS_PER_BIT) + ( + input i_Clock, + input i_Tx_DV, + input [7:0] i_Tx_Byte, + output o_Tx_Active, + output reg o_Tx_Serial, + output o_Tx_Done + ); + + parameter s_IDLE = 3'b000; + parameter s_TX_START_BIT = 3'b001; + parameter s_TX_DATA_BITS = 3'b010; + parameter s_TX_STOP_BIT = 3'b011; + parameter s_CLEANUP = 3'b100; + + reg [2:0] r_SM_Main = 0; + reg [7:0] r_Clock_Count = 0; + reg [2:0] r_Bit_Index = 0; + reg [7:0] r_Tx_Data = 0; + reg r_Tx_Done = 0; + reg r_Tx_Active = 0; + + always @(posedge i_Clock) + begin + + case (r_SM_Main) + s_IDLE : + begin + o_Tx_Serial <= 1'b1; // Drive Line High for Idle + r_Tx_Done <= 1'b0; + r_Clock_Count <= 0; + r_Bit_Index <= 0; + + if (i_Tx_DV == 1'b1) + begin + r_Tx_Active <= 1'b1; + r_Tx_Data <= i_Tx_Byte; + r_SM_Main <= s_TX_START_BIT; + end + else + r_SM_Main <= s_IDLE; + end // case: s_IDLE + + + // Send out Start Bit. Start bit = 0 + s_TX_START_BIT : + begin + o_Tx_Serial <= 1'b0; + + // Wait CLKS_PER_BIT-1 clock cycles for start bit to finish + if (r_Clock_Count < CLKS_PER_BIT-1) + begin + r_Clock_Count <= r_Clock_Count + 1; + r_SM_Main <= s_TX_START_BIT; + end + else + begin + r_Clock_Count <= 0; + r_SM_Main <= s_TX_DATA_BITS; + end + end // case: s_TX_START_BIT + + + // Wait CLKS_PER_BIT-1 clock cycles for data bits to finish + s_TX_DATA_BITS : + begin + o_Tx_Serial <= r_Tx_Data[r_Bit_Index]; + + if (r_Clock_Count < CLKS_PER_BIT-1) + begin + r_Clock_Count <= r_Clock_Count + 1; + r_SM_Main <= s_TX_DATA_BITS; + end + else + begin + r_Clock_Count <= 0; + + // Check if we have sent out all bits + if (r_Bit_Index < 7) + begin + r_Bit_Index <= r_Bit_Index + 1; + r_SM_Main <= s_TX_DATA_BITS; + end + else + begin + r_Bit_Index <= 0; + r_SM_Main <= s_TX_STOP_BIT; + end + end + end // case: s_TX_DATA_BITS + + + // Send out Stop bit. Stop bit = 1 + s_TX_STOP_BIT : + begin + o_Tx_Serial <= 1'b1; + + // Wait CLKS_PER_BIT-1 clock cycles for Stop bit to finish + if (r_Clock_Count < CLKS_PER_BIT-1) + begin + r_Clock_Count <= r_Clock_Count + 1; + r_SM_Main <= s_TX_STOP_BIT; + end + else + begin + r_Tx_Done <= 1'b1; + r_Clock_Count <= 0; + r_SM_Main <= s_CLEANUP; + r_Tx_Active <= 1'b0; + end + end // case: s_Tx_STOP_BIT + + + // Stay here 1 clock + s_CLEANUP : + begin + r_Tx_Done <= 1'b1; + r_SM_Main <= s_IDLE; + end + + + default : + r_SM_Main <= s_IDLE; + + endcase + end + + assign o_Tx_Active = r_Tx_Active; + assign o_Tx_Done = r_Tx_Done; + +endmodule \ No newline at end of file diff --git a/analogizer/vga_out_sw.v b/analogizer/vga_out_sw.v new file mode 100644 index 0000000..8fe2a53 --- /dev/null +++ b/analogizer/vga_out_sw.v @@ -0,0 +1,72 @@ +module vga_out +( + input wire clk, + input wire ypbpr_en, + + input wire hsync, + input wire vsync, + input wire csync, + input wire de, + + input wire [23:0] din, + output wire [23:0] dout, + + output reg hsync_o, + output reg vsync_o, + output reg csync_o, + output reg de_o +); + +wire [7:0] red = din[23:16]; +wire [7:0] green = din[15:8]; +wire [7:0] blue = din[7:0]; + +// http://marsee101.blog19.fc2.com/blog-entry-2311.html + + +// Y = 0.301*R + 0.586*G + 0.113*B (Y = 0.299*R + 0.587*G + 0.114*B) +// Pb = 128 - 0.168*R - 0.332*G + 0.500*B (Pb = -0.169*R - 0.331*G + 0.500*B) +// Pr = 128 + 0.500*R - 0.418*G - 0.082*B (Pr = 0.500*R - 0.419*G - 0.081*B) + +reg [7:0] y, pb, pr; +reg [23:0] rgb; +always @(posedge clk) begin + reg [18:0] y_1r, pb_1r, pr_1r; + reg [18:0] y_1g, pb_1g, pr_1g; + reg [18:0] y_1b, pb_1b, pr_1b; + reg [18:0] y_2, pb_2, pr_2; + reg [23:0] din1, din2; + reg hsync2, vsync2, csync2, de2; + reg hsync1, vsync1, csync1, de1; + + y_1r <= {red, 6'd0} + {red, 3'd0} + {red, 2'd0} + red; + pb_1r <= 19'd32768 - ({red, 5'd0} + {red, 3'd0} + {red, 1'd0}); + pr_1r <= 19'd32768 + {red, 7'd0}; + + y_1g <= {green, 7'd0} + {green, 4'd0} + {green, 2'd0} + {green, 1'd0}; + pb_1g <= {green, 6'd0} + {green, 4'd0} + {green, 2'd0} + green; + pr_1g <= {green, 6'd0} + {green, 5'd0} + {green, 3'd0} + {green, 1'd0}; + + y_1b <= {blue, 4'd0} + {blue, 3'd0} + {blue, 2'd0} + blue; + pb_1b <= {blue, 7'd0}; + pr_1b <= {blue, 4'd0} + {blue, 2'd0} + blue; + + y_2 <= y_1r + y_1g + y_1b; + pb_2 <= pb_1r - pb_1g + pb_1b; + pr_2 <= pr_1r - pr_1g - pr_1b; + + y <= y_2[18] ? 8'd0 : y_2[16] ? 8'd255 : y_2[15:8]; + pb <= pb_2[18] ? 8'd0 : pb_2[16] ? 8'd255 : pb_2[15:8]; + pr <= pr_2[18] ? 8'd0 : pr_2[16] ? 8'd255 : pr_2[15:8]; + + hsync_o <= hsync2; hsync2 <= hsync1; hsync1 <= hsync; + vsync_o <= vsync2; vsync2 <= vsync1; vsync1 <= vsync; + csync_o <= csync2; csync2 <= csync1; csync1 <= csync; + de_o <= de2; de2 <= de1; de1 <= de; + + rgb <= din2; din2 <= din1; din1 <= din; +end + +assign dout = ypbpr_en ? {pr, y, pb} : rgb; + +endmodule \ No newline at end of file diff --git a/analogizer/yc_out.sv b/analogizer/yc_out.sv new file mode 100644 index 0000000..4800670 --- /dev/null +++ b/analogizer/yc_out.sv @@ -0,0 +1,264 @@ +//============================================================================ +// YC - Luma / Chroma Generation +// Copyright (C) 2022 Mike Simone +// +// This program is free software; you can redistribute it and/or modify it +// under the terms of the GNU General Public License as published by the Free +// Software Foundation; either version 2 of the License, or (at your option) +// any later version. +// +// This program is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or +// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for +// more details. +// +// You should have received a copy of the GNU General Public License along +// with this program; if not, write to the Free Software Foundation, Inc., +// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. +// +//============================================================================ +/* +Colorspace +Y 0.299R' + 0.587G' + 0.114B' +U 0.492(B' - Y) = 504 (X 1024) +V 0.877(R' - Y) = 898 (X 1024) +*/ +////////////////////////////////////////////////////////// + +module yc_out +( + input clk, + input [39:0] PHASE_INC, + input PAL_EN, + + input hsync, + input vsync, + input csync, + + input [23:0] din, + output [23:0] dout, + + output reg hsync_o, + output reg vsync_o, + output reg csync_o +); + +wire [7:0] red = din[23:16]; +wire [7:0] green = din[15:8]; +wire [7:0] blue = din[7:0]; + +logic [9:0] red_1, blue_1, green_1, red_2, blue_2, green_2; + +logic signed [20:0] yr = 0, yb = 0, yg = 0; + +typedef struct { + logic signed [20:0] y; + logic signed [20:0] c; + logic signed [20:0] u; + logic signed [20:0] v; + logic hsync; + logic vsync; + logic csync; +} phase_t; + +localparam MAX_PHASES = 7'd8; + +phase_t phase[MAX_PHASES]; +reg unsigned [7:0] Y, C, c, U, V; + + +reg [10:0] cburst_phase, cburst_length, cburst_start; // colorburst counter +reg unsigned [7:0] vref = 'd128; // Voltage reference point (Used for Chroma) +logic [7:0] chroma_LUT_COS; // Chroma cos LUT reference +logic [7:0] chroma_LUT_SIN; // Chroma sin LUT reference +logic [7:0] chroma_LUT_BURST; // Chroma colorburst LUT reference +logic [7:0] chroma_LUT = 8'd0; + +/* +THe following LUT table was calculated by Sin(2*pi*t/2^8) where t: 0 - 255 +*/ + +/************************************* + 8 bit Sine look up Table +**************************************/ +wire signed [10:0] chroma_SIN_LUT[256] = '{ +11'h000, 11'h006, 11'h00C, 11'h012, 11'h018, 11'h01F, 11'h025, 11'h02B, 11'h031, 11'h037, 11'h03D, 11'h044, 11'h04A, 11'h04F, +11'h055, 11'h05B, 11'h061, 11'h067, 11'h06D, 11'h072, 11'h078, 11'h07D, 11'h083, 11'h088, 11'h08D, 11'h092, 11'h097, 11'h09C, +11'h0A1, 11'h0A6, 11'h0AB, 11'h0AF, 11'h0B4, 11'h0B8, 11'h0BC, 11'h0C1, 11'h0C5, 11'h0C9, 11'h0CC, 11'h0D0, 11'h0D4, 11'h0D7, +11'h0DA, 11'h0DD, 11'h0E0, 11'h0E3, 11'h0E6, 11'h0E9, 11'h0EB, 11'h0ED, 11'h0F0, 11'h0F2, 11'h0F4, 11'h0F5, 11'h0F7, 11'h0F8, +11'h0FA, 11'h0FB, 11'h0FC, 11'h0FD, 11'h0FD, 11'h0FE, 11'h0FE, 11'h0FE, 11'h0FF, 11'h0FE, 11'h0FE, 11'h0FE, 11'h0FD, 11'h0FD, +11'h0FC, 11'h0FB, 11'h0FA, 11'h0F8, 11'h0F7, 11'h0F5, 11'h0F4, 11'h0F2, 11'h0F0, 11'h0ED, 11'h0EB, 11'h0E9, 11'h0E6, 11'h0E3, +11'h0E0, 11'h0DD, 11'h0DA, 11'h0D7, 11'h0D4, 11'h0D0, 11'h0CC, 11'h0C9, 11'h0C5, 11'h0C1, 11'h0BC, 11'h0B8, 11'h0B4, 11'h0AF, +11'h0AB, 11'h0A6, 11'h0A1, 11'h09C, 11'h097, 11'h092, 11'h08D, 11'h088, 11'h083, 11'h07D, 11'h078, 11'h072, 11'h06D, 11'h067, +11'h061, 11'h05B, 11'h055, 11'h04F, 11'h04A, 11'h044, 11'h03D, 11'h037, 11'h031, 11'h02B, 11'h025, 11'h01F, 11'h018, 11'h012, +11'h00C, 11'h006, 11'h000, 11'h7F9, 11'h7F3, 11'h7ED, 11'h7E7, 11'h7E0, 11'h7DA, 11'h7D4, 11'h7CE, 11'h7C8, 11'h7C2, 11'h7BB, +11'h7B5, 11'h7B0, 11'h7AA, 11'h7A4, 11'h79E, 11'h798, 11'h792, 11'h78D, 11'h787, 11'h782, 11'h77C, 11'h777, 11'h772, 11'h76D, +11'h768, 11'h763, 11'h75E, 11'h759, 11'h754, 11'h750, 11'h74B, 11'h747, 11'h743, 11'h73E, 11'h73A, 11'h736, 11'h733, 11'h72F, +11'h72B, 11'h728, 11'h725, 11'h722, 11'h71F, 11'h71C, 11'h719, 11'h716, 11'h714, 11'h712, 11'h70F, 11'h70D, 11'h70B, 11'h70A, +11'h708, 11'h707, 11'h705, 11'h704, 11'h703, 11'h702, 11'h702, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, +11'h702, 11'h702, 11'h703, 11'h704, 11'h705, 11'h707, 11'h708, 11'h70A, 11'h70B, 11'h70D, 11'h70F, 11'h712, 11'h714, 11'h716, +11'h719, 11'h71C, 11'h71F, 11'h722, 11'h725, 11'h728, 11'h72B, 11'h72F, 11'h733, 11'h736, 11'h73A, 11'h73E, 11'h743, 11'h747, +11'h74B, 11'h750, 11'h754, 11'h759, 11'h75E, 11'h763, 11'h768, 11'h76D, 11'h772, 11'h777, 11'h77C, 11'h782, 11'h787, 11'h78D, +11'h792, 11'h798, 11'h79E, 11'h7A4, 11'h7AA, 11'h7B0, 11'h7B5, 11'h7BB, 11'h7C2, 11'h7C8, 11'h7CE, 11'h7D4, 11'h7DA, 11'h7E0, +11'h7E7, 11'h7ED, 11'h7F3, 11'h7F9 +}; + +logic [39:0] phase_accum; +logic PAL_FLIP = 1'd0; +logic PAL_line_count = 1'd0; + +/************************************** + Generate Luma and Chroma Signals +***************************************/ + +always_ff @(posedge clk) begin + for (logic [3:0] x = 0; x < (MAX_PHASES - 1'd1); x = x + 1'd1) begin + phase[x + 1] <= phase[x]; + end + + // Color Averaging to help with color accuracy + red_1 <= red; + blue_1 <= blue; + red_2 <= red_1; + blue_2 <= blue_1; + + // Calculate Luma signal + + yr <= {red, 8'd0} + {red, 5'd0}+ {red, 4'd0} + {red, 1'd0}; + yg <= {green, 9'd0} + {green, 6'd0} + {green, 4'd0} + {green, 3'd0} + green; + yb <= {blue, 6'd0} + {blue, 5'd0} + {blue, 4'd0} + {blue, 2'd0} + blue; + phase[0].y <= yr + yg + yb; + + // Generate the LUT values using the phase accumulator reference. + phase_accum <= phase_accum + PHASE_INC; + chroma_LUT <= phase_accum[39:32]; + + // Adjust SINE carrier reference for PAL (Also adjust for PAL Switch) + if (PAL_EN) begin + if (PAL_FLIP) + chroma_LUT_BURST <= chroma_LUT + 8'd160; + else + chroma_LUT_BURST <= chroma_LUT + 8'd96; + end else // Adjust SINE carrier reference for NTSC + chroma_LUT_BURST <= chroma_LUT + 8'd128; + + // Prepare LUT values for sin / cos (+90 degress) + chroma_LUT_SIN <= chroma_LUT; + chroma_LUT_COS <= chroma_LUT + 8'd64; + + // Calculate for U, V - Bit Shift Multiple by u = by * 1024 x 0.492 = 504, v = ry * 1024 x 0.877 = 898 + phase[0].u <= $signed({2'b0 ,(blue_2)}) - $signed({2'b0 ,phase[0].y[17:10]}); + phase[0].v <= $signed({2'b0 ,(red_2)}) - $signed({2'b0 ,phase[0].y[17:10]}); + phase[1].u <= $signed({phase[0].u, 8'd0}) + $signed({phase[0].u, 7'd0}) + $signed({phase[0].u, 6'd0}) + $signed({phase[0].u, 5'd0}) + $signed({phase[0].u, 4'd0}) + $signed({phase[0].u, 3'd0}) ; + phase[1].v <= $signed({phase[0].v, 9'd0}) + $signed({phase[0].v, 8'd0}) + $signed({phase[0].v, 7'd0}) + $signed({phase[0].v, 1'd0}); + + phase[0].c <= vref; + phase[1].c <= phase[0].c; + phase[2].c <= phase[1].c; + phase[3].c <= phase[2].c; + + // Set Colorburst Length Based on Phase_Accum + // Since the colorburst length depends on the video clock freqency, this just sets the approprate count length to match the colorburst lengths closer to 9/10 cycles for NTSC/PAL. + + if (PHASE_INC[39:32] > (PAL_EN ? 8'd56 : 8'd45)) begin + cburst_length <= PAL_EN ? 10'd85 : 10'd90; + cburst_start <= 10'd40; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd37 : 8'd30)) begin + cburst_length <= PAL_EN ? 10'd108 : 10'd115; + cburst_start <= 10'd40; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd28 : 8'd22)) begin + cburst_length <= PAL_EN ? 10'd130 : 10'd141; + cburst_start <= 10'd40; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd18 : 8'd15)) begin + cburst_length <= PAL_EN ? 10'd173 : 10'd186; + cburst_start <= 10'd60; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd16 : 8'd13)) begin + cburst_length <= PAL_EN ? 10'd195 : 10'd211; + cburst_start <= 10'd60; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd14 : 8'd11)) begin + cburst_length <= PAL_EN ? 10'd258 : 10'd276; + cburst_start <= 10'd100; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd12 : 8'd10)) begin + cburst_length <= PAL_EN ? 10'd281 : 10'd301; + cburst_start <= 10'd100; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd11 : 8'd9)) begin + cburst_length <= PAL_EN ? 10'd323 : 10'd346; + cburst_start <= 10'd120; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd10 : 8'd8)) begin + cburst_length <= PAL_EN ? 10'd346 : 10'd371; + cburst_start <= 10'd120; + end else if (PHASE_INC[39:32] > (PAL_EN ? 8'd9 : 8'd7)) begin + cburst_length <= PAL_EN ? 10'd368 : 10'd397; + cburst_start <= 10'd120; + end else begin + cburst_length <= PAL_EN ? 10'd391 : 10'd422; + cburst_start <= 10'd120; + end + + if (hsync) begin // Reset colorburst counter, as well as the calculated cos / sin values. + cburst_phase <= 'd0; + phase[2].u <= 21'b0; + phase[2].v <= 21'b0; + phase[4].c <= phase[3].c; + + if (PAL_line_count) begin + PAL_FLIP <= ~PAL_FLIP; + PAL_line_count <= ~PAL_line_count; + end + end else begin // Generate Colorburst for 9 cycles + if (cburst_phase >= cburst_start && cburst_phase <= cburst_length) begin // Start the color burst signal at 40 samples or 0.9 us + // COLORBURST SIGNAL GENERATION (9 CYCLES ONLY or between count 40 - 240) + phase[2].u <= $signed({chroma_SIN_LUT[chroma_LUT_BURST],5'd0}); + phase[2].v <= 21'b0; + + // Division to scale down the results to fit 8 bit. + if (PAL_EN) + phase[3].u <= $signed(phase[2].u[20:8]) + $signed(phase[2].u[20:10]) + $signed(phase[2].u[20:14]); + else + phase[3].u <= $signed(phase[2].u[20:8]) + $signed(phase[2].u[20:11]) + $signed(phase[2].u[20:12]) + $signed(phase[2].u[20:13]); + + phase[3].v <= phase[2].v; + end else if (cburst_phase > cburst_length) begin // MODULATE U, V for chroma + /* + U,V are both multiplied by 1024 earlier to scale for the decimals in the YUV colorspace conversion. + U and V are both divided by 2^10 which introduce chroma subsampling of 4:1:1 (25% or from 8 bit to 6 bit) + */ + phase[2].u <= $signed((phase[1].u)>>>10) * $signed(chroma_SIN_LUT[chroma_LUT_SIN]); + phase[2].v <= $signed((phase[1].v)>>>10) * $signed(chroma_SIN_LUT[chroma_LUT_COS]); + + // Divide U*sin(wt) and V*cos(wt) to fit results to 8 bit + phase[3].u <= $signed(phase[2].u[20:9]) + $signed(phase[2].u[20:10]) + $signed(phase[2].u[20:14]); + phase[3].v <= $signed(phase[2].v[20:9]) + $signed(phase[2].v[20:10]) + $signed(phase[2].u[20:14]); + end + + // Stop the colorburst timer as its only needed for the initial pulse + if (cburst_phase <= 'd400) + cburst_phase <= cburst_phase + 9'd1; + + // Calculate for chroma (Note: "PAL SWITCH" routine flips V * COS(Wt) every other line) + if (PAL_EN) begin + if (PAL_FLIP) + phase[4].c <= vref + phase[3].u - phase[3].v; + else + phase[4].c <= vref + phase[3].u + phase[3].v; + PAL_line_count <= 1'd1; + end else + phase[4].c <= vref + phase[3].u + phase[3].v; + + end + // Adjust sync timing correctly + phase[1].hsync <= hsync; phase[1].vsync <= vsync; phase[1].csync <= csync; + phase[2].hsync <= phase[1].hsync; phase[2].vsync <= phase[1].vsync; phase[2].csync <= phase[1].csync; + phase[3].hsync <= phase[2].hsync; phase[3].vsync <= phase[2].vsync; phase[3].csync <= phase[2].csync; + phase[4].hsync <= phase[3].hsync; phase[4].vsync <= phase[3].vsync; phase[4].csync <= phase[3].csync; + hsync_o <= phase[4].hsync; vsync_o <= phase[4].vsync; csync_o <= phase[4].csync; + phase[1].y <= phase[0].y; phase[2].y <= phase[1].y; phase[3].y <= phase[2].y; phase[4].y <= phase[3].y; phase[5].y <= phase[4].y; + + // Set Chroma / Luma output + C <= phase[4].c[7:0]; + Y <= phase[5].y[17:10]; +end + +assign dout = {C, Y, 8'd0}; + +endmodule diff --git a/pkg/pocket/Cores/agg23.NES/core.json b/pkg/pocket/Cores/agg23.NES/core.json index ca109ce..86cf942 100644 --- a/pkg/pocket/Cores/agg23.NES/core.json +++ b/pkg/pocket/Cores/agg23.NES/core.json @@ -3,14 +3,15 @@ "magic": "APF_VER_1", "metadata": { "platform_ids": [ - "nes" - ], + "nes", + "analogizer" + ],, "shortname": "NES", "description": "Nintendo Entertainment System and Famicom. Nintendo's first home console", "author": "agg23", "url": "https://github.com/agg23/openFPGA-NES", - "version": "1.0.1", - "date_release": "2024-09-16" + "version": "1.0.2", + "date_release": "2025-03-08" }, "framework": { "target_product": "Analogue Pocket", @@ -22,14 +23,29 @@ }, "hardware": { "link_port": false, - "cartridge_adapter": -1 + "cartridge_adapter": 0 } }, "cores": [ { - "name": "default", + "name": "NTSC_SET1", "id": 0, - "filename": "nes.rev" + "filename": "NTSC_SET1.rev" + }, + { + "name": "NTSC_SET2", + "id": 1, + "filename": "NTSC_SET2.rev" + }, + { + "name": "PAL_SET1", + "id": 2, + "filename": "PAL_SET1.rev" + }, + { + "name": "PAL_SET2", + "id": 3, + "filename": "PAL_SET2.rev" } ] } diff --git a/pkg/pocket/Cores/agg23.NES/data.json b/pkg/pocket/Cores/agg23.NES/data.json index 98dfd03..93048b6 100644 --- a/pkg/pocket/Cores/agg23.NES/data.json +++ b/pkg/pocket/Cores/agg23.NES/data.json @@ -29,7 +29,19 @@ "extensions": ["pal"], "size_maximum": "0xC0", "address": "0x10000000" - } + }, + { + "name": "Analogizer config", + "id": 20, + "required": false, + "parameters": "0x1000000", + "filename": "analogizer.bin", + "extensions": [ + "bin" + ], + "address": "0xF7000000", + "nonvolatile": false + } ] } } diff --git a/pkg/pocket/Cores/agg23.NES/interact.json b/pkg/pocket/Cores/agg23.NES/interact.json index 937843f..a5c79a8 100644 --- a/pkg/pocket/Cores/agg23.NES/interact.json +++ b/pkg/pocket/Cores/agg23.NES/interact.json @@ -27,6 +27,26 @@ "type": "action", "enabled": false }, + { + "name": "Video Dejitter", + "id": 44, + "type": "list", + "enabled": true, + "persist": true, + "writeonly": true, + "address": "0x32C", + "defaultval": 0, + "options": [ + { + "value": 0, + "name": "Enabled" + }, + { + "value": 1, + "name": "Disabled" + } + ] + }, { "name": "Hide Overscan", "id": 40, @@ -167,13 +187,26 @@ { "name": "Use Zapper", "id": 81, - "type": "check", + "type": "list", "enabled": true, - "address": "0x304", "persist": true, "writeonly": true, + "address": "0x304", "defaultval": 0, - "value": 1 + "options": [ + { + "value": 0, + "name": "Off" + }, + { + "value": 1, + "name": "Emulated Zapper (Stick)" + }, + { + "value": 2, + "name": "SNAC Zapper" + } + ] }, { "name": "D-Pad Aim Speed", diff --git a/projects/nes_pocket.qsf b/projects/nes_pocket.qsf index 847fc54..4667c24 100644 --- a/projects/nes_pocket.qsf +++ b/projects/nes_pocket.qsf @@ -10,7 +10,7 @@ # Project-Wide Assignments # ============================================================================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.1 -set_global_assignment -name LAST_QUARTUS_VERSION "21.1.1 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "21.1.0 Lite Edition" set_global_assignment -name TOP_LEVEL_ENTITY apf_top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top @@ -56,9 +56,6 @@ set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "PASSIVE SERIAL" # Platform/Core Assignments # ============================================================================== source ../platform/pocket/pocket.tcl -set_global_assignment -name QIP_FILE nes_pocket.qip -set_global_assignment -name SDC_FILE nes_pocket.sdc -set_global_assignment -name QIP_FILE ../rtl/nes.qip # ============================================================================== @@ -66,4 +63,17 @@ set_global_assignment -name QIP_FILE ../rtl/nes.qip set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION ALWAYS set_global_assignment -name ALM_REGISTER_PACKING_EFFORT LOW set_global_assignment -name NUM_PARALLEL_PROCESSORS 4 -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name QIP_FILE ../analogizer/analogizer.qip +set_global_assignment -name QIP_FILE ../platform/pocket/apf.qip +set_global_assignment -name QIP_FILE ../target/pocket/core.qip +set_global_assignment -name QIP_FILE nes_pocket.qip +set_global_assignment -name SDC_FILE nes_pocket.sdc +set_global_assignment -name QIP_FILE ../rtl/nes.qip +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name QIP_FILE ../target/pocket/mf_pllbase_pal.qip +set_global_assignment -name SIP_FILE ../target/pocket/mf_pllbase_pal.sip +set_global_assignment -name ENABLE_SIGNALTAP OFF \ No newline at end of file diff --git a/target/pocket/core.qip b/target/pocket/core.qip index 8073d44..ae74053 100644 --- a/target/pocket/core.qip +++ b/target/pocket/core.qip @@ -11,4 +11,5 @@ set_global_assignment -name SIGNALTAP_FILE [file join $::quartus(qip_path) "stp1 set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "mf_audio_pll.qip"] set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "mf_pllbase.qip"] +set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "mf_pllbase_pal.qip"] set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "pll_reconfig.qip"] diff --git a/target/pocket/core_top.v b/target/pocket/core_top.v index 5125ab3..5a59253 100644 --- a/target/pocket/core_top.v +++ b/target/pocket/core_top.v @@ -5,8 +5,10 @@ // `default_nettype none +`define USE_OLD_STYLE_SCANDOUBLER 1'b1 -module core_top ( +module core_top +#(parameter reg USE_PAL_PLL = 1'b0) ( // // physical connections @@ -234,19 +236,19 @@ module core_top ( // cart is unused, so set all level translators accordingly // directions are 0:IN, 1:OUT - assign cart_tran_bank3 = 8'hzz; - assign cart_tran_bank3_dir = 1'b0; - assign cart_tran_bank2 = 8'hzz; - assign cart_tran_bank2_dir = 1'b0; - assign cart_tran_bank1 = 8'hzz; - assign cart_tran_bank1_dir = 1'b0; - assign cart_tran_bank0 = 4'hf; - assign cart_tran_bank0_dir = 1'b1; - assign cart_tran_pin30 = 1'b0; // reset or cs2, we let the hw control it by itself - assign cart_tran_pin30_dir = 1'bz; - assign cart_pin30_pwroff_reset = 1'b0; // hardware can control this - assign cart_tran_pin31 = 1'bz; // input - assign cart_tran_pin31_dir = 1'b0; // input +// assign cart_tran_bank3 = 8'hzz; +// assign cart_tran_bank3_dir = 1'b0; +// assign cart_tran_bank2 = 8'hzz; +// assign cart_tran_bank2_dir = 1'b0; +// assign cart_tran_bank1 = 8'hzz; +// assign cart_tran_bank1_dir = 1'b0; +// assign cart_tran_bank0 = 4'hf; +// assign cart_tran_bank0_dir = 1'b1; +// assign cart_tran_pin30 = 1'b0; // reset or cs2, we let the hw control it by itself +// assign cart_tran_pin30_dir = 1'bz; +// assign cart_pin30_pwroff_reset = 1'b0; // hardware can control this +// assign cart_tran_pin31 = 1'bz; // input +// assign cart_tran_pin31_dir = 1'b0; // input // link port is input only assign port_tran_so = 1'bz; @@ -295,25 +297,26 @@ module core_top ( assign aux_scl = 1'bZ; assign vpll_feed = 1'bZ; + + localparam [7:0] ADDRESS_ANALOGIZER_CONFIG = 8'hF7; // for bridge write data, we just broadcast it to all bus devices // for bridge read data, we have to mux it // add your own devices here + wire [31:0] analogizer_bridge_rd_data; + always @(*) begin - casex (bridge_addr) - default: begin - bridge_rd_data <= 0; - end - 32'hF8xxxxxx: begin - bridge_rd_data <= cmd_bridge_rd_data; - end - endcase + casex (bridge_addr[31:24]) + default: begin bridge_rd_data <= 0; end + ADDRESS_ANALOGIZER_CONFIG: begin bridge_rd_data <= analogizer_bridge_rd_data; end + 8'hF8: begin bridge_rd_data <= cmd_bridge_rd_data; end + endcase - if (bridge_addr[31:28] == 4'h2) begin - bridge_rd_data <= sd_read_data; - end else if (bridge_addr[31:28] == 4'h4) begin - bridge_rd_data <= save_state_bridge_read_data; + if (bridge_addr[31:28] == 4'h2) begin + bridge_rd_data <= sd_read_data; + end else if (bridge_addr[31:28] == 4'h4) begin + bridge_rd_data <= save_state_bridge_read_data; + end end - end always @(posedge clk_74a) begin if (reset_delay > 0) begin @@ -325,9 +328,12 @@ module core_top ( 32'h050: begin reset_delay <= 32'h100000; end - // 32'h054: begin - // region <= bridge_wr_data[1:0]; - // end + 32'h330: begin + region <= bridge_wr_data[1:0]; //When Chip32 loader writes the region to the Core + end + 32'h32C: begin + video_dejitter <= bridge_wr_data[0]; + end 32'h200: begin hide_overscan <= bridge_wr_data[0]; end @@ -347,7 +353,7 @@ module core_top ( multitap_enabled <= bridge_wr_data[0]; end 32'h304: begin - lightgun_enabled <= bridge_wr_data[0]; + lightgun_enabled <= bridge_wr_data[1:0]; //Modified to add support for Analogizer SNAC Zapper lightgun end 32'h308: begin lightgun_dpad_aim_speed <= bridge_wr_data[7:0]; @@ -361,7 +367,7 @@ module core_top ( endcase end end - + // // host/target command handler // @@ -627,7 +633,7 @@ module core_top ( wire [31:0] cont1_joy_s; synch_3 #( - .WIDTH(32) + .WIDTH(16) ) cont1_s ( cont1_key, cont1_key_s, @@ -635,7 +641,7 @@ module core_top ( ); synch_3 #( - .WIDTH(32) + .WIDTH(16) ) cont2_s ( cont2_key, cont2_key_s, @@ -643,7 +649,7 @@ module core_top ( ); synch_3 #( - .WIDTH(32) + .WIDTH(16) ) cont3_s ( cont3_key, cont3_key_s, @@ -651,7 +657,7 @@ module core_top ( ); synch_3 #( - .WIDTH(32) + .WIDTH(16) ) cont4_s ( cont4_key, cont4_key_s, @@ -667,23 +673,26 @@ module core_top ( ); // Settings + //reg [2:0] system_type = 0; reg [1:0] region = 0; + reg video_dejitter = 0; reg hide_overscan = 0; reg [1:0] mask_vid_edges = 0; reg square_pixels = 0; - reg allow_extra_sprites = 0; - reg [2:0] selected_palette = 0; - wire external_reset = reset_delay > 0; + reg allow_extra_sprites = 0; + reg [2:0] selected_palette = 0; + wire external_reset = reset_delay > 0; - reg multitap_enabled = 0; - reg lightgun_enabled = 0; - reg [7:0] lightgun_dpad_aim_speed = 0; + reg multitap_enabled = 0; + reg [1:0] lightgun_enabled = 0; + reg [7:0] lightgun_dpad_aim_speed = 0; - reg [2:0] turbo_speed = 0; - reg swap_controllers = 0; + reg [2:0] turbo_speed = 0; + reg swap_controllers = 0; wire [1:0] region_s; + wire video_dejitter_s; wire hide_overscan_s; wire [1:0] mask_vid_edges_s; @@ -693,31 +702,33 @@ module core_top ( wire external_reset_s; wire multitap_enabled_s; - wire lightgun_enabled_s; + wire [1:0] lightgun_enabled_s; wire [7:0] lightgun_dpad_aim_speed_s; wire [2:0] turbo_speed_s; wire swap_controllers_s; synch_3 #( - .WIDTH(24) + .WIDTH(27) ) settings_s ( { - region, - hide_overscan, - mask_vid_edges, - square_pixels, - allow_extra_sprites, - selected_palette, - external_reset, - multitap_enabled, - lightgun_enabled, - lightgun_dpad_aim_speed, - turbo_speed, - swap_controllers + region, // 2 + video_dejitter, // 1 + hide_overscan, // 1 + mask_vid_edges, // 2 + square_pixels, // 1 + allow_extra_sprites, // 1 + selected_palette, // 3 + external_reset, // 1 + multitap_enabled, // 1 + lightgun_enabled, // 2 + lightgun_dpad_aim_speed, // 8 + turbo_speed, // 3 + swap_controllers // 1 }, { region_s, + video_dejitter_s, hide_overscan_s, mask_vid_edges_s, square_pixels_s, @@ -743,76 +754,357 @@ module core_top ( wire hide_overscan_with_region = hide_overscan_s && region_s == 2'b0; +/*[ANALOGIZER_HOOK_BEGIN]*/ +//reg analogizer_ena; +wire [3:0] analogizer_video_type; +wire [4:0] snac_game_cont_type; +wire [3:0] snac_cont_assignment; +wire [2:0] SC_fx; +wire pocket_blank_screen; +//reg analogizer_osd_out; + +wire ANALOGIZER_DE = ~(h_blank || v_blank); + //create aditional switch to blank Pocket screen. + wire [23:0] video_rgb_pocket; + assign video_rgb_pocket = (pocket_blank_screen) ? 24'h000000: video_rgb_nes; + +//switch between Analogizer SNAC and Pocket Controls for P1-P4 (P3,P4 when uses PCEngine Multitap) + wire [15:0] p1_btn, p2_btn, p3_btn, p4_btn; + wire [31:0] p1_joy, p2_joy; + reg [31:0] p1_joystick, p2_joystick; + reg [15:0] p1_controls, p2_controls, p3_controls, p4_controls; + +wire snac_is_analog = (snac_game_cont_type == 5'h12) || (snac_game_cont_type == 5'h13); +wire [31:0] neutral_joystick = 32'h80808080; + +always @(posedge clk_ppu_21_47) begin + reg [31:0] p1_pocket_btn, p1_pocket_joy; + reg [31:0] p2_pocket_btn, p2_pocket_joy; + reg [31:0] p3_pocket_btn; + reg [31:0] p4_pocket_btn; + + if(snac_game_cont_type == 5'h0) begin //SNAC is disabled + p1_controls <= cont1_key_s; + p1_joystick <= cont1_joy_s; + p2_controls <= cont2_key_s; + p3_controls <= cont3_key_s; + p4_controls <= cont4_key_s; + end + else begin + p1_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p1_btn} : {{4'h2},{12'h0},p1_btn}; + p1_pocket_joy <= snac_is_analog ? p1_joy : neutral_joystick; + p2_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p2_btn} : {{4'h2},{12'h0},p2_btn}; + p2_pocket_joy <= snac_is_analog ? p2_joy : neutral_joystick; + p3_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p3_btn} : {{4'h2},{12'h0},p3_btn}; + p4_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p4_btn} : {{4'h2},{12'h0},p4_btn}; + + case(snac_cont_assignment[1:0]) + 2'h0: begin //SNAC P1 -> Pocket P1 + //0x13 PSX SNAC Analog -> 0x3 See: https://www.analogue.co/developer/docs/bus-communication#PAD + //0xXX another SANC -> 0x2 + p1_controls <= p1_pocket_btn; + p1_joystick <= p1_pocket_joy; //check for PSX Analog SNAC or return neutral position data + p2_controls <= cont2_key_s; + p3_controls <= cont3_key_s; + p4_controls <= cont4_key_s; + + end + 2'h1: begin //SNAC P1 -> Pocket P2 + p1_controls <= cont1_key_s; + p1_joystick <= cont1_joy_s; + p2_controls <= p1_pocket_btn; + p3_controls <= cont3_key_s; + p4_controls <= cont4_key_s; + end + 2'h2: begin //SNAC P1 -> Pocket P1, SNAC P2 -> Pocket P2 + p1_controls <= p1_pocket_btn; + p1_joystick <= p1_pocket_joy; //check for PSX Analog SNAC or return neutral position data + p2_controls <= p2_pocket_btn; + p3_controls <= cont3_key_s; + p4_controls <= cont4_key_s; + end + 2'h3: begin //SNAC P1 -> Pocket P2, SNAC P2 -> Pocket P1 + p1_controls <= p2_pocket_btn; + p1_joystick <= p2_pocket_joy; //check for PSX Analog SNAC or return neutral position data + p2_controls <= p1_pocket_btn; + p3_controls <= cont3_key_s; + p4_controls <= cont4_key_s; + end + 4'h4: begin //SNAC P1-P2 -> Pocket P3-P4 + p1_controls <= cont1_key_s; + p1_joystick <= cont1_joy_s; + p2_controls <= cont2_key_s; + p3_controls <= p1_pocket_btn; + p4_controls <= p2_pocket_btn; + end + 4'h5: begin //SNAC P1-P4 -> Pocket P1-P4 + p1_controls <= p1_pocket_btn; + p1_joystick <= p1_pocket_joy; //check for PSX Analog SNAC or return neutral position data + p2_controls <= p2_pocket_btn; + p3_controls <= p3_pocket_btn; + p4_controls <= p4_pocket_btn; + end + default: begin + p1_controls <= cont1_key_s; + p1_joystick <= cont1_joy_s; + p2_controls <= cont2_key_s; + p3_controls <= cont3_key_s; + p4_controls <= cont4_key_s; + end + endcase + end + end + +wire clk_vid = video_rgb_clock; //video_rgb_clock; //Fixed one bit shift error on RGB channels. + +wire SYNC = ~^{video_hs_nes, video_vs_nes}; + +//*** Analogizer Interface V1.0 *** +//reg analogizer_ena; +reg [3:0] analog_video_type; +reg [4:0] game_cont_type /* synthesis keep */; + +// Video Y/C Encoder settings +// Follows the Mike Simone Y/C encoder settings: +// https://github.com/MikeS11/MiSTerFPGA_YC_Encoder +// SET PAL and NTSC TIMING and pass through status bits. ** YC must be enabled in the qsf file ** +// wire [39:0] CHROMA_PHASE_INC; +// wire PALFLAG; + +parameter NTSC_REF = 3.579545; +parameter PAL_REF = 4.43361875; + +// Parameters to be modifed +parameter CLK_VIDEO_NTSC = 42.954496; // Must be filled E.g XX.X Hz - CLK_VIDEO +parameter CLK_VIDEO_PAL = 42.562736; // Must be filled E.g XX.X Hz - CLK_VIDEO + +//PAL CLOCK FREQUENCY SHOULD BE 42.56274 +localparam [39:0] NTSC_PHASE_INC = 40'd91626062837; //d91_625_958_315; //d91_625_968_981; // ((NTSC_REF**2^40) / CLK_VIDEO_NTSC) - SNES Example; +localparam [39:0] PAL_PHASE_INC = 40'd114532461227; //PAL +// assign CHROMA_PHASE_INC = PALFLAG ? PAL_PHASE_INC : NTSC_PHASE_INC; +// assign PALFLAG = (analogizer_video_type == 4'h4); + +//42_954_496 NTSC +//42_562_736 PAL + +generate + if (USE_PAL_PLL == 1'b0) begin + openFPGA_Pocket_Analogizer #(.MASTER_CLK_FREQ(42_954_496), .LINE_LENGTH(260), + .ADDRESS_ANALOGIZER_CONFIG(ADDRESS_ANALOGIZER_CONFIG), + .USE_OLD_STYLE_SVGA_SCANDOUBLER(`USE_OLD_STYLE_SCANDOUBLER)) + analogizer ( + .clk_74a(clk_74a), + .i_clk(clk_analogizer), + .i_rst(external_reset_s), //i_rst is active high + .i_ena(1'b1), + + //Video interface + .video_clk(clk_analogizer), + .R(video_rgb_nes[23:16]), + .G(video_rgb_nes[15:8]), + .B(video_rgb_nes[7:0]), + .Hblank(h_blank), + .Vblank(v_blank), + .BLANKn(de), + .Hsync(video_hs_nes), //composite SYNC on HSync. + .Vsync(video_vs_nes), + .Csync(SYNC), + + //openFPGA Bridge interface + .bridge_endian_little(bridge_endian_little), + .bridge_addr(bridge_addr), + .bridge_rd(bridge_rd), + .analogizer_bridge_rd_data(analogizer_bridge_rd_data), + .bridge_wr(bridge_wr), + .bridge_wr_data(bridge_wr_data), + + //Analogizer settings + .snac_game_cont_type_out(snac_game_cont_type), + .snac_cont_assignment_out(snac_cont_assignment), + .analogizer_video_type_out(analogizer_video_type), + .SC_fx_out(SC_fx), + .pocket_blank_screen_out(pocket_blank_screen), + .analogizer_osd_out(), + + //Video Y/C Encoder interface + .CHROMA_PHASE_INC(NTSC_PHASE_INC), + .PALFLAG(1'b0), + //Video SVGA Scandoubler interface + .ce_pix(clk_video_5_37), + .scandoubler(1'b1), //logic for disable/enable the scandoubler + //SNAC interface + .p1_btn_state(p1_btn), + .p1_joy_state(p1_joy), + .p2_btn_state(p2_btn), + .p2_joy_state(p2_joy), + .p3_btn_state(p3_btn), + .p4_btn_state(p4_btn), + //Pocket Analogizer IO interface to the Pocket cartridge port + .cart_tran_bank2(cart_tran_bank2), + .cart_tran_bank2_dir(cart_tran_bank2_dir), + .cart_tran_bank3(cart_tran_bank3), + .cart_tran_bank3_dir(cart_tran_bank3_dir), + .cart_tran_bank1(cart_tran_bank1), + .cart_tran_bank1_dir(cart_tran_bank1_dir), + .cart_tran_bank0(cart_tran_bank0), + .cart_tran_bank0_dir(cart_tran_bank0_dir), + .cart_tran_pin30(cart_tran_pin30), + .cart_tran_pin30_dir(cart_tran_pin30_dir), + .cart_pin30_pwroff_reset(cart_pin30_pwroff_reset), + .cart_tran_pin31(cart_tran_pin31), + .cart_tran_pin31_dir(cart_tran_pin31_dir), + //debug + .o_stb() + ); + end + else begin + openFPGA_Pocket_Analogizer #(.MASTER_CLK_FREQ(42_562_736), .LINE_LENGTH(260), + .ADDRESS_ANALOGIZER_CONFIG(ADDRESS_ANALOGIZER_CONFIG), + .USE_OLD_STYLE_SVGA_SCANDOUBLER(`USE_OLD_STYLE_SCANDOUBLER)) + analogizer ( + .clk_74a(clk_74a), + .i_clk(clk_analogizer), + .i_rst(external_reset_s), //i_rst is active high + .i_ena(1'b1), + + //Video interface + .video_clk(clk_analogizer), + .R(video_rgb_nes[23:16]), + .G(video_rgb_nes[15:8]), + .B(video_rgb_nes[7:0]), + .Hblank(h_blank), + .Vblank(v_blank), + .BLANKn(de), + .Hsync(video_hs_nes), //composite SYNC on HSync. + .Vsync(video_vs_nes), + .Csync(SYNC), + + //openFPGA Bridge interface + .bridge_endian_little(bridge_endian_little), + .bridge_addr(bridge_addr), + .bridge_rd(bridge_rd), + .analogizer_bridge_rd_data(analogizer_bridge_rd_data), + .bridge_wr(bridge_wr), + .bridge_wr_data(bridge_wr_data), + + //Analogizer settings + .snac_game_cont_type_out(snac_game_cont_type), + .snac_cont_assignment_out(snac_cont_assignment), + .analogizer_video_type_out(analogizer_video_type), + .SC_fx_out(SC_fx), + .pocket_blank_screen_out(pocket_blank_screen), + .analogizer_osd_out(), + + //Video Y/C Encoder interface + .CHROMA_PHASE_INC(PAL_PHASE_INC), + .PALFLAG(1'b1), + //Video SVGA Scandoubler interface + .ce_pix(clk_video_5_37), + .scandoubler(1'b1), //logic for disable/enable the scandoubler + //SNAC interface + .p1_btn_state(p1_btn), + .p1_joy_state(p1_joy), + .p2_btn_state(p2_btn), + .p2_joy_state(p2_joy), + .p3_btn_state(p3_btn), + .p4_btn_state(p4_btn), + //Pocket Analogizer IO interface to the Pocket cartridge port + .cart_tran_bank2(cart_tran_bank2), + .cart_tran_bank2_dir(cart_tran_bank2_dir), + .cart_tran_bank3(cart_tran_bank3), + .cart_tran_bank3_dir(cart_tran_bank3_dir), + .cart_tran_bank1(cart_tran_bank1), + .cart_tran_bank1_dir(cart_tran_bank1_dir), + .cart_tran_bank0(cart_tran_bank0), + .cart_tran_bank0_dir(cart_tran_bank0_dir), + .cart_tran_pin30(cart_tran_pin30), + .cart_tran_pin30_dir(cart_tran_pin30_dir), + .cart_pin30_pwroff_reset(cart_pin30_pwroff_reset), + .cart_tran_pin31(cart_tran_pin31), + .cart_tran_pin31_dir(cart_tran_pin31_dir), + //debug + .o_stb() + ); + end +endgenerate + +/*[ANALOGIZER_HOOK_END]*/ + nes_top nes ( .clk_74a(clk_74a), .clk_ppu_21_47(clk_ppu_21_47), .clk_85_9(clk_85_9), .clock_locked(pll_core_locked), - .sys_type(region_s), + .sys_type(region_s), // Control // Region changed, reset .external_reset(external_reset_s || prev_region != region_s || pll_reset), // Input - .p1_button_a(cont1_key_s[4]), - .p1_button_b(cont1_key_s[5]), - .p1_button_a_turbo(cont1_key_s[6]), - .p1_button_b_turbo(cont1_key_s[7]), - .p1_button_start(cont1_key_s[15]), - .p1_button_select(cont1_key_s[14]), - .p1_dpad_up(cont1_key_s[0]), - .p1_dpad_down(cont1_key_s[1]), - .p1_dpad_left(cont1_key_s[2]), - .p1_dpad_right(cont1_key_s[3]), - - .p1_lstick_x(cont1_joy_s[7:0]), - .p1_lstick_y(cont1_joy_s[15:8]), - - .p2_button_a(cont2_key_s[4]), - .p2_button_b(cont2_key_s[5]), - .p2_button_a_turbo(cont2_key_s[6]), - .p2_button_b_turbo(cont2_key_s[7]), - .p2_button_start(cont2_key_s[15]), - .p2_button_select(cont2_key_s[14]), - .p2_dpad_up(cont2_key_s[0]), - .p2_dpad_down(cont2_key_s[1]), - .p2_dpad_left(cont2_key_s[2]), - .p2_dpad_right(cont2_key_s[3]), - - .p3_button_a(cont3_key_s[4]), - .p3_button_b(cont3_key_s[5]), - .p3_button_a_turbo(cont3_key_s[6]), - .p3_button_b_turbo(cont3_key_s[7]), - .p3_button_start(cont3_key_s[15]), - .p3_button_select(cont3_key_s[14]), - .p3_dpad_up(cont3_key_s[0]), - .p3_dpad_down(cont3_key_s[1]), - .p3_dpad_left(cont3_key_s[2]), - .p3_dpad_right(cont3_key_s[3]), - - .p4_button_a(cont4_key_s[4]), - .p4_button_b(cont4_key_s[5]), - .p4_button_a_turbo(cont4_key_s[6]), - .p4_button_b_turbo(cont4_key_s[7]), - .p4_button_start(cont4_key_s[15]), - .p4_button_select(cont4_key_s[14]), - .p4_dpad_up(cont4_key_s[0]), - .p4_dpad_down(cont4_key_s[1]), - .p4_dpad_left(cont4_key_s[2]), - .p4_dpad_right(cont4_key_s[3]), + .p1_button_a(p1_controls[4]), + .p1_button_b(p1_controls[5]), + .p1_button_a_turbo(p1_controls[6]), + .p1_button_b_turbo(p1_controls[7]), + .p1_button_start(p1_controls[15]), + .p1_button_select(p1_controls[14]), + .p1_dpad_up(p1_controls[0]), + .p1_dpad_down(p1_controls[1]), + .p1_dpad_left(p1_controls[2]), + .p1_dpad_right(p1_controls[3]), + + .p1_lstick_x(p1_joystick[7:0]), + .p1_lstick_y(p1_joystick[15:8]), + + .p2_button_a(p2_controls[4]), + .p2_button_b(p2_controls[5]), + .p2_button_a_turbo(p2_controls[6]), + .p2_button_b_turbo(p2_controls[7]), + .p2_button_start(p2_controls[15]), + .p2_button_select(p2_controls[14]), + .p2_dpad_up(p2_controls[0]), + .p2_dpad_down(p2_controls[1]), + .p2_dpad_left(p2_controls[2]), + .p2_dpad_right(p2_controls[3]), + + .p3_button_a(p3_controls[4]), + .p3_button_b(p3_controls[5]), + .p3_button_a_turbo(p3_controls[6]), + .p3_button_b_turbo(p3_controls[7]), + .p3_button_start(p3_controls[15]), + .p3_button_select(p3_controls[14]), + .p3_dpad_up(p3_controls[0]), + .p3_dpad_down(p3_controls[1]), + .p3_dpad_left(p3_controls[2]), + .p3_dpad_right(p3_controls[3]), + + .p4_button_a(p4_controls[4]), + .p4_button_b(p4_controls[5]), + .p4_button_a_turbo(p4_controls[6]), + .p4_button_b_turbo(p4_controls[7]), + .p4_button_start(p4_controls[15]), + .p4_button_select(p4_controls[14]), + .p4_dpad_up(p4_controls[0]), + .p4_dpad_down(p4_controls[1]), + .p4_dpad_left(p4_controls[2]), + .p4_dpad_right(p4_controls[3]), // Settings - .hide_overscan(hide_overscan_with_region), + .hide_overscan(hide_overscan_s), //Don't Hide overscan wire hide_overscan = status[4] && ~pal_video; .mask_vid_edges(mask_vid_edges_s), .allow_extra_sprites(allow_extra_sprites_s), .selected_palette(selected_palette_s), + .dejitter_timing(video_dejitter_s), .multitap_enabled(multitap_enabled_s), .lightgun_enabled(lightgun_enabled_s), .lightgun_dpad_aim_speed(lightgun_dpad_aim_speed_s), + //SNAC Zapper inputs from P2 port + .SNAC_Zapper_Trigger(p2_controls[7]), //added zapper trigger to Y + .SNAC_Zapper_Light(p2_controls[6]), //added zapper light to X + .turbo_speed(turbo_speed_s), .swap_controllers(swap_controllers_s), @@ -860,6 +1152,8 @@ module core_top ( .dram_we_n(dram_we_n), // Video + .ce_pix(), + .ce_pix90(), .HBlank (h_blank), .VBlank (v_blank), .HSync (video_hs_nes), @@ -872,7 +1166,8 @@ module core_top ( ); // Video - + // wire ce_pix; + // wire ce_pix90; wire h_blank; wire v_blank; wire video_hs_nes; @@ -904,38 +1199,36 @@ module core_top ( video_de_reg <= 0; video_rgb_reg <= 24'h0; - if (de) begin - video_de_reg <= 1; - - video_rgb_reg <= video_rgb_nes; - end else if (de_prev && ~de) begin - video_rgb_reg <= video_slot_rgb; - end + if (de) begin + video_de_reg <= 1; + //video_rgb_reg <= video_rgb_nes; + video_rgb_reg <= video_rgb_pocket; + end else if (de_prev && ~de) begin + video_rgb_reg <= video_slot_rgb; + end - if (hs_delay > 0) begin - hs_delay <= hs_delay - 1; - end + if (hs_delay > 0) begin + hs_delay <= hs_delay - 1; + end - if (hs_delay == 1) begin - video_hs_reg <= 1; - end + if (hs_delay == 1) begin + video_hs_reg <= 1; + end - if (~hs_prev && video_hs_nes) begin - // HSync went high. Delay by 3 cycles to prevent overlapping with VSync - hs_delay <= 7; - end + if (~hs_prev && video_hs_nes) begin + // HSync went high. Delay by 3 cycles to prevent overlapping with VSync + hs_delay <= 7; + end - // Set VSync to be high for a single cycle on the rising edge of the VSync coming out of the core - video_vs_reg <= ~vs_prev && video_vs_nes; - hs_prev <= video_hs_nes; - vs_prev <= video_vs_nes; - de_prev <= de; + // Set VSync to be high for a single cycle on the rising edge of the VSync coming out of the core + video_vs_reg <= ~vs_prev && video_vs_nes; + hs_prev <= video_hs_nes; + vs_prev <= video_vs_nes; + de_prev <= de; end // Sound - wire [15:0] audio; - reg [15:0] audio_buffer = 0; // Buffer audio to have better fitting on audio route @@ -967,148 +1260,47 @@ module core_top ( /////////////////////////////////////////////// wire clk_85_9; - wire clk_ppu_21_47; - wire clk_video_5_37; + wire clk_ppu_21_47; //26.60 for PAL + wire clk_video_5_37; //5.32 fof PAL wire clk_video_5_37_90deg; + wire clk_analogizer; //42_954_496 // - // wire [63:0] reconfig_to_pll; - // wire [63:0] reconfig_from_pll; +// wire [63:0] reconfig_to_pll; +// wire [63:0] reconfig_from_pll; wire pll_core_locked; reg pll_reset = 0; - - mf_pllbase mp1 ( - .refclk(clk_74a), - .rst (pll_reset), - // .rst(0), - - .outclk_0(clk_85_9), - .outclk_1(clk_ppu_21_47), - .outclk_2(clk_video_5_37), - .outclk_3(clk_video_5_37_90deg), - - // .reconfig_to_pll (reconfig_to_pll), - // .reconfig_from_pll(reconfig_from_pll), - - .locked(pll_core_locked) - ); +generate + if (USE_PAL_PLL == 1'b0) begin + mf_pllbase mp1 ( + .refclk(clk_74a), + .rst (pll_reset), + // .rst(0), + + .outclk_0(clk_85_9), + .outclk_1(clk_ppu_21_47), + .outclk_2(clk_video_5_37), + .outclk_3(clk_video_5_37_90deg), + .outclk_4(clk_analogizer), //42.954496MHz + .locked(pll_core_locked) + ); + end else begin + mf_pllbase_pal mp1 ( + .refclk(clk_74a), + .rst (pll_reset), + // .rst(0), + + .outclk_0(clk_85_9), + .outclk_1(clk_ppu_21_47), + .outclk_2(clk_video_5_37), + .outclk_3(clk_video_5_37_90deg), + .outclk_4(clk_analogizer), //42.562736 + .locked(pll_core_locked) + ); + end +endgenerate // See https://github.com/agg23/openfpga-NES/issues/26 - // wire cfg_waitrequest; - // reg cfg_write; - // reg [ 5:0] cfg_address; - // reg [31:0] cfg_data; - - // pll_reconfig pll_reconfig ( - // .mgmt_clk(clk_74a), - // .mgmt_reset(0), - // .mgmt_waitrequest(cfg_waitrequest), - // .mgmt_read(0), - // .mgmt_readdata(), - // .mgmt_write(cfg_write), - // .mgmt_address(cfg_address), - // .mgmt_writedata(cfg_data), - // .reconfig_to_pll(reconfig_to_pll), - // .reconfig_from_pll(reconfig_from_pll) - // ); - - // wire pal = region != 0; - - // reg prev_pal = 0; - // reg write_pal = 0; - - // reg [3:0] state = 0; - - // reg prev_pll_core_locked = 0; - // reg [19:0] pll_reset_delay = 0; - - // always @(posedge clk_74a) begin - // prev_pal <= pal; - // prev_pll_core_locked <= pll_core_locked; - - // cfg_write <= 0; - // if (prev_pal != pal) begin - // state <= 1; - // write_pal <= pal; - // end - - // if (~pll_core_locked && prev_pll_core_locked) begin - // pll_reset_delay <= 20'hF_FFFF; - // end - - // if (pll_reset_delay == 20'hFFFF) begin - // pll_reset <= 1; - // end else if (pll_reset_delay == 20'h0) begin - // pll_reset <= 0; - // end - - // if (pll_reset_delay > 20'h0) begin - // pll_reset_delay <= pll_reset_delay - 20'h1; - // end - - // if (!cfg_waitrequest) begin - // if (state) state <= state + 1'd1; - // case (state) - // 1: begin - // cfg_address <= 0; - // cfg_data <= 0; - // cfg_write <= 1; - // end - // 3: begin - // // Set fractional division - // // Config addresses come from https://www.intel.com/content/www/us/en/docs/programmable/683640/current/fractional-pll-dynamic-reconfiguration.html - // cfg_address <= 7; - // // NTSC: 425907062 - // // Mem: 85.908992 MHz - // // Main: 21.477248 MHz - // // Vid: 5.369312 MHz - // // PAL: 737738000 - // // Mem: 85.125472 MHz - // // Main: 21.281368 MHz - // // Vid: 5.320342 MHz - // cfg_data <= write_pal ? 737738000 : 425907062; - // cfg_write <= 1; - // end - // 5: begin - // // Set counter C0 - // cfg_address <= 'h5; - // cfg_data <= write_pal ? 32'h000404 : 32'h020403; - // cfg_write <= 1; - // end - // 7: begin - // // Set counter C1 - // cfg_address <= 'h5; - // cfg_data <= write_pal ? 32'h041010 : 32'h040E0E; - // cfg_write <= 1; - // end - // 9: begin - // // Set counter C2 - // cfg_address <= 'h5; - // cfg_data <= write_pal ? 32'h084040 : 32'h083838; - // cfg_write <= 1; - // end - // 11: begin - // // Set counter C3 - // cfg_address <= 'h5; - // cfg_data <= write_pal ? 32'h0C4040 : 32'h0C3838; - // cfg_write <= 1; - // end - // 13: begin - // // Set counter M - // cfg_address <= 'h4; - // cfg_data <= write_pal ? 32'h20504 : 32'h00404; - // cfg_write <= 1; - // end - // 15: begin - // // Begin fractional PLL reconfig - // cfg_address <= 2; - // cfg_data <= 0; - // cfg_write <= 1; - // end - // endcase - // end - // end - endmodule diff --git a/target/pocket/mf_pllbase_pal.ppf b/target/pocket/mf_pllbase_pal.ppf new file mode 100644 index 0000000..c678365 --- /dev/null +++ b/target/pocket/mf_pllbase_pal.ppf @@ -0,0 +1,17 @@ + + + + + + + + + + + + + diff --git a/target/pocket/mf_pllbase_pal.qip b/target/pocket/mf_pllbase_pal.qip new file mode 100644 index 0000000..ffaa050 --- /dev/null +++ b/target/pocket/mf_pllbase_pal.qip @@ -0,0 +1,337 @@ +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "mf_pllbase_pal" -name MISC_FILE [file join $::quartus(qip_path) "mf_pllbase_pal.cmp"] +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZV9wYWw=" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZV9wYWxfMDAwMg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNFQkEyRjE3QTc=::ZGV2aWNl" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::RnJhY3Rpb25hbC1OIFBMTA==::UExMIE1vZGU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::dHJ1ZQ==::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NzQuMjU=::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NzQuMjUgTUh6::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::ZGlyZWN0::T3BlcmF0aW9uIE1vZGU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::NQ==::TnVtYmVyIE9mIENsb2Nrcw==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::NQ==::bnVtYmVyX29mX2Nsb2Nrcw==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::ODUuMTI1NDcy::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::OA==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MjEuMjgxMzY4::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::MzI=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::NS4zMjAzNDI=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MTI4::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::NS4zMjAzNDI=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MTI4::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::OTAuMA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::NDIuNTYyNzM2::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MTY=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::ODUuMTI1NDcyIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MjEuMjgxMzY4IE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::NS4zMjAzNDIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::NS4zMjAzNDIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::NDY5ODkgcHM=::cGhhc2Vfc2hpZnQz" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::NDIuNTYyNzM2IE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T24=::UExMIEF1dG8gUmVzZXQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTMgSGkgRGl2aWRlLEMtQ291bnRlci0zIExvdyBEaXZpZGUsQy1Db3VudGVyLTMgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0zIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTMgSW5wdXQgU291cmNlLEMtQ291bnRlci0zIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTMgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTQgSGkgRGl2aWRlLEMtQ291bnRlci00IExvdyBEaXZpZGUsQy1Db3VudGVyLTQgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci00IFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTQgSW5wdXQgU291cmNlLEMtQ291bnRlci00IEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTQgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::NSw0LDI1NiwyNTYsZmFsc2UsdHJ1ZSx0cnVlLGZhbHNlLDQsNCwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwxNiwxNiwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw2NCw2NCwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw2NCw2NCwzMywwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsOCw4LDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDEsMzAsMjAwMCw2ODEuMDAzNzc1IE1Ieiw3Mzc3MzgwMDAsbm9uZSxnbGIsbV9jbnQscGhfbXV4X2Nsayx0cnVl::UGFyYW1ldGVyIFZhbHVlcw==" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" + +set_global_assignment -library "mf_pllbase_pal" -name VERILOG_FILE [file join $::quartus(qip_path) "mf_pllbase_pal.v"] +set_global_assignment -library "mf_pllbase_pal" -name VERILOG_FILE [file join $::quartus(qip_path) "mf_pllbase_pal/mf_pllbase_pal_0002.v"] +set_global_assignment -library "mf_pllbase_pal" -name QIP_FILE [file join $::quartus(qip_path) "mf_pllbase_pal/mf_pllbase_pal_0002.qip"] + +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_TOOL_ENV "mwpim" diff --git a/target/pocket/mf_pllbase_pal.v b/target/pocket/mf_pllbase_pal.v new file mode 100644 index 0000000..58bc2dd --- /dev/null +++ b/target/pocket/mf_pllbase_pal.v @@ -0,0 +1,261 @@ +// megafunction wizard: %PLL Intel FPGA IP v21.1% +// GENERATION: XML +// mf_pllbase_pal.v + +// Generated using ACDS version 21.1 842 + +`timescale 1 ps / 1 ps +module mf_pllbase_pal ( + input wire refclk, // refclk.clk + input wire rst, // reset.reset + output wire outclk_0, // outclk0.clk + output wire outclk_1, // outclk1.clk + output wire outclk_2, // outclk2.clk + output wire outclk_3, // outclk3.clk + output wire outclk_4, // outclk4.clk + output wire locked // locked.export + ); + + mf_pllbase_pal_0002 mf_pllbase_inst ( + .refclk (refclk), // refclk.clk + .rst (rst), // reset.reset + .outclk_0 (outclk_0), // outclk0.clk + .outclk_1 (outclk_1), // outclk1.clk + .outclk_2 (outclk_2), // outclk2.clk + .outclk_3 (outclk_3), // outclk3.clk + .outclk_4 (outclk_4), // outclk4.clk + .locked (locked) // locked.export + ); + +endmodule +// Retrieval info: +// +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// IPFS_FILES : mf_pllbase_pal.vo +// RELATED_FILES: mf_pllbase_pal.v, mf_pllbase_pal_0002.v diff --git a/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip b/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip new file mode 100644 index 0000000..c33de73 --- /dev/null +++ b/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip @@ -0,0 +1,4 @@ +set_instance_assignment -name PLL_COMPENSATION_MODE DIRECT -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" +set_instance_assignment -name PLL_CHANNEL_SPACING "0.0 KHz" -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" +set_instance_assignment -name PLL_AUTO_RESET ON -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" +set_instance_assignment -name PLL_BANDWIDTH_PRESET AUTO -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" diff --git a/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.v b/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.v new file mode 100644 index 0000000..5929e1c --- /dev/null +++ b/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.v @@ -0,0 +1,99 @@ +`timescale 1ns/10ps +module mf_pllbase_pal_0002( + + // interface 'refclk' + input wire refclk, + + // interface 'reset' + input wire rst, + + // interface 'outclk0' + output wire outclk_0, + + // interface 'outclk1' + output wire outclk_1, + + // interface 'outclk2' + output wire outclk_2, + + // interface 'outclk3' + output wire outclk_3, + + // interface 'outclk4' + output wire outclk_4, + + // interface 'locked' + output wire locked +); + + altera_pll #( + .fractional_vco_multiplier("true"), + .reference_clock_frequency("74.25 MHz"), + .operation_mode("direct"), + .number_of_clocks(5), + .output_clock_frequency0("85.125472 MHz"), + .phase_shift0("0 ps"), + .duty_cycle0(50), + .output_clock_frequency1("21.281368 MHz"), + .phase_shift1("0 ps"), + .duty_cycle1(50), + .output_clock_frequency2("5.320342 MHz"), + .phase_shift2("0 ps"), + .duty_cycle2(50), + .output_clock_frequency3("5.320342 MHz"), + .phase_shift3("46989 ps"), + .duty_cycle3(50), + .output_clock_frequency4("42.562736 MHz"), + .phase_shift4("0 ps"), + .duty_cycle4(50), + .output_clock_frequency5("0 MHz"), + .phase_shift5("0 ps"), + .duty_cycle5(50), + .output_clock_frequency6("0 MHz"), + .phase_shift6("0 ps"), + .duty_cycle6(50), + .output_clock_frequency7("0 MHz"), + .phase_shift7("0 ps"), + .duty_cycle7(50), + .output_clock_frequency8("0 MHz"), + .phase_shift8("0 ps"), + .duty_cycle8(50), + .output_clock_frequency9("0 MHz"), + .phase_shift9("0 ps"), + .duty_cycle9(50), + .output_clock_frequency10("0 MHz"), + .phase_shift10("0 ps"), + .duty_cycle10(50), + .output_clock_frequency11("0 MHz"), + .phase_shift11("0 ps"), + .duty_cycle11(50), + .output_clock_frequency12("0 MHz"), + .phase_shift12("0 ps"), + .duty_cycle12(50), + .output_clock_frequency13("0 MHz"), + .phase_shift13("0 ps"), + .duty_cycle13(50), + .output_clock_frequency14("0 MHz"), + .phase_shift14("0 ps"), + .duty_cycle14(50), + .output_clock_frequency15("0 MHz"), + .phase_shift15("0 ps"), + .duty_cycle15(50), + .output_clock_frequency16("0 MHz"), + .phase_shift16("0 ps"), + .duty_cycle16(50), + .output_clock_frequency17("0 MHz"), + .phase_shift17("0 ps"), + .duty_cycle17(50), + .pll_type("General"), + .pll_subtype("General") + ) altera_pll_i ( + .rst (rst), + .outclk ({outclk_4, outclk_3, outclk_2, outclk_1, outclk_0}), + .locked (locked), + .fboutclk ( ), + .fbclk (1'b0), + .refclk (refclk) + ); +endmodule + From 9495c86a318b361fbde6a19fa3db1b69b0486067 Mon Sep 17 00:00:00 2001 From: RndMnkIII Date: Sun, 9 Mar 2025 20:34:52 +0100 Subject: [PATCH 03/12] Added Chip32 loader and fixed some things to make room At the end I'm forced to change some things in the Analogizer side to leave some logic resources shifting to BRAM when is possible. Also I've shorten the vector width in case sentences to the minimal. the last thing was to remove synchronizer for the interact.json settings, only keep sync the external_reset signal to avoid glitches on this vital signal. --- analogizer/openFPGA_Pocket_Analogizer_SNAC.sv | 36 +- analogizer/sine_lut.mem | 256 ++ analogizer/yc_out.sv | 54 +- build.ps1 | 26 + build.tcl | 32 + loader/data.json | 25 + loader/nes_loader.asm | 330 ++ loader/nes_loader.bin | Bin 0 -> 1080 bytes loader/util.asm | 75 + platform/pocket/pocket.tcl | 2 +- projects/nes_pocket.qsf | 10 +- rtl/cart.sv | 3635 +++++++++-------- rtl/mappers/FDS.sv | 157 +- rtl/mappers/MMC5.sv | 7 +- target/pocket/core.qip | 4 +- target/pocket/core_top.v | 261 +- target/pocket/mf_pllbase.ppf | 16 - target/pocket/mf_pllbase.qip | 337 -- target/pocket/mf_pllbase/mf_pllbase_0002.qip | 4 - target/pocket/mf_pllbase/mf_pllbase_0002.v | 96 - target/pocket/mf_pllbase_pal.qip | 337 -- target/pocket/mf_pllbase_pal.v | 261 -- .../mf_pllbase_pal/mf_pllbase_pal_0002.qip | 4 - .../{mf_pllbase_pal.ppf => nes_pll.ppf} | 2 +- target/pocket/nes_pll.qip | 337 ++ target/pocket/{mf_pllbase.v => nes_pll.v} | 20 +- target/pocket/nes_pll_01.qip | 4 + .../mf_pllbase_pal_0002.v => nes_pll_01.v} | 79 +- target/pocket/pll_reconfig.ppf | 19 - target/pocket/pll_reconfig.qip | 45 - target/pocket/pll_reconfig.v | 86 - .../pll_reconfig/altera_pll_reconfig_core.v | 2184 ---------- .../pll_reconfig/altera_pll_reconfig_top.v | 428 -- .../pll_reconfig/altera_std_synchronizer.v | 159 - target/pocket/stp1.stp | 20 +- target/pocket/sync_fifo.sv | 1 + tools/gen_rbf.bat | 4 + tools/reverse_bits.exe | Bin 0 -> 86528 bytes 38 files changed, 3398 insertions(+), 5955 deletions(-) create mode 100644 analogizer/sine_lut.mem create mode 100644 build.ps1 create mode 100644 build.tcl create mode 100644 loader/data.json create mode 100644 loader/nes_loader.asm create mode 100644 loader/nes_loader.bin create mode 100644 loader/util.asm delete mode 100644 target/pocket/mf_pllbase.ppf delete mode 100644 target/pocket/mf_pllbase.qip delete mode 100644 target/pocket/mf_pllbase/mf_pllbase_0002.qip delete mode 100644 target/pocket/mf_pllbase/mf_pllbase_0002.v delete mode 100644 target/pocket/mf_pllbase_pal.qip delete mode 100644 target/pocket/mf_pllbase_pal.v delete mode 100644 target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip rename target/pocket/{mf_pllbase_pal.ppf => nes_pll.ppf} (95%) create mode 100644 target/pocket/nes_pll.qip rename target/pocket/{mf_pllbase.v => nes_pll.v} (98%) create mode 100644 target/pocket/nes_pll_01.qip rename target/pocket/{mf_pllbase_pal/mf_pllbase_pal_0002.v => nes_pll_01.v} (53%) delete mode 100644 target/pocket/pll_reconfig.ppf delete mode 100644 target/pocket/pll_reconfig.qip delete mode 100644 target/pocket/pll_reconfig.v delete mode 100644 target/pocket/pll_reconfig/altera_pll_reconfig_core.v delete mode 100644 target/pocket/pll_reconfig/altera_pll_reconfig_top.v delete mode 100644 target/pocket/pll_reconfig/altera_std_synchronizer.v create mode 100644 tools/gen_rbf.bat create mode 100644 tools/reverse_bits.exe diff --git a/analogizer/openFPGA_Pocket_Analogizer_SNAC.sv b/analogizer/openFPGA_Pocket_Analogizer_SNAC.sv index 7d3b9d7..3ab185a 100644 --- a/analogizer/openFPGA_Pocket_Analogizer_SNAC.sv +++ b/analogizer/openFPGA_Pocket_Analogizer_SNAC.sv @@ -110,7 +110,7 @@ module openFPGA_Pocket_Analogizer_SNAC #(parameter MASTER_CLK_FREQ=50_000_000) logic SNAC_IO3_B ;//Conf.B: cart_tran_bank0[4] (in), Conf.B: pin30(out) RX- logic SNAC_IN4 ; //cart_tran_bank0[7] RX+ logic SNAC_IO5_A ;//Conf.A: pin30(out), Conf.B: cart_tran_bank1[6] GND_D - logic SNAC_IO5_B ;//Conf.A: pin30(out), Conf.B: cart_tran_bank1[6] GND_D + logic SNAC_IO5_B ;//Conf.A: pin30(out), Conf.B: cart_tran_bank1[6] GND_D logic SNAC_IO6_A ;//Conf.A: pin31(in), Conf.B: pin31(out) TX- logic SNAC_IO6_B ;//Conf.A: pin31(in), Conf.B: pin31(out) TX- logic SNAC_IN7 ; //cart_tran_bank0[5] TX+ @@ -318,16 +318,16 @@ module openFPGA_Pocket_Analogizer_SNAC #(parameter MASTER_CLK_FREQ=50_000_000) .o_stb (stb_clk) ); - wire dbg_clk_w; - reg dbg_clk /* synthesis noprune */; - clock_divider_fract dbgckdiv( - .i_clk (i_clk), - .i_rst(reset_on_change), //reset on polling freq change - .i_step(uart_dbg_pstep[31:0]), - .o_stb (dbg_clk_w) - ); + // wire dbg_clk_w; + // reg dbg_clk /* synthesis noprune */; + // clock_divider_fract dbgckdiv( + // .i_clk (i_clk), + // .i_rst(reset_on_change), //reset on polling freq change + // .i_step(uart_dbg_pstep[31:0]), + // .o_stb (dbg_clk_w) + // ); - always @(posedge i_clk) dbg_clk <= dbg_clk_w; + // always @(posedge i_clk) dbg_clk <= dbg_clk_w; assign o_stb = stb_clk; @@ -434,14 +434,14 @@ pcengine_game_controller_multitap #(.MASTER_CLK_FREQ(MASTER_CLK_FREQ)) pcegmutit p2_joy_state = 32'h80808080; //analog stick neutral position value case(game_cont_type) - GC_DISABLED: begin - SNAC_OUT1 = 1'b0; - SNAC_OUT2 = 1'b0; - p1_btn_state = 16'h0; - p2_btn_state = 16'h0; - p3_btn_state = 16'h0; - p4_btn_state = 16'h0; - end + // GC_DISABLED: begin + // SNAC_OUT1 = 1'b0; + // SNAC_OUT2 = 1'b0; + // p1_btn_state = 16'h0; + // p2_btn_state = 16'h0; + // p3_btn_state = 16'h0; + // p4_btn_state = 16'h0; + // end GC_DB15, GC_DB15_FAST, GC_SNES, GC_SNES_SWAP: begin SNAC_OUT1 = SERLAT_SNAC_OUT1; SNAC_OUT2 = SERLAT_SNAC_OUT2; diff --git a/analogizer/sine_lut.mem b/analogizer/sine_lut.mem new file mode 100644 index 0000000..9d6aaa1 --- /dev/null +++ b/analogizer/sine_lut.mem @@ -0,0 +1,256 @@ +000 +006 +00C +012 +018 +01F +025 +02B +031 +037 +03D +044 +04A +04F +055 +05B +061 +067 +06D +072 +078 +07D +083 +088 +08D +092 +097 +09C +0A1 +0A6 +0AB +0AF +0B4 +0B8 +0BC +0C1 +0C5 +0C9 +0CC +0D0 +0D4 +0D7 +0DA +0DD +0E0 +0E3 +0E6 +0E9 +0EB +0ED +0F0 +0F2 +0F4 +0F5 +0F7 +0F8 +0FA +0FB +0FC +0FD +0FD +0FE +0FE +0FE +0FF +0FE +0FE +0FE +0FD +0FD +0FC +0FB +0FA +0F8 +0F7 +0F5 +0F4 +0F2 +0F0 +0ED +0EB +0E9 +0E6 +0E3 +0E0 +0DD +0DA +0D7 +0D4 +0D0 +0CC +0C9 +0C5 +0C1 +0BC +0B8 +0B4 +0AF +0AB +0A6 +0A1 +09C +097 +092 +08D +088 +083 +07D +078 +072 +06D +067 +061 +05B +055 +04F +04A +044 +03D +037 +031 +02B +025 +01F +018 +012 +00C +006 +000 +7F9 +7F3 +7ED +7E7 +7E0 +7DA +7D4 +7CE +7C8 +7C2 +7BB +7B5 +7B0 +7AA +7A4 +79E +798 +792 +78D +787 +782 +77C +777 +772 +76D +768 +763 +75E +759 +754 +750 +74B +747 +743 +73E +73A +736 +733 +72F +72B +728 +725 +722 +71F +71C +719 +716 +714 +712 +70F +70D +70B +70A +708 +707 +705 +704 +703 +702 +702 +701 +701 +701 +701 +701 +701 +701 +702 +702 +703 +704 +705 +707 +708 +70A +70B +70D +70F +712 +714 +716 +719 +71C +71F +722 +725 +728 +72B +72F +733 +736 +73A +73E +743 +747 +74B +750 +754 +759 +75E +763 +768 +76D +772 +777 +77C +782 +787 +78D +792 +798 +79E +7A4 +7AA +7B0 +7B5 +7BB +7C2 +7C8 +7CE +7D4 +7DA +7E0 +7E7 +7ED +7F3 +7F9 \ No newline at end of file diff --git a/analogizer/yc_out.sv b/analogizer/yc_out.sv index 4800670..7745f58 100644 --- a/analogizer/yc_out.sv +++ b/analogizer/yc_out.sv @@ -81,27 +81,37 @@ THe following LUT table was calculated by Sin(2*pi*t/2^8) where t: 0 - 255 /************************************* 8 bit Sine look up Table **************************************/ -wire signed [10:0] chroma_SIN_LUT[256] = '{ -11'h000, 11'h006, 11'h00C, 11'h012, 11'h018, 11'h01F, 11'h025, 11'h02B, 11'h031, 11'h037, 11'h03D, 11'h044, 11'h04A, 11'h04F, -11'h055, 11'h05B, 11'h061, 11'h067, 11'h06D, 11'h072, 11'h078, 11'h07D, 11'h083, 11'h088, 11'h08D, 11'h092, 11'h097, 11'h09C, -11'h0A1, 11'h0A6, 11'h0AB, 11'h0AF, 11'h0B4, 11'h0B8, 11'h0BC, 11'h0C1, 11'h0C5, 11'h0C9, 11'h0CC, 11'h0D0, 11'h0D4, 11'h0D7, -11'h0DA, 11'h0DD, 11'h0E0, 11'h0E3, 11'h0E6, 11'h0E9, 11'h0EB, 11'h0ED, 11'h0F0, 11'h0F2, 11'h0F4, 11'h0F5, 11'h0F7, 11'h0F8, -11'h0FA, 11'h0FB, 11'h0FC, 11'h0FD, 11'h0FD, 11'h0FE, 11'h0FE, 11'h0FE, 11'h0FF, 11'h0FE, 11'h0FE, 11'h0FE, 11'h0FD, 11'h0FD, -11'h0FC, 11'h0FB, 11'h0FA, 11'h0F8, 11'h0F7, 11'h0F5, 11'h0F4, 11'h0F2, 11'h0F0, 11'h0ED, 11'h0EB, 11'h0E9, 11'h0E6, 11'h0E3, -11'h0E0, 11'h0DD, 11'h0DA, 11'h0D7, 11'h0D4, 11'h0D0, 11'h0CC, 11'h0C9, 11'h0C5, 11'h0C1, 11'h0BC, 11'h0B8, 11'h0B4, 11'h0AF, -11'h0AB, 11'h0A6, 11'h0A1, 11'h09C, 11'h097, 11'h092, 11'h08D, 11'h088, 11'h083, 11'h07D, 11'h078, 11'h072, 11'h06D, 11'h067, -11'h061, 11'h05B, 11'h055, 11'h04F, 11'h04A, 11'h044, 11'h03D, 11'h037, 11'h031, 11'h02B, 11'h025, 11'h01F, 11'h018, 11'h012, -11'h00C, 11'h006, 11'h000, 11'h7F9, 11'h7F3, 11'h7ED, 11'h7E7, 11'h7E0, 11'h7DA, 11'h7D4, 11'h7CE, 11'h7C8, 11'h7C2, 11'h7BB, -11'h7B5, 11'h7B0, 11'h7AA, 11'h7A4, 11'h79E, 11'h798, 11'h792, 11'h78D, 11'h787, 11'h782, 11'h77C, 11'h777, 11'h772, 11'h76D, -11'h768, 11'h763, 11'h75E, 11'h759, 11'h754, 11'h750, 11'h74B, 11'h747, 11'h743, 11'h73E, 11'h73A, 11'h736, 11'h733, 11'h72F, -11'h72B, 11'h728, 11'h725, 11'h722, 11'h71F, 11'h71C, 11'h719, 11'h716, 11'h714, 11'h712, 11'h70F, 11'h70D, 11'h70B, 11'h70A, -11'h708, 11'h707, 11'h705, 11'h704, 11'h703, 11'h702, 11'h702, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, -11'h702, 11'h702, 11'h703, 11'h704, 11'h705, 11'h707, 11'h708, 11'h70A, 11'h70B, 11'h70D, 11'h70F, 11'h712, 11'h714, 11'h716, -11'h719, 11'h71C, 11'h71F, 11'h722, 11'h725, 11'h728, 11'h72B, 11'h72F, 11'h733, 11'h736, 11'h73A, 11'h73E, 11'h743, 11'h747, -11'h74B, 11'h750, 11'h754, 11'h759, 11'h75E, 11'h763, 11'h768, 11'h76D, 11'h772, 11'h777, 11'h77C, 11'h782, 11'h787, 11'h78D, -11'h792, 11'h798, 11'h79E, 11'h7A4, 11'h7AA, 11'h7B0, 11'h7B5, 11'h7BB, 11'h7C2, 11'h7C8, 11'h7CE, 11'h7D4, 11'h7DA, 11'h7E0, -11'h7E7, 11'h7ED, 11'h7F3, 11'h7F9 -}; +// wire signed [10:0] chroma_SIN_LUT[256] = '{ +// 11'h000, 11'h006, 11'h00C, 11'h012, 11'h018, 11'h01F, 11'h025, 11'h02B, 11'h031, 11'h037, 11'h03D, 11'h044, 11'h04A, 11'h04F, +// 11'h055, 11'h05B, 11'h061, 11'h067, 11'h06D, 11'h072, 11'h078, 11'h07D, 11'h083, 11'h088, 11'h08D, 11'h092, 11'h097, 11'h09C, +// 11'h0A1, 11'h0A6, 11'h0AB, 11'h0AF, 11'h0B4, 11'h0B8, 11'h0BC, 11'h0C1, 11'h0C5, 11'h0C9, 11'h0CC, 11'h0D0, 11'h0D4, 11'h0D7, +// 11'h0DA, 11'h0DD, 11'h0E0, 11'h0E3, 11'h0E6, 11'h0E9, 11'h0EB, 11'h0ED, 11'h0F0, 11'h0F2, 11'h0F4, 11'h0F5, 11'h0F7, 11'h0F8, +// 11'h0FA, 11'h0FB, 11'h0FC, 11'h0FD, 11'h0FD, 11'h0FE, 11'h0FE, 11'h0FE, 11'h0FF, 11'h0FE, 11'h0FE, 11'h0FE, 11'h0FD, 11'h0FD, +// 11'h0FC, 11'h0FB, 11'h0FA, 11'h0F8, 11'h0F7, 11'h0F5, 11'h0F4, 11'h0F2, 11'h0F0, 11'h0ED, 11'h0EB, 11'h0E9, 11'h0E6, 11'h0E3, +// 11'h0E0, 11'h0DD, 11'h0DA, 11'h0D7, 11'h0D4, 11'h0D0, 11'h0CC, 11'h0C9, 11'h0C5, 11'h0C1, 11'h0BC, 11'h0B8, 11'h0B4, 11'h0AF, +// 11'h0AB, 11'h0A6, 11'h0A1, 11'h09C, 11'h097, 11'h092, 11'h08D, 11'h088, 11'h083, 11'h07D, 11'h078, 11'h072, 11'h06D, 11'h067, +// 11'h061, 11'h05B, 11'h055, 11'h04F, 11'h04A, 11'h044, 11'h03D, 11'h037, 11'h031, 11'h02B, 11'h025, 11'h01F, 11'h018, 11'h012, +// 11'h00C, 11'h006, 11'h000, 11'h7F9, 11'h7F3, 11'h7ED, 11'h7E7, 11'h7E0, 11'h7DA, 11'h7D4, 11'h7CE, 11'h7C8, 11'h7C2, 11'h7BB, +// 11'h7B5, 11'h7B0, 11'h7AA, 11'h7A4, 11'h79E, 11'h798, 11'h792, 11'h78D, 11'h787, 11'h782, 11'h77C, 11'h777, 11'h772, 11'h76D, +// 11'h768, 11'h763, 11'h75E, 11'h759, 11'h754, 11'h750, 11'h74B, 11'h747, 11'h743, 11'h73E, 11'h73A, 11'h736, 11'h733, 11'h72F, +// 11'h72B, 11'h728, 11'h725, 11'h722, 11'h71F, 11'h71C, 11'h719, 11'h716, 11'h714, 11'h712, 11'h70F, 11'h70D, 11'h70B, 11'h70A, +// 11'h708, 11'h707, 11'h705, 11'h704, 11'h703, 11'h702, 11'h702, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, 11'h701, +// 11'h702, 11'h702, 11'h703, 11'h704, 11'h705, 11'h707, 11'h708, 11'h70A, 11'h70B, 11'h70D, 11'h70F, 11'h712, 11'h714, 11'h716, +// 11'h719, 11'h71C, 11'h71F, 11'h722, 11'h725, 11'h728, 11'h72B, 11'h72F, 11'h733, 11'h736, 11'h73A, 11'h73E, 11'h743, 11'h747, +// 11'h74B, 11'h750, 11'h754, 11'h759, 11'h75E, 11'h763, 11'h768, 11'h76D, 11'h772, 11'h777, 11'h77C, 11'h782, 11'h787, 11'h78D, +// 11'h792, 11'h798, 11'h79E, 11'h7A4, 11'h7AA, 11'h7B0, 11'h7B5, 11'h7BB, 11'h7C2, 11'h7C8, 11'h7CE, 11'h7D4, 11'h7DA, 11'h7E0, +// 11'h7E7, 11'h7ED, 11'h7F3, 11'h7F9 +// }; + +reg signed [10:0] chroma_SIN_LUT[0:255] /* synthesis ramstyle = "M10K" */; +initial begin + $readmemh("sine_lut.mem", chroma_SIN_LUT); +end + +reg signed [10:0] chroma_SIN_LUT2[0:255] /* synthesis ramstyle = "M10K" */; +initial begin + $readmemh("sine_lut.mem", chroma_SIN_LUT2); +end logic [39:0] phase_accum; logic PAL_FLIP = 1'd0; @@ -224,7 +234,7 @@ always_ff @(posedge clk) begin U and V are both divided by 2^10 which introduce chroma subsampling of 4:1:1 (25% or from 8 bit to 6 bit) */ phase[2].u <= $signed((phase[1].u)>>>10) * $signed(chroma_SIN_LUT[chroma_LUT_SIN]); - phase[2].v <= $signed((phase[1].v)>>>10) * $signed(chroma_SIN_LUT[chroma_LUT_COS]); + phase[2].v <= $signed((phase[1].v)>>>10) * $signed(chroma_SIN_LUT2[chroma_LUT_COS]); // Divide U*sin(wt) and V*cos(wt) to fit results to 8 bit phase[3].u <= $signed(phase[2].u[20:9]) + $signed(phase[2].u[20:10]) + $signed(phase[2].u[20:14]); diff --git a/build.ps1 b/build.ps1 new file mode 100644 index 0000000..94b5f9d --- /dev/null +++ b/build.ps1 @@ -0,0 +1,26 @@ +if (($args.count -ne 1) -or ($args[0] -eq "")) { + Write-Output "Expected build type arg" + exit 1 +} + +$build_type = $args[0] + +quartus_sh -t generate.tcl $build_type + +$exitcode = $LASTEXITCODE +if ($exitcode -ne 0) { + Write-Output "Build failed with $exitcode" + exit $exitcode +} + +$output_file = "NTSC_SET1.rev" + +if (($build_type -eq "NTSC_SET1")) { + $output_file = "NTSC_SET1.rev" +} elseif (($build_type -eq "NTSC_SET2")) { + $output_file = "NTSC_SET2.rev" +} elseif (($build_type -eq "PAL_SET1")) { + $output_file = "PAL_SET1.rev" +}elseif (($build_type -eq "PAL_SET2")) { + $output_file = "PAL_SET2.rev" +} diff --git a/build.tcl b/build.tcl new file mode 100644 index 0000000..b53ff3a --- /dev/null +++ b/build.tcl @@ -0,0 +1,32 @@ +# Run with quartus_sh -t generate.tcl + +# Load Quartus II Tcl Project package +package require ::quartus::project + +# Required for compilation +package require ::quartus::flow + +if { $argc != 1 } { + puts "Exactly 1 argument required" + exit +} + +project_open projects/nes_pocket.qpf + +if { [lindex $argv 0] == "NTSC_SET1" } { + puts "NTSC_SET1" + set_parameter -name USE_MMAPPER_SET1 -entity core_top '1 + set_parameter -name USE_MMAPPER_SET2 -entity core_top '0 +} elseif { [lindex $argv 0] == "MMAPPER_SET2" } { + puts "MMAPPER_SET2" + set_parameter -name USE_MMAPPER_SET1 -entity core_top '0 + set_parameter -name USE_MMAPPER_SET2 -entity core_top '1 +} else { + puts "Unknown bitstream type [lindex $argv 0]" + project_close + exit +} + +execute_flow -compile + +project_close \ No newline at end of file diff --git a/loader/data.json b/loader/data.json new file mode 100644 index 0000000..c6b1275 --- /dev/null +++ b/loader/data.json @@ -0,0 +1,25 @@ +{ + "data": { + "magic": "APF_VER_1", + "data_slots": [ + { + "name": "Cartridge", + "id": 0, + "filename": "Lagrange Point (Japan).nes", + "required": true, + "parameters": "0x109", + "extensions": ["nes"], + "address": "0x10000000" + }, + { + "name": "Cartridge", + "id": 1, + "filename": "Super Mario Bros. 3 (USA).nes", + "required": true, + "parameters": "0x109", + "extensions": ["nes"], + "address": "0x10000000" + } + ] + } +} diff --git a/loader/nes_loader.asm b/loader/nes_loader.asm new file mode 100644 index 0000000..a4707d2 --- /dev/null +++ b/loader/nes_loader.asm @@ -0,0 +1,330 @@ +//Chip32 loader code for Analogizer NES Core +//RndMnkIII. 25/02/2025 : initial code - load bitstream based on NES ROM header +// 06/03/2025 : load NTSC/PAL bitstream based on iNES 2.0 ROM header. If not load NTSC by default. +//This code is based on the work of @agg23 openFPGA SNES core: https://github.com/agg23/openfpga-SNES +// Pseudocode: +// Check that is a iNES2.0 HEADER +// If it is a iNES2.0 Header Read the System Type Code: NTSC,PAL,Multisystem,Dendy(as PAL but compatible with NTSC ROMs) +// set the SysType to he readed code +// Load by default core NTSC +// If is MultiSystem read the FPGA space user setting for System Preference: if is Auto>NTSC, Auto>PAL or Auto>Dendy and assign type to NTSC,PAL or Dendy +// If is NTSC,PAL or Dendy and user setting Auto>... Choose the one from header setting. If the user setting is Force NTSC,PAL or Dendy, ignore header +// setting and assign the System Type based on User preference. +// If the header is not a iNES2.0 HEADER choose by default NTSC. If the user setting is Force NTSC,PAL or Dendy assign the System Type based on User preference. +arch chip32.vm +output "nes_loader.bin", create + +constant DEBUG = 1 + +//NES Cartridge data slot (see data.json core file) +constant rom_dataslot = 0 + +//Save state data slot +constant save_dataslot = 10 +constant pal_dataslot = 11 +constant analogizer_dataslot = 20 + + +//number of mapper codes to check in the data table +constant num_audio_mappers = 9 + +// Host init command +constant put_core_reset = 0x4000 +constant core_take_out_reset = 0x4001 +constant host_init = 0x4002 + +//Addresses +constant is_nes20_mapper = 0x1000 +constant rom_mapper_value = 0x1004 +constant mmapper_set_value = 0x1008 + +//cpu_ppu_timing header[12][1:0] +// 00 RP2C02 ("NTSC NES") +// 01 RP2C07 ("Licensed PAL NES") +// 10 Multiple-region +// 11 UA6538 ("Dendy") +constant cpu_ppu_timing = 0x100C +constant is_nes_head = 0x1010 +constant dirty_nes_head = 0x1014 +constant nes20mapper = 0x1018 +constant load_header_area = 0x1A00 +constant load_analogizer_cfg_area = 0x1B00 + + +// Error vector (0x0) +jp error_handler + +// Init vector (0x2) +jp start + +/// Includes /// +include "util.asm" +align(2) + +// data (word size) +audio_mapper_codes: +//hex:5,13,14,18,1A,1F,45,55,D2 +dw 5,19,20,24,26,31,69,85,210 +//dw 5,19,547,24,26,31,69,85,210 //for testing only + +start: +ld r1,#rom_dataslot //populate data slot +open r1,r2 + +//Load header values into memory +seek() +ld r1,#0x10 // Load 0x10 bytes, the NES/NES2 header size +ld r2,#load_header_area // Read into read_space memory +read() +close +log_string("Loaded header data") +ld.l r3,(load_header_area) + +//*** Analogizer configuration code *** +//ld r1,#analogizer_dataslot //populate data slot +//open r1,r2 + +//Load analogizer configuration into memory +//seek2() +//ld r1,#0x4 // Load 0x4 bytes +//ld r2,#load_analogizer_cfg_area // Read into read_space memory +//read2() +//close +//log_string("Loaded Analogizer configuration data") + + +//Check that is a valid NES header +cmp r3,#0x1A53454E // Compare against 0xFFFF +jp nz, error_invalid_nes_header // If not equal, skip +log_string("Seems a iNES header...") +ld r10,#0 +//check header[7][3:2] == 2'b10 +ld.b r4,(load_header_area + 7) +lsr r4,#2 +and r4,#2 +jp z,is_dirty +log_string("Seems a iNES 2.0 header...") +ld r10,#1 //Uses R10 as iNES2.0 check + +is_dirty: + //check is not ines2.0 + bit r10,#1 + jp nz, calculate_code + + log_string("Checking header[9][7:1] != 0...") + //check header[9][7:1] != 0 + ld.b r4,(load_header_area + 9) + and r4,#0xFE //mask bits 7-1 + cmp r4,#0 //check if all bits are zero + jp z, is_dirty_chk2 + ld r11,#1 ////Uses R11 as dirty check + jp calculate_code +is_dirty_chk2: +log_string("Checking header[10]!= 0...") + //check header[10]!= 0 + ld.b r4,(load_header_area + 10) + cmp r4,#0 //check if all bits are zero + jp z, is_dirty_chk3 + ld r11,#1 ////Uses R11 as dirty check + jp calculate_code +is_dirty_chk3: +log_string("Checking header[11]!= 0...") + //check header[11]!= 0 + ld.b r4,(load_header_area + 11) + cmp r4,#0 //check if all bits are zero + jp z, is_dirty_chk4 + ld r11,#1 ////Uses R11 as dirty check + jp calculate_code +is_dirty_chk4: +log_string("Checking header[12]!= 0...") + //check header[12]!= 0 + ld.b r4,(load_header_area + 12) + cmp r4,#0 //check if all bits are zero + jp z, is_dirty_chk5 + ld r11,#1 ////Uses R11 as dirty check + jp calculate_code +is_dirty_chk5: +log_string("Checking header[13]!= 0...") + //check header[13]!= 0 + ld.b r4,(load_header_area + 13) + cmp r4,#0 //check if all bits are zero + jp z, is_dirty_chk6 + ld r11,#1 ////Uses R11 as dirty check + jp calculate_code +is_dirty_chk6: +log_string("Checking header[14]!= 0...") + //check header[14]!= 0 + ld.b r4,(load_header_area + 14) + cmp r4,#0 //check if all bits are zero + jp z, is_dirty_chk7 + ld r11,#1 ////Uses R11 as dirty check + jp calculate_code +is_dirty_chk7: +log_string("Checking header[15s]!= 0...") + //check header[15]!= 0 + ld.b r4,(load_header_area + 15) + cmp r4,#0 //check if all bits are zero + jp z, calculate_code + ld r11,#1 ////Uses R11 as dirty check + +calculate_code: + ld.b r3,(load_header_area + 6) + ld.b r4,(load_header_area + 7) + ld.b r5,(load_header_area + 8) //used for ines2.0 mapper value (16bits) + ld r6,#0 //temp register to store mapper code + + //check is dirty + bit r11,#1 + jp nz, calculate_code2 + log_string("Calculate code for clean header...") + + //is not dirty + ld r6,r4 //load header[7] + and r6,#0xF0 //mask upper nibble of header[7] + and r3,#0xF0 //mask upper nibble of header[6] + lsr r3,#4 //shift header[6] + or r6,r3 // {header[7][7:4], header[6][7:4]} + jp calculate_code3 + +calculate_code2: + //is dirty + log_string("Calculate code for dirty header...") + and r3,#0xF0 //mask upper nibble of header[6] + lsr r3,#4 //shift header[6] + or r6,r3 // {4'b0000, header[6][7:4]} + +calculate_code3: + //check is ines2.0 + bit r10,#1 + jp z, store_mapper_value + + log_string("Calculate code for ines2.0 header...") + //shift left 8 bits + and r5,#3 + asl r5,#8 + or r6,r5 //combine with already stored value + +store_mapper_value: + ld r7,#rom_mapper_value + ld.w (r7),r6 + +check_mmapper_code: + //use r3 as counter, init to 0 + //r4 #num_audio_mappers + //r5 base: #audio_mapper_codes (word) + //r6 current checked code address + //r7 current checked code (word) + //r8 tmp for mapper code address + //r9 mapper code value (word) + //r12 mapper set: 0 block1, 1 block2 + + log_string("Checking mapper code...") + + ld r3,#0 + ld r12,#0 //by default mapper set block1 + ld r4,#num_audio_mappers + ld r6,#audio_mapper_codes + ld r8,#rom_mapper_value + ld.w r9,(r8) + +check_mapper_code_loop: + cmp r4,r3 //check if all cores were already checked + jp z, check_system + + ld.w r7,(r6) //load the current code to check + cmp r7,r9 //if are equal assign set2 mapper and exit loop + jp z, block_set2 + //increase counter and address + add r3,#1 //increase code count + add r6,#2 //advance 2 bytes (1 word) + jp check_mapper_code_loop + +block_set2: + log_string("Mapper is set Block2 (audio mapper)...") + ld r12,#1 //mapper set block2 (audio mappers) + +check_system: + log_string("*** Checking system ***") + ld r8,#0 //by default use NTSC system + ld r4,#0 //default region is NTSC + //check is ines2.0 + bit r10,#1 + jp z, load_core + ld.b r8,(load_header_area + 0xC) //System for iNES2.0 + and r8,#3 //use two lower bits + ld r4,r8 //copy region value to r4 + and r8,#1 //take one bit 0 on r8 00 NTSC 01 PAL 10 Multi-System 11 Dendy(PAL) + asl r8,#1 //multiply by 2 + or r12,r8 //add r3 to r12 now the bitstream to load is encoded into r12 + +load_core: + //load the core based on mapper code selection and region setting + core r12 //core #0 NTSC Block 1, core #1 NTSC Block 2 (audio mappers), core #2 PAL/Dendy Block 1, no PAL game has audio mappers support + +load_settings: + //load assets files + ld r1,#rom_dataslot + loadf r1 // Load ROM + + ld r1,#save_dataslot + loadf r1 // Load Save + + ld r1,#pal_dataslot + loadf r1 // Load Palettes + + ld r1,#analogizer_dataslot + loadf r1 // Load Analogizer settings + + //Send region to the CORE at address 0x330 + ld r8,#0x330 + pmpw r8,r4 + + // Start core + ld r0,#host_init + host r0,r0 + exit 0 + +invalid_nes_header: +close +exit 1 + +error_handler: +ld r14,#test_err_msg + +print: +printf r14 +exit 1 + +error_invalid_nes_header: +ld r14,#invalid_nes_header_msg +printf r14 +exit 1 + +test_err_msg: +db "Error",0 +align(2) + +invalid_nes_header_msg: +db "Invalid NES header",0 +align(2) + + + + + + + + + + + + + + + + + + + + + diff --git a/loader/nes_loader.bin b/loader/nes_loader.bin new file mode 100644 index 0000000000000000000000000000000000000000..d001ebfce6295b1109f3d991f4bd5269bdd40531 GIT binary patch literal 1080 zcmZwG&r1|h902g|{BZrw?zqp&Acn9fsM!+3?z$EU!IZcZ^aGN#*aV+DPaT}y)tObY zlM7v>phHpjpiAJjj$MMFOVq8S|AEyp5Wh3(y1E|b4fFVZ-uJ!veqZP8`I!kR7x|p< ze4c#@52SGSK73i7uP;P%&;e(l2hM>77hoJ7!Y@z|pl?!nG`OBd9nwzU4W+1HH>e2K z>Bl*mJ+(gzQw)7zjx%$oCS2+A1BLnaF3?< zqix#;NK#H+?X-FZbVG$;o#9uCUpg9}x3fo$0!gNTz0B}0MQ_ZEEy(hsSDoLFDqWi{ zT^-F$^SP`z!_ti%(9-~~*HcJVFtiosd}y<|?DPR6m$V|Y*)+3czO1X*s;;ngca4RLq#h=wM$VQrl=0jXr23FO!(y$U(_Wp*IdcxY5_0%QdC>3R~$+h z+n~`iJa8qmOm+A~wsMkny`a9{RoJBcuhL3C z#NE9GypV^o&Tsm)@?xG3i51tY@x8?_#T{=__c8oPW=mu;8Q!c{xE9uBh0`2mi!#&g zpmY9dplVu3yMI1}h633CGGmsayQ)kmn2g6%JoeCtnAL8L0;&!?fg(&P#WeQnX(xL3 V$M5kVs0DDlx+;9n<%ic1U>Ei!^&9{I literal 0 HcmV?d00001 diff --git a/loader/util.asm b/loader/util.asm new file mode 100644 index 0000000..f26f255 --- /dev/null +++ b/loader/util.asm @@ -0,0 +1,75 @@ +/// Util Functions /// + +// Seek function +// Input: location - r1 +// Clobbers Z +macro seek() { + seek r1 + jp z, seek_end + + // Failed to seek + ld r14,#seek_err + printf r14 + hex.l r1 + exit 1 + + seek_end: +} + +// Read function +// Input: length - r1 +// Input: ouput memory address - r2 +// Clobbers Z +macro read() { + read r2,r1 + jp z, read_end + + // Failed to read + ld r14,#read_err + printf r14 + hex.l r1 + exit 1 + + read_end: +} + +macro align(size) { + while (pc() % {size}) { + db 0 + } +} + +macro log_string(value) { + if DEBUG { + ld r15,#+ + printf r15 + jp ++ + + +; + db {value},0 + align(2) + +; + } +} + +macro log_hex(value) { + if DEBUG { + ld r15,#{value} + hex.l r15 + } +} + +macro log_dec(value) { + if DEBUG { + ld r15,#{value} + dec.l r15 + } +} + +/// Messages /// + +seek_err: +db "Seek fail 0x",0 + +read_err: +db "Read fail length 0x",0 diff --git a/platform/pocket/pocket.tcl b/platform/pocket/pocket.tcl index e06701e..d394109 100644 --- a/platform/pocket/pocket.tcl +++ b/platform/pocket/pocket.tcl @@ -38,7 +38,7 @@ set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" # ============================================================================== # Signal Tap Assignments # ============================================================================== -set_global_assignment -name ENABLE_SIGNALTAP ON +set_global_assignment -name ENABLE_SIGNALTAP OFF # ============================================================================== # Pin & Location Assignments diff --git a/projects/nes_pocket.qsf b/projects/nes_pocket.qsf index 4667c24..da410fc 100644 --- a/projects/nes_pocket.qsf +++ b/projects/nes_pocket.qsf @@ -10,7 +10,7 @@ # Project-Wide Assignments # ============================================================================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.1 -set_global_assignment -name LAST_QUARTUS_VERSION "21.1.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Standard Edition" set_global_assignment -name TOP_LEVEL_ENTITY apf_top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top @@ -63,7 +63,6 @@ source ../platform/pocket/pocket.tcl set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION ALWAYS set_global_assignment -name ALM_REGISTER_PACKING_EFFORT LOW set_global_assignment -name NUM_PARALLEL_PROCESSORS 4 -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name QIP_FILE ../analogizer/analogizer.qip set_global_assignment -name QIP_FILE ../platform/pocket/apf.qip set_global_assignment -name QIP_FILE ../target/pocket/core.qip @@ -74,6 +73,7 @@ set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_global_assignment -name QIP_FILE ../target/pocket/mf_pllbase_pal.qip -set_global_assignment -name SIP_FILE ../target/pocket/mf_pllbase_pal.sip -set_global_assignment -name ENABLE_SIGNALTAP OFF \ No newline at end of file +set_global_assignment -name ENABLE_SIGNALTAP OFF +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ALWAYS +set_global_assignment -name IGNORE_PARTITIONS ON +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/rtl/cart.sv b/rtl/cart.sv index 24f7b85..e1459df 100644 --- a/rtl/cart.sv +++ b/rtl/cart.sv @@ -14,8 +14,14 @@ // CHR-VRAM = 1100 // CPU-RAM = 1110 // CARTRAM = 1111 - -module cart_top ( +//SET1 mapper codes: +// +//SET2 mapper codes:5,69,24,26,85,210,19,20,31,69 +module cart_top +#( + parameter reg USE_MMAPPER_SET1 = 1'b0, //all mappers except audio mappers + parameter reg USE_MMAPPER_SET2 = 1'b1 //all audio mappers +) ( input clk, input ce, // M2 input cpu_ce, // CPU Phi1 clock (several mappers use m2 inverted) @@ -121,36 +127,40 @@ MMC0 mmc0( // Notes : // // Games : Simon's Quest // //*****************************************************************************// -MMC1 mmc1( - .clk (clk), - .ce (ce), - .enable (me[171] | me[155] | me[1]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[0]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + MMC1 mmc1( + .clk (clk), + .ce (ce), + .enable (me[171] | me[155] | me[1]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[0]) + ); + end +endgenerate //*****************************************************************************// // Name : Tepples // @@ -159,38 +169,42 @@ MMC1 mmc1( // Notes : This mapper relies on open bus and bus conflict behavior. // // Games : Donkey Kong // //*****************************************************************************// -wire mapper28_en = me[0] | me[2] | me[3] | me[7] | me[94] | me[97] | me[180] | me[185] | me[28]; -Mapper28 map28( - .clk (clk), - .ce (ce), - .enable (mapper28_en & ~reset), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_dout_b (chr_dout_b), // Special port - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[1]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + wire mapper28_en = me[0] | me[2] | me[3] | me[7] | me[94] | me[97] | me[180] | me[185] | me[28]; + Mapper28 map28( + .clk (clk), + .ce (ce), + .enable (mapper28_en & ~reset), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_dout_b (chr_dout_b), // Special port + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[1]) + ); + end +endgenerate //*****************************************************************************// // Name : UNROM 512 // @@ -199,36 +213,40 @@ Mapper28 map28( // Notes : Homebrew mapper // // Games : ? // //*****************************************************************************// -Mapper30 map30( - .clk (clk), - .ce (ce), - .enable (me[30]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[26]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper30 map30( + .clk (clk), + .ce (ce), + .enable (me[30]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[26]) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 32 // @@ -237,36 +255,40 @@ Mapper30 map30( // Notes : // // Games : Image Fight // //*****************************************************************************// -Mapper32 map32( - .clk (clk), - .ce (ce), - .enable (me[32]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[28]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper32 map32( + .clk (clk), + .ce (ce), + .enable (me[32]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[28]) + ); + end +endgenerate //*****************************************************************************// // Name : MMC2 // @@ -275,39 +297,43 @@ Mapper32 map32( // Notes : Working // // Games : Mike Tyson's Punch-Out // //*****************************************************************************// -MMC2 mmc2( - .clk (clk), - .ce (ce), - .enable (me[9]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .chr_ain_o (chr_ain_orig), - .paused (paused), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[6]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + MMC2 mmc2( + .clk (clk), + .ce (ce), + .enable (me[9]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .chr_ain_o (chr_ain_orig), + .paused (paused), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[6]) + ); + end +endgenerate //*****************************************************************************// // Name : MMC3 // @@ -317,44 +343,49 @@ MMC2 mmc2( // Notes : While currently working well, this mapper could use a full review. // // Games : Crystalis, Battletoads // //*****************************************************************************// -wire mmc3_en = me[118] | me[119] | me[47] | me[206] | me[112] | me[88] | me[154] | me[95] - | me[76] | me[80] | me[82] | me[207] | me[48] | me[33] | me[37] | me[74] | me[191] - | me[192] | me[194] | me[195] | me[196] | me[4] | me[189] | me[268]; - -MMC3 mmc3 ( - .clk (clk), - .ce (ce), - .enable (mmc3_en), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .chr_ain_o (chr_ain_orig), - .m2_inv (cpu_ce), - .paused (paused), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[2]) -); +generate + //if (USE_MMAPPER_SET1 == 1'b1) begin + if (USE_MMAPPER_SET1 == 1'b1) begin + wire mmc3_en = me[118] | me[119] | me[47] | me[206] | me[112] | me[88] | me[154] | me[95] + | me[76] | me[80] | me[82] | me[207] | me[48] | me[33] | me[37] | me[74] | me[191] + | me[192] | me[194] | me[195] | me[196] | me[4] | me[189] | me[268]; + + MMC3 mmc3 ( + .clk (clk), + .ce (ce), + .enable (mmc3_en), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .chr_ain_o (chr_ain_orig), + .m2_inv (cpu_ce), + .paused (paused), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[2]) + ); + end +endgenerate //*****************************************************************************// // Name : MMC4 // @@ -363,39 +394,43 @@ MMC3 mmc3 ( // Notes : // // Games : Fire Emblem // //*****************************************************************************// -MMC4 mmc4( - .clk (clk), - .ce (ce), - .enable (me[10]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .chr_ain_o (chr_ain_orig), - .paused (paused), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[7]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + MMC4 mmc4( + .clk (clk), + .ce (ce), + .enable (me[10]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .chr_ain_o (chr_ain_orig), + .paused (paused), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[7]) + ); + end +endgenerate //*****************************************************************************// // Name : MMC5 // @@ -404,6 +439,8 @@ MMC4 mmc4( // Notes : Uses expansion audio and PPU hacks. Could use a thorough review. // // Games : Castlevania III, Just Breed // //*****************************************************************************// +generate + if (USE_MMAPPER_SET2 == 1'b1) begin MMC5 mmc5( .clk (clk), .ce (ce), @@ -449,6 +486,8 @@ MMC5 mmc5( .Savestate_MAPRAMWriteData(Savestate_MAPRAMWriteData), .Savestate_MAPRAMReadData (SaveStateRAM_wired_or[1]) ); + end +endgenerate //*****************************************************************************// // Name : CPROM // @@ -457,29 +496,33 @@ MMC5 mmc5( // Notes : // // Games : Videomation // //*****************************************************************************// -Mapper13 map13( - .clk (clk), - .ce (ce), - .enable (me[13]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper13 map13( + .clk (clk), + .ce (ce), + .enable (me[13]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 15 // @@ -488,29 +531,33 @@ Mapper13 map13( // Notes : // // Games : Bao Xiao San Guo // //*****************************************************************************// -Mapper15 map15( - .clk (clk), - .ce (ce), - .enable (me[15]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper15 map15( + .clk (clk), + .ce (ce), + .enable (me[15]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Bandai 16 // @@ -522,42 +569,47 @@ Mapper15 map15( wire map16_prg_write, map16_ovr; wire [7:0] map16_data_out; wire [17:0] map16_mapper_addr; -Mapper16 map16( - .clk (clk), - .ce (ce), - .enable (me[159] | me[153] | me[16]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special Ports - .mapper_addr(map16_mapper_addr), - .mapper_data_in(mapper_data_in), - .mapper_data_out(map16_data_out), - .mapper_prg_write(map16_prg_write), - .mapper_ovr(map16_ovr), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[5]) -); +generate + //if (USE_MMAPPER_SET1 == 1'b1) begin + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper16 map16( + .clk (clk), + .ce (ce), + .enable (me[159] | me[153] | me[16]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special Ports + .mapper_addr(map16_mapper_addr), + .mapper_data_in(mapper_data_in), + .mapper_data_out(map16_data_out), + .mapper_prg_write(map16_prg_write), + .mapper_ovr(map16_ovr), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[5]) + ); + end +endgenerate //*****************************************************************************// // Name : Jaleco 18 // @@ -566,36 +618,40 @@ Mapper16 map16( // Notes : // // Games : Pizza Pop!, Plasma Ball, USA Ice Hockey in FC // //*****************************************************************************// -Mapper18 map18( - .clk (clk), - .ce (ce), - .enable (me[18]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[27]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper18 map18( + .clk (clk), + .ce (ce), + .enable (me[18]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[27]) + ); + end +endgenerate //*****************************************************************************// // Name : BNROM // @@ -604,36 +660,40 @@ Mapper18 map18( // Notes : // // Games : Mashou, Deadly Towers // //*****************************************************************************// -Mapper34 map34( - .clk (clk), - .ce (ce), - .enable (me[34]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[31]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper34 map34( + .clk (clk), + .ce (ce), + .enable (me[34]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[31]) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 41 // @@ -642,29 +702,33 @@ Mapper34 map34( // Notes : // // Games : Caltron 6-in-1 // //*****************************************************************************// -Mapper41 map41( - .clk (clk), - .ce (ce), - .enable (me[41]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper41 map41( + .clk (clk), + .ce (ce), + .enable (me[41]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 42 // @@ -673,29 +737,33 @@ Mapper41 map41( // Notes : Used for converted FDS carts. // // Games : Love Warrior Nicol, Green Beret (unl) // //*****************************************************************************// -Mapper42 map42( - .clk (clk), - .ce (ce), - .enable (me[42]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper42 map42( + .clk (clk), + .ce (ce), + .enable (me[42]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Irem H3001 // @@ -704,36 +772,40 @@ Mapper42 map42( // Notes : // // Games : Spartan X 2, Daiku no Gen-san 2 // //*****************************************************************************// -Mapper65 map65( - .clk (clk), - .ce (ce), - .enable (me[65]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[29]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper65 map65( + .clk (clk), + .ce (ce), + .enable (me[65]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[29]) + ); + end +endgenerate //*****************************************************************************// // Name : GxROM // @@ -742,37 +814,41 @@ Mapper65 map65( // Notes : // // Games : Doraemon, Dragon Power, Sidewinder (145), Taiwan Mahjong 16 (149) // //*****************************************************************************// -wire mapper66_en = me[11] | me[38] | me[46] | me[86] | me[87] | me[101] | me[140] | me[66] | me[145] | me[149]; -Mapper66 map66( - .clk (clk), - .ce (ce), - .enable (mapper66_en), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[3]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + wire mapper66_en = me[11] | me[38] | me[46] | me[86] | me[87] | me[101] | me[140] | me[66] | me[145] | me[149]; + Mapper66 map66( + .clk (clk), + .ce (ce), + .enable (mapper66_en), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[3]) + ); + end +endgenerate //*****************************************************************************// // Name : Sunsoft-3 // @@ -781,36 +857,40 @@ Mapper66 map66( // Notes : // // Games : Fantasy Zone II, Mito Koumon // //*****************************************************************************// -Mapper67 map67( - .clk (clk), - .ce (ce), - .enable (me[67] | me[190]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[20]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper67 map67( + .clk (clk), + .ce (ce), + .enable (me[67] | me[190]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[20]) + ); + end +endgenerate //*****************************************************************************// // Name : Sunsoft-4 // @@ -819,36 +899,40 @@ Mapper67 map67( // Notes : // // Games : After Burner (J), Majaraja // //*****************************************************************************// -Mapper68 map68( - .clk (clk), - .ce (ce), - .enable (me[68]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[21]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper68 map68( + .clk (clk), + .ce (ce), + .enable (me[68]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[21]) + ); + end +endgenerate //*****************************************************************************// // Name : Sunsoft FME-7 // @@ -857,36 +941,40 @@ Mapper68 map68( // Notes : Audio needs better mixing/processing // // Games : Gimmick!, Barcode World, Hebereke // //*****************************************************************************// -Mapper69 map69( - .clk (clk), - .ce (ce), - .enable (me[69]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (ss5b_audio), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[12]) -); +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + Mapper69 map69( + .clk (clk), + .ce (ce), + .enable (me[69]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (ss5b_audio), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[12]) + ); + end +endgenerate //*****************************************************************************// // Name : Codemasters/Camerica // @@ -895,36 +983,40 @@ Mapper69 map69( // Notes : // // Games : Micro Machines, Big Nose the Caveman // //*****************************************************************************// -Mapper71 map71( - .clk (clk), - .ce (ce), - .enable (me[71] | me[232]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[8]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper71 map71( + .clk (clk), + .ce (ce), + .enable (me[71] | me[232]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[8]) + ); + end +endgenerate //*****************************************************************************// // Name : Jaleco JF-17 // @@ -933,36 +1025,40 @@ Mapper71 map71( // Notes : // // Games : Pro Tennis (J), Pinball Quest (J), Pro Soccer (J) // //*****************************************************************************// -Mapper72 map72( - .clk (clk), - .ce (ce), - .enable (me[92] | me[72]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[30]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper72 map72( + .clk (clk), + .ce (ce), + .enable (me[92] | me[72]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[30]) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 77 // @@ -971,36 +1067,40 @@ Mapper72 map72( // Notes : // // Games : Napoleon Senki // //*****************************************************************************// -Mapper77 map77( - .clk (clk), - .ce (ce), - .enable (me[77]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[32]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper77 map77( + .clk (clk), + .ce (ce), + .enable (me[77]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[32]) + ); + end +endgenerate //*****************************************************************************// // Name : Holy Diver // @@ -1009,36 +1109,40 @@ Mapper77 map77( // Notes : Submapper 1 Requires NES 2.0 // // Games : Holy Diver, Uchuusent // //*****************************************************************************// -Mapper78 map78( - .clk (clk), - .ce (ce), - .enable (me[152] | me[70] | me[78]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[33]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper78 map78( + .clk (clk), + .ce (ce), + .enable (me[152] | me[70] | me[78]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[33]) + ); + end +endgenerate //*****************************************************************************// // Name : NINA // @@ -1048,36 +1152,40 @@ Mapper78 map78( // Games : Tiles of Fate, Dudes with Attitude, Krazy Kreatures, // // Twin Eagle (146), Mahjong World (148), Jovial Race (133) // //*****************************************************************************// -Mapper79 map79( - .clk (clk), - .ce (ce), - .enable (me[79] | me[113] | me[133] | me[146] | me[148]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[4]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper79 map79( + .clk (clk), + .ce (ce), + .enable (me[79] | me[113] | me[133] | me[146] | me[148]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[4]) + ); + end +endgenerate //*****************************************************************************// // Name : Cony/Yoko (unlicensed) // @@ -1086,29 +1194,33 @@ Mapper79 map79( // Notes : No user ability to control dipswitch setting // // Games : Fatal Fury 2, World Heroes 2, Dragon Ball Party // //*****************************************************************************// -Mapper83 map83( - .clk (clk), - .ce (ce), - .enable (me[83]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper83 map83( + .clk (clk), + .ce (ce), + .enable (me[83]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Sunsoft // @@ -1117,36 +1229,40 @@ Mapper83 map83( // Notes : // // Games : Tenka no Goikenban // //*****************************************************************************// -Mapper89 map89( - .clk (clk), - .ce (ce), - .enable (me[89] | me[93] | me[184]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[34]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper89 map89( + .clk (clk), + .ce (ce), + .enable (me[89] | me[93] | me[184]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[34]) + ); + end +endgenerate //*****************************************************************************// // Name : Magic Dragon // @@ -1155,29 +1271,33 @@ Mapper89 map89( // Notes : // // Games : Magic Dragon // //*****************************************************************************// -Mapper107 map107( - .clk (clk), - .ce (ce), - .enable (me[107]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper107 map107( + .clk (clk), + .ce (ce), + .enable (me[107]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : GTROM // @@ -1186,36 +1306,40 @@ Mapper107 map107( // Notes : No LED or self-reflash support // // Games : Super Homebrew War, Candelabra: Estoscerro, more homebrew // //*****************************************************************************// -Mapper111 map111( - .clk (clk), - .ce (ce), - .enable (me[111]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[25]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper111 map111( + .clk (clk), + .ce (ce), + .enable (me[111]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[25]) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 165 // @@ -1224,33 +1348,37 @@ Mapper111 map111( // Notes : Possibly merge-able with MMC3, only used for one bootleg game // // Games : Fire Emblem (unl) // //*****************************************************************************// -Mapper165 map165( - .clk (clk), - .ce (ce), - .enable (me[165]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .chr_ain_o (chr_ain_orig), - .m2_inv (cpu_ce), - .paused (paused) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper165 map165( + .clk (clk), + .ce (ce), + .enable (me[165]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .chr_ain_o (chr_ain_orig), + .m2_inv (cpu_ce), + .paused (paused) + ); + end +endgenerate //*****************************************************************************// // Name : Magic Floor // @@ -1259,31 +1387,35 @@ Mapper165 map165( // Notes : Appears unused in modern packs? // // Games : Magic Floor // //*****************************************************************************// -Mapper218 map218( - .clk (clk), - .ce (ce), - .enable (me[218]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) - // savestates - // savestates support - but no state in mapper needs saving -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper218 map218( + .clk (clk), + .ce (ce), + .enable (me[218]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + // savestates + // savestates support - but no state in mapper needs saving + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 227 // @@ -1292,29 +1424,33 @@ Mapper218 map218( // Notes : // // Games : 1200-in-1, 600-in-1, Bio Hazard // //*****************************************************************************// -Mapper227 map227( - .clk (clk), - .ce (ce), - .enable (me[227]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper227 map227( + .clk (clk), + .ce (ce), + .enable (me[227]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Active Enterprises // @@ -1323,29 +1459,33 @@ Mapper227 map227( // Notes : // // Games : Cheetamen // //*****************************************************************************// -Mapper228 map228( - .clk (clk), - .ce (ce), - .enable (me[228]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper228 map228( + .clk (clk), + .ce (ce), + .enable (me[228]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Maxi 15 // @@ -1356,29 +1496,33 @@ Mapper228 map228( // the system itself is not behaving correctly. // // Games : Maxi-15 Pack (unl) // //*****************************************************************************// -Mapper234 map234( - .clk (clk), - .ce (ce), - .enable (me[234]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_from_ram), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper234 map234( + .clk (clk), + .ce (ce), + .enable (me[234]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_from_ram), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 246 // @@ -1387,29 +1531,33 @@ Mapper234 map234( // Notes : // // Games : Feng Shen Bang // //*****************************************************************************// +generate + if (USE_MMAPPER_SET1 == 1'b1) begin Mapper246 map246( - .clk (clk), - .ce (ce), - .enable (me[246]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); + .clk (clk), + .ce (ce), + .enable (me[246]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : RAMBO1 (Tengen MMC3) // @@ -1418,38 +1566,42 @@ Mapper246 map246( // Notes : Consider merging with MMC3 // // Games : Rolling Thunder, Klax, Skull and Crossbones, Alien Syndrome (158) // //*****************************************************************************// -Rambo1 rambo1( - .clk (clk), - .ce (ce), - .enable (me[64] | me[158]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .chr_ain_o (chr_ain_orig), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[24]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Rambo1 rambo1( + .clk (clk), + .ce (ce), + .enable (me[64] | me[158]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .chr_ain_o (chr_ain_orig), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[24]) + ); + end +endgenerate //*****************************************************************************// // Name : NesEvent // @@ -1458,30 +1610,33 @@ Rambo1 rambo1( // Notes : This wraps the MMC1 mapper, consider merging more elegantly // // Games : Nintendo World Championships 1990 (start hack) // //*****************************************************************************// -NesEvent nesev( - .clk (clk), - .ce (ce), - .enable (me[105]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); - +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + NesEvent nesev( + .clk (clk), + .ce (ce), + .enable (me[105]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Konami VRC-1 // @@ -1490,36 +1645,40 @@ NesEvent nesev( // Notes : // // Games : King Kong 2, Exciting Boxing, Tetsuwan Atom // //*****************************************************************************// -VRC1 vrc1( - .clk (clk), - .ce (ce), - .enable (me[75]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[18]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + VRC1 vrc1( + .clk (clk), + .ce (ce), + .enable (me[75]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[18]) + ); + end +endgenerate //*****************************************************************************// // Name : Konami VRC-3 // @@ -1528,36 +1687,40 @@ VRC1 vrc1( // Notes : // // Games : Salamander (j) // //*****************************************************************************// -VRC3 vrc3( - .clk (clk), - .ce (ce), - .enable (me[73]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[19]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + VRC3 vrc3( + .clk (clk), + .ce (ce), + .enable (me[73]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[19]) + ); + end +endgenerate //*****************************************************************************// // Name : Konami VRC2/4 // @@ -1566,36 +1729,40 @@ VRC3 vrc3( // Notes : // // Games : Wai Wai World 2, Twinbee 3, Contra (j), Gradius II (j) // //*****************************************************************************// -VRC24 vrc24( - .clk (clk), - .ce (ce), - .enable (me[21] | me[22] | me[23] | me[25] | me[27]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[9]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + VRC24 vrc24( + .clk (clk), + .ce (ce), + .enable (me[21] | me[22] | me[23] | me[25] | me[27]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[9]) + ); + end +endgenerate //*****************************************************************************// // Name : Konami VRC5 // @@ -1604,48 +1771,52 @@ VRC24 vrc24( // Notes : // // Games : Konami Q-Ta (Space School and Space College (J)) // //*****************************************************************************// -VRC5 vrc5( - .clk (clk), - .ce (ce), - .enable (me[547]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .chr_din (chr_din), - .chr_write (chr_write), - .chr_dout_b (chr_dout_b), - .paused (paused), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[36]), - - .Savestate_MAPRAMactive (Savestate_MAPRAMactive), - .Savestate_MAPRAMAddr (Savestate_MAPRAMAddr[10:0]), - .Savestate_MAPRAMRdEn (Savestate_MAPRAMRdEn), - .Savestate_MAPRAMWrEn (Savestate_MAPRAMWrEn), - .Savestate_MAPRAMWriteData(Savestate_MAPRAMWriteData), - .Savestate_MAPRAMReadData (SaveStateRAM_wired_or[2]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + VRC5 vrc5( + .clk (clk), + .ce (ce), + .enable (me[547]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .chr_din (chr_din), + .chr_write (chr_write), + .chr_dout_b (chr_dout_b), + .paused (paused), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[36]), + + .Savestate_MAPRAMactive (Savestate_MAPRAMactive), + .Savestate_MAPRAMAddr (Savestate_MAPRAMAddr[10:0]), + .Savestate_MAPRAMRdEn (Savestate_MAPRAMRdEn), + .Savestate_MAPRAMWrEn (Savestate_MAPRAMWrEn), + .Savestate_MAPRAMWriteData(Savestate_MAPRAMWriteData), + .Savestate_MAPRAMReadData (SaveStateRAM_wired_or[2]) + ); + end +endgenerate //*****************************************************************************// // Name : Konami VRC-6 // @@ -1654,36 +1825,40 @@ VRC5 vrc5( // Notes : External audio needs to be mixed correctly. // // Games : Akamajou Densetsu, Esper Dream 2, Mouryou Senki Madara // //*****************************************************************************// -VRC6 vrc6( - .clk (clk), - .ce (ce), - .enable (me[24] | me[26]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (vrc6_audio), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[10]) -); +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + VRC6 vrc6( + .clk (clk), + .ce (ce), + .enable (me[24] | me[26]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (vrc6_audio), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[10]) + ); + end +endgenerate //*****************************************************************************// // Name : Konami VRC-7 // @@ -1692,100 +1867,112 @@ VRC6 vrc6( // Notes : Audio mixing needs evaluation // // Games : Lagrange Point, Tiny Toon Aventures 2 (j) // //*****************************************************************************// -VRC7 vrc7( - .clk (clk), - .ce (ce), - .enable (me[85]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (vrc7_audio), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + VRC7 vrc7( + .clk (clk), + .ce (ce), + .enable (me[85]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (vrc7_audio), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Namco 163 // // Mappers: 19, 210 // // Status : Needs Evaluation // // Notes : This mapper requires submappers for correct operation // -// Games : Digital Devil Story, Battle Fleet, Famista // -//*****************************************************************************// -N163 n163( - .clk (clk), - .ce (ce), - .enable (me[210] | me[19]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (n163_audio), - .audio_b (audio_out_b), - // Special ports - .audio_dout (n163_data), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[14]) -); - -//*****************************************************************************// -// Name : Waixing 162 // -// Mappers: 162 // -// Status : Working // -// Notes : // -// Games : Zelda - San Shen Zhi Li // -//*****************************************************************************// -Mapper162 map162( - .clk (clk), - .ce (ce), - .enable (me[162]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +// Games : Digital Devil Story, Battle Fleet, Famista // +//*****************************************************************************// +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + N163 n163( + .clk (clk), + .ce (ce), + .enable (me[210] | me[19]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (n163_audio), + .audio_b (audio_out_b), + // Special ports + .audio_dout (n163_data), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[14]) + ); + end +endgenerate + +//*****************************************************************************// +// Name : Waixing 162 // +// Mappers: 162 // +// Status : Working // +// Notes : // +// Games : Zelda - San Shen Zhi Li // +//*****************************************************************************// +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper162 map162( + .clk (clk), + .ce (ce), + .enable (me[162]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Nanjing 163 // @@ -1794,32 +1981,35 @@ Mapper162 map162( // Notes : // // Games : Final Fantasy VII (163), Pokemon Yellow (163) // //*****************************************************************************// -Nanjing map163( - .clk (clk), - .ce (ce), - .enable (me[163]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special Ports - .paused (paused) -); - +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Nanjing map163( + .clk (clk), + .ce (ce), + .enable (me[163]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special Ports + .paused (paused) + ); + end +endgenerate //*****************************************************************************// // Name : Waixing 164 // @@ -1828,29 +2018,33 @@ Nanjing map163( // Notes : // // Games : Final Fantasy V // //*****************************************************************************// -Mapper164 map164( - .clk (clk), - .ce (ce), - .enable (me[164]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper164 map164( + .clk (clk), + .ce (ce), + .enable (me[164]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Sachen 8259 // @@ -1860,36 +2054,40 @@ Mapper164 map164( // Games : The Great Wall (137), Silver Eagle (138), Hell Fighter (139), // // Super Cart 6 - 6 in 1(141), Strategist (150), Poker III (243) // //*****************************************************************************// -Sachen8259 sachen( - .clk (clk), - .ce (ce), - .enable (me[137] | me[138] | me[139] | me[141] | me[150] | me[243]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[22]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Sachen8259 sachen( + .clk (clk), + .ce (ce), + .enable (me[137] | me[138] | me[139] | me[141] | me[150] | me[243]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[22]) + ); + end +endgenerate //*****************************************************************************// // Name : Sachen JV001 // @@ -1899,36 +2097,40 @@ Sachen8259 sachen( // Games : Wei Lai Xiao Zi (136), Chinese Kungfu (147), Creatom (132), // // F-15 City War (173), Mahjong Block (172), Strike Wolf (36) // //*****************************************************************************// -SachenJV001 sachenj( - .clk (clk), - .ce (ce), - .enable (me[136] | me[147] | me[132] | me[173] | me[172] | me[36]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[23]) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + SachenJV001 sachenj( + .clk (clk), + .ce (ce), + .enable (me[136] | me[147] | me[132] | me[173] | me[172] | me[36]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[23]) + ); + end +endgenerate //*****************************************************************************// // Name : Sachen NROM // @@ -1937,31 +2139,35 @@ SachenJV001 sachenj( // Notes : // // Games : Dancing Blocks, Magical Mathematics // //*****************************************************************************// -SachenNROM sachenn( - .clk (clk), - .ce (ce), - .enable (me[143]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) - // savestates - // savestates support - but no state in mapper needs saving -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + SachenNROM sachenn( + .clk (clk), + .ce (ce), + .enable (me[143]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + // savestates + // savestates support - but no state in mapper needs saving + ); + end +endgenerate //*****************************************************************************// // Name : JY Company // @@ -1971,39 +2177,44 @@ SachenNROM sachenn( // Games : Aladdin (90), Power Rangers 3 (209), Warioland II (35), // // Tiny Toon Adventures 6 (211) // //*****************************************************************************// -JYCompany jycompany( - .clk (clk), - .ce (ce), - .enable (me[90] | me[209] | me[211] | me[35]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .paused (paused), - .chr_ain_o (chr_ain_orig), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[35]) -); +generate + //if (USE_MMAPPER_SET1 == 1'b1) begin + if (USE_MMAPPER_SET1 == 1'b1) begin + JYCompany jycompany( + .clk (clk), + .ce (ce), + .enable (me[90] | me[209] | me[211] | me[35]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .paused (paused), + .chr_ain_o (chr_ain_orig), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[35]) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 91 // @@ -2014,30 +2225,34 @@ JYCompany jycompany( // Mario & Sonic 2, Mario Rider, // // 1995 Super HIK 4-in-1 (JY-016), 1995 Super HiK 4-in-1 (JY-017) // //*****************************************************************************// -Mapper91 map91( - .clk (clk), - .ce (ce), - .enable (me[91]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - .chr_ain_o (chr_ain_orig) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper91 map91( + .clk (clk), + .ce (ce), + .enable (me[91]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + .chr_ain_o (chr_ain_orig) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 225 // @@ -2046,31 +2261,33 @@ Mapper91 map91( // Notes : Defining 225 as with 74'670 (4-nybble RAM) and 255 as without // // Games : 64-in-1 (225), 110-in-1 (255 - with glitched menu selection) // //*****************************************************************************// -Mapper225 map225( - .clk (clk), - .ce (ce), - .enable (me[225] | me[255]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b) -); - - +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper225 map225( + .clk (clk), + .ce (ce), + .enable (me[225] | me[255]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 413 // @@ -2079,34 +2296,38 @@ Mapper225 map225( // Notes : // // Games : Super Russian Roulette // //*****************************************************************************// -Mapper413 map413 ( - .clk (clk), - .ce (ce), - .enable (me[413]), - .flags (flags), - .prg_ain (prg_ain), - .prg_aout_b (prg_addr_b), - .prg_read (prg_read), - .prg_write (prg_write), - .prg_din (prg_din), - .prg_dout_b (prg_dout_b), - .prg_allow_b(prg_allow_b), - .chr_ain (chr_ain), - .chr_aout_b (chr_addr_b), - .chr_read (chr_read), - .chr_allow_b(chr_allow_b), - .vram_a10_b (vram_a10_b), - .vram_ce_b (vram_ce_b), - .irq_b (irq_b), - .flags_out_b(flags_out_b), - .audio_in (audio_in), - .audio_b (audio_out_b), - // Special ports - .chr_ain_o (chr_ain_orig), - .prg_aoute (prg_aoute_m413), - .m2_inv (cpu_ce), - .paused (paused) -); +generate + if (USE_MMAPPER_SET1 == 1'b1) begin + Mapper413 map413 ( + .clk (clk), + .ce (ce), + .enable (me[413]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (audio_in), + .audio_b (audio_out_b), + // Special ports + .chr_ain_o (chr_ain_orig), + .prg_aoute (prg_aoute_m413), + .m2_inv (cpu_ce), + .paused (paused) + ); + end +endgenerate //*****************************************************************************// // Name : FDS // @@ -2116,37 +2337,41 @@ Mapper413 map413 ( // Games : Bio Miracle for audio, Various unlicensed games for compatibility. // //*****************************************************************************// tri0 [1:0] fds_diskside; -// MapperFDS mapfds( -// .clk (clk), -// .ce (ce), -// .enable (me[20]), -// .flags (flags), -// .prg_ain (prg_ain), -// .prg_aout_b (prg_addr_b), -// .prg_read (prg_read), -// .prg_write (prg_write), -// .prg_din (prg_din), -// .prg_dout_b (prg_dout_b), -// .prg_allow_b(prg_allow_b), -// .chr_ain (chr_ain), -// .chr_aout_b (chr_addr_b), -// .chr_read (chr_read), -// .chr_allow_b(chr_allow_b), -// .vram_a10_b (vram_a10_b), -// .vram_ce_b (vram_ce_b), -// .irq_b (irq_b), -// .flags_out_b(flags_out_b), -// .audio_in (fds_audio), -// .audio_b (audio_out_b), -// // Special ports -// .prg_dbus (prg_from_ram), -// .audio_dout (fds_data), -// .diskside_b (fds_diskside), -// .max_diskside (max_diskside), -// .fds_busy (fds_busy), -// .fds_eject_btn (fds_eject), -// .fds_auto_eject_en (fds_auto_eject) -// ); +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + MapperFDS mapfds( + .clk (clk), + .ce (ce), + .enable (me[20]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (fds_audio), + .audio_b (audio_out_b), + // Special ports + .prg_dbus (prg_from_ram), + .audio_dout (fds_data), + .diskside_b (fds_diskside), + .max_diskside (max_diskside), + .fds_busy (fds_busy), + .fds_eject_btn (fds_eject), + .fds_auto_eject_en (fds_auto_eject) + ); + end +endgenerate //*****************************************************************************// // Name : Mapper 31 // @@ -2156,155 +2381,187 @@ tri0 [1:0] fds_diskside; // Games : Famicompo Pico 2014, NSF 1.0 // //*****************************************************************************// wire [5:0] exp_audioe; -// NSF nsfplayer( -// .clk (clk), -// .ce (ce), -// .enable (me[31]), -// .flags (flags), -// .prg_ain (prg_ain), -// .prg_aout_b (prg_addr_b), -// .prg_read (prg_read), -// .prg_write (prg_write), -// .prg_din (prg_din), -// .prg_dout_b (prg_dout_b), -// .prg_allow_b(prg_allow_b), -// .chr_ain (chr_ain), -// .chr_aout_b (chr_addr_b), -// .chr_read (chr_read), -// .chr_dout_b (chr_dout_b), // Special port -// .chr_allow_b(chr_allow_b), -// .vram_a10_b (vram_a10_b), -// .vram_ce_b (vram_ce_b), -// .irq_b (irq_b), -// .flags_out_b(flags_out_b), -// .audio_in (exp_audioe[5] ? ss5b_audio : -// exp_audioe[4] ? n163_audio : -// exp_audioe[3] ? mmc5_audio : -// exp_audioe[2] ? fds_audio : -// exp_audioe[1] ? vrc7_audio : -// exp_audioe[0] ? vrc6_audio : -// audio_in), -// .exp_audioe (exp_audioe), // Expansion Enabled (0x0=None, 0x1=VRC6, 0x2=VRC7, 0x4=FDS, 0x8=MMC5, 0x10=N163, 0x20=SS5B -// .audio_b (audio_out_b), -// .fds_din (fds_data) -// ); + +//was commented by agg23 +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + NSF nsfplayer( + .clk (clk), + .ce (ce), + .enable (me[31]), + .flags (flags), + .prg_ain (prg_ain), + .prg_aout_b (prg_addr_b), + .prg_read (prg_read), + .prg_write (prg_write), + .prg_din (prg_din), + .prg_dout_b (prg_dout_b), + .prg_allow_b(prg_allow_b), + .chr_ain (chr_ain), + .chr_aout_b (chr_addr_b), + .chr_read (chr_read), + .chr_dout_b (chr_dout_b), // Special port + .chr_allow_b(chr_allow_b), + .vram_a10_b (vram_a10_b), + .vram_ce_b (vram_ce_b), + .irq_b (irq_b), + .flags_out_b(flags_out_b), + .audio_in (exp_audioe[5] ? ss5b_audio : + exp_audioe[4] ? n163_audio : + exp_audioe[3] ? mmc5_audio : + exp_audioe[2] ? fds_audio : + exp_audioe[1] ? vrc7_audio : + exp_audioe[0] ? vrc6_audio : + audio_in), + .exp_audioe (exp_audioe), // Expansion Enabled (0x0=None, 0x1=VRC6, 0x2=VRC7, 0x4=FDS, 0x8=MMC5, 0x10=N163, 0x20=SS5B + .audio_b (audio_out_b), + .fds_din (fds_data) + ); + end +endgenerate wire [15:0] ss5b_audio; -SS5b_mixed snd_5bm ( - .clk(clk), - .ce(ce), - .enable(me[69] | (me[31] && exp_audioe[5])), - .wren(prg_write), - .addr_in(prg_ain), - .data_in(prg_din), - .audio_in(audio_in), - .audio_out(ss5b_audio), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[13]) -); +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + SS5b_mixed snd_5bm ( + .clk(clk), + .ce(ce), + .enable(me[69] | (me[31] && exp_audioe[5])), + .wren(prg_write), + .addr_in(prg_ain), + .data_in(prg_din), + .audio_in(audio_in), + .audio_out(ss5b_audio), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[13]) + ); + end +endgenerate wire [15:0] n163_audio; wire [7:0] n163_data; -namco163_mixed snd_n163 ( - .clk(clk), - .ce(ce), - .submapper(flags[24:21]), - .enable(me[19] | (me[31] && exp_audioe[4])), - .wren(prg_write), - .addr_in(prg_ain), - .data_in(prg_din), - .data_out(n163_data), - .audio_in(audio_in), - .audio_out(n163_audio), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[15]), - - .Savestate_MAPRAMactive (Savestate_MAPRAMactive), - .Savestate_MAPRAMAddr (Savestate_MAPRAMAddr[6:0]), - .Savestate_MAPRAMRdEn (Savestate_MAPRAMRdEn), - .Savestate_MAPRAMWrEn (Savestate_MAPRAMWrEn), - .Savestate_MAPRAMWriteData(Savestate_MAPRAMWriteData), - .Savestate_MAPRAMReadData (SaveStateRAM_wired_or[0]) -); +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + namco163_mixed snd_n163 ( + .clk(clk), + .ce(ce), + .submapper(flags[24:21]), + .enable(me[19] | (me[31] && exp_audioe[4])), + .wren(prg_write), + .addr_in(prg_ain), + .data_in(prg_din), + .data_out(n163_data), + .audio_in(audio_in), + .audio_out(n163_audio), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[15]), + + .Savestate_MAPRAMactive (Savestate_MAPRAMactive), + .Savestate_MAPRAMAddr (Savestate_MAPRAMAddr[6:0]), + .Savestate_MAPRAMRdEn (Savestate_MAPRAMRdEn), + .Savestate_MAPRAMWrEn (Savestate_MAPRAMWrEn), + .Savestate_MAPRAMWriteData(Savestate_MAPRAMWriteData), + .Savestate_MAPRAMReadData (SaveStateRAM_wired_or[0]) + ); + end +endgenerate wire [15:0] mmc5_audio; wire [7:0] mmc5_data; -mmc5_mixed snd_mmc5 ( - .clk(clk), - .ce(ce), - .enable(me[5] | (me[31] && exp_audioe[3])), - .wren(prg_write), - .rden(prg_read), - .addr_in(prg_ain), - .data_in(prg_din), - .data_out(mmc5_data), - .audio_in(audio_in), - .audio_out(mmc5_audio), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[17]) -); + +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + mmc5_mixed snd_mmc5 ( + .clk(clk), + .ce(ce), + .enable(me[5] | (me[31] && exp_audioe[3])), + .wren(prg_write), + .rden(prg_read), + .addr_in(prg_ain), + .data_in(prg_din), + .data_out(mmc5_data), + .audio_in(audio_in), + .audio_out(mmc5_audio), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[17]) + ); + end +endgenerate wire [15:0] fds_audio; wire [7:0] fds_data; -// fds_mixed snd_fds ( -// .clk(clk), -// .ce(ce), -// .enable(me[20] | (me[31] && exp_audioe[2])), -// .wren(prg_write), -// .addr_in(prg_ain), -// .data_in(prg_din), -// .data_out(fds_data), -// .audio_in(audio_in), -// .audio_out(fds_audio) -// ); +//was commented by agg23 +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + fds_mixed snd_fds ( + .clk(clk), + .ce(ce), + .enable(me[20] | (me[31] && exp_audioe[2])), + .wren(prg_write), + .addr_in(prg_ain), + .data_in(prg_din), + .data_out(fds_data), + .audio_in(audio_in), + .audio_out(fds_audio) + ); + end +endgenerate wire [15:0] vrc7_audio; -// vrc7_mixed snd_vrc7 ( -// .clk(clk), -// .ce(ce), -// .enable(me[85] | (me[31] && exp_audioe[1])), -// .wren(prg_write), -// .addr_in(prg_ain), -// .data_in(prg_din), -// .audio_in(audio_in), -// .audio_out(vrc7_audio) -// ); - +//was commented by agg23 +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + vrc7_mixed snd_vrc7 ( + .clk(clk), + .ce(ce), + .enable(me[85] | (me[31] && exp_audioe[1])), + .wren(prg_write), + .addr_in(prg_ain), + .data_in(prg_din), + .audio_in(audio_in), + .audio_out(vrc7_audio) + ); + end +endgenerate wire [15:0] vrc6_audio; -vrc6_mixed snd_vrc6 ( - .clk(clk), - .ce(ce), - .enable(me[24] | me[26] | (me[31] && exp_audioe[0])), - .wren(prg_write), - .addr_invert(me[26]), - .addr_in(prg_ain), - .data_in(prg_din), - .audio_in(audio_in), - .audio_out(vrc6_audio), - // savestates - .SaveStateBus_Din (SaveStateBus_Din ), - .SaveStateBus_Adr (SaveStateBus_Adr ), - .SaveStateBus_wren (SaveStateBus_wren), - .SaveStateBus_rst (SaveStateBus_rst ), - .SaveStateBus_load (SaveStateBus_load ), - .SaveStateBus_Dout (SaveStateBus_wired_or[11]) -); +generate + if (USE_MMAPPER_SET2 == 1'b1) begin + vrc6_mixed snd_vrc6 ( + .clk(clk), + .ce(ce), + .enable(me[24] | me[26] | (me[31] && exp_audioe[0])), + .wren(prg_write), + .addr_invert(me[26]), + .addr_in(prg_ain), + .data_in(prg_din), + .audio_in(audio_in), + .audio_out(vrc6_audio), + // savestates + .SaveStateBus_Din (SaveStateBus_Din ), + .SaveStateBus_Adr (SaveStateBus_Adr ), + .SaveStateBus_wren (SaveStateBus_wren), + .SaveStateBus_rst (SaveStateBus_rst ), + .SaveStateBus_load (SaveStateBus_load ), + .SaveStateBus_Dout (SaveStateBus_wired_or[11]) + ); + end +endgenerate wire [1023:0] me; @@ -2347,16 +2604,16 @@ localparam SAVESTATE_MODULES = 37; wire [63:0] SaveStateBus_wired_or[0:SAVESTATE_MODULES-1]; assign SaveStateBus_Dout = SaveStateBus_wired_or[ 0] | SaveStateBus_wired_or[ 1] | SaveStateBus_wired_or[ 2] | SaveStateBus_wired_or[ 3] | SaveStateBus_wired_or[ 4] | - SaveStateBus_wired_or[ 5] | SaveStateBus_wired_or[ 6] | SaveStateBus_wired_or[ 7] | SaveStateBus_wired_or[ 8] | SaveStateBus_wired_or[ 9] | - SaveStateBus_wired_or[10] | SaveStateBus_wired_or[11] | SaveStateBus_wired_or[12] | SaveStateBus_wired_or[13] | SaveStateBus_wired_or[14] | - SaveStateBus_wired_or[15] | SaveStateBus_wired_or[16] | SaveStateBus_wired_or[17] | SaveStateBus_wired_or[18] | SaveStateBus_wired_or[19] | - SaveStateBus_wired_or[20] | SaveStateBus_wired_or[21] | SaveStateBus_wired_or[22] | SaveStateBus_wired_or[23] | SaveStateBus_wired_or[24] | - SaveStateBus_wired_or[25] | SaveStateBus_wired_or[26] | SaveStateBus_wired_or[27] | SaveStateBus_wired_or[28] | SaveStateBus_wired_or[29] | - SaveStateBus_wired_or[30] | SaveStateBus_wired_or[31] | SaveStateBus_wired_or[32] | SaveStateBus_wired_or[33] | SaveStateBus_wired_or[34] | - SaveStateBus_wired_or[35] | SaveStateBus_wired_or[36]; + SaveStateBus_wired_or[ 5] | SaveStateBus_wired_or[ 6] | SaveStateBus_wired_or[ 7] | SaveStateBus_wired_or[ 8] | SaveStateBus_wired_or[ 9] | + SaveStateBus_wired_or[10] | SaveStateBus_wired_or[11] | SaveStateBus_wired_or[12] | SaveStateBus_wired_or[13] | SaveStateBus_wired_or[14] | + SaveStateBus_wired_or[15] | SaveStateBus_wired_or[16] | SaveStateBus_wired_or[17] | SaveStateBus_wired_or[18] | SaveStateBus_wired_or[19] | + SaveStateBus_wired_or[20] | SaveStateBus_wired_or[21] | SaveStateBus_wired_or[22] | SaveStateBus_wired_or[23] | SaveStateBus_wired_or[24] | + SaveStateBus_wired_or[25] | SaveStateBus_wired_or[26] | SaveStateBus_wired_or[27] | SaveStateBus_wired_or[28] | SaveStateBus_wired_or[29] | + SaveStateBus_wired_or[30] | SaveStateBus_wired_or[31] | SaveStateBus_wired_or[32] | SaveStateBus_wired_or[33] | SaveStateBus_wired_or[34] | + SaveStateBus_wired_or[35] | SaveStateBus_wired_or[36]; localparam SAVESTATERAM_MODULES = 3; -wire [7:0] SaveStateRAM_wired_or[0:SAVESTATE_MODULES-1]; +wire [7:0] SaveStateRAM_wired_or[0:SAVESTATERAM_MODULES-1]; assign Savestate_MAPRAMReadData = SaveStateRAM_wired_or[0] | SaveStateRAM_wired_or[1] | SaveStateRAM_wired_or[2]; endmodule diff --git a/rtl/mappers/FDS.sv b/rtl/mappers/FDS.sv index aeced3a..ecefd8c 100644 --- a/rtl/mappers/FDS.sv +++ b/rtl/mappers/FDS.sv @@ -1,7 +1,7 @@ //Famicom Disk System module MapperFDS( - input clk, // System clock + input clk, // System clock input ce, // M2 ~cpu_clk input enable, // Mapper enabled input [31:0] flags, // Cart flags @@ -514,7 +514,7 @@ end wire [15:0] audio_exp_f; -IIR_filter #( +iir_filter #( .coeff_x (2.15/1.140404269e+03), .coeff_x0 (1), .coeff_x1 (0), @@ -604,43 +604,46 @@ wire [11:0] mul_out = wave_latch * (vol_pwm_lat[5] ? 6'd32 : vol_pwm_lat); wire [15:0] level_out; assign audio_out = level_out[11:0]; +wire [7:0] low_addr_in = (addr_in[15:8] == 8'h40) ? addr_in[7:0] : 8'h0; + always_comb begin case (master_vol) - 2'b00: level_out = mul_out; - 2'b01: level_out = {mul_out, 1'b0} / 16'd3; - 2'b10: level_out = mul_out[11:1]; - 2'b11: level_out = {mul_out, 1'b0} / 16'd5; + 2'b00: level_out = mul_out; + 2'b01: level_out = {mul_out, 1'b0} / 16'd3; + 2'b10: level_out = mul_out[11:1]; + 2'b11: level_out = {mul_out, 1'b0} / 16'd5; default: level_out = mul_out; endcase - if (addr_in >= 'h4040 && addr_in < 'h4080) begin + if (low_addr_in >= 'h40 && low_addr_in < 'h80) begin if (wave_wren) data_out = wave_table[addr_in[5:0]]; else data_out = wave_table[wave_accum[23:18]]; end else begin - case (addr_in) - 'h4090: data_out = {2'b01, vol_gain}; - 'h4091: data_out = wave_accum[19:12]; - 'h4092: data_out = {2'b01, sweep_gain}; - 'h4093: data_out = {1'b0, mod_accum[11:5]}; - 'h4094: data_out = wave_pitch[11:4]; - 'h4095: data_out = {cycles, mod_incr[3:0]}; - 'h4096: data_out = {2'b01, wave_table[wave_accum[23:18]]}; - 'h4097: data_out = {1'b0, mod_bias}; + case (low_addr_in) + 'h90: data_out = {2'b01, vol_gain}; + 'h91: data_out = wave_accum[19:12]; + 'h92: data_out = {2'b01, sweep_gain}; + 'h93: data_out = {1'b0, mod_accum[11:5]}; + 'h94: data_out = wave_pitch[11:4]; + 'h95: data_out = {cycles, mod_incr[3:0]}; + 'h96: data_out = {2'b01, wave_table[wave_accum[23:18]]}; + 'h97: data_out = {1'b0, mod_bias}; default: data_out = 8'b0100_0000; endcase end + case (mod_table[mod_accum[17:13]]) - 3'h0: mod_incr = 0; - 3'h1: mod_incr = 7'sd1; - 3'h2: mod_incr = 7'sd2; - 3'h3: mod_incr = 7'sd4; - 3'h4: mod_incr = -7'sd4; - 3'h5: mod_incr = -7'sd4; - 3'h6: mod_incr = -7'sd2; - 3'h7: mod_incr = -7'sd1; + 3'h0: mod_incr = 0; + 3'h1: mod_incr = 7'sd1; + 3'h2: mod_incr = 7'sd2; + 3'h3: mod_incr = 7'sd4; + 3'h4: mod_incr = -7'sd4; + 3'h5: mod_incr = -7'sd4; + 3'h6: mod_incr = -7'sd2; + 3'h7: mod_incr = -7'sd1; default: mod_incr = 0; endcase end @@ -721,78 +724,78 @@ end else if (~old_m2 & m2) begin //**** Registers ****// if (wr) begin - if (addr_in >= 'h4040 && addr_in < 'h4080) begin + if (low_addr_in >= 'h40 && low_addr_in < 'h80) begin if (wave_wren) wave_table[addr_in[5:0]] <= data_in[5:0]; end - case (addr_in) - 16'h4080: begin - {vol_disable, vol_dir, vol_speed} <= data_in; - if (data_in[7]) vol_gain <= data_in[5:0]; - vol_ticks <= 0; - vol_env_ticks <= 0; - end + case (low_addr_in) + 8'h80: begin + {vol_disable, vol_dir, vol_speed} <= data_in; + if (data_in[7]) vol_gain <= data_in[5:0]; + vol_ticks <= 0; + vol_env_ticks <= 0; + end - 16'h4082: wave_frequency[7:0] <= data_in; + 8'h82: wave_frequency[7:0] <= data_in; - 16'h4083: begin - wave_frequency[11:8] <= data_in[3:0]; - wave_disable <= data_in[7]; - env_disable <= data_in[6]; + 8'h83: begin + wave_frequency[11:8] <= data_in[3:0]; + wave_disable <= data_in[7]; + env_disable <= data_in[6]; - if (data_in[7]) begin - wave_accum <= 0; - cycles <= 0; + if (data_in[7]) begin + wave_accum <= 0; + cycles <= 0; + end + + if (data_in[6]) begin // Reset envelopes + vol_ticks <= 0; + sweep_ticks <= 0; + vol_env_ticks <= 0; + sweep_env_ticks <= 0; + end end - if (data_in[6]) begin // Reset envelopes - vol_ticks <= 0; + 8'h84: begin + {sweep_disable, sweep_dir, sweep_speed} <= data_in; + if (data_in[7]) sweep_gain <= data_in[5:0]; sweep_ticks <= 0; - vol_env_ticks <= 0; sweep_env_ticks <= 0; end - end - 16'h4084: begin - {sweep_disable, sweep_dir, sweep_speed} <= data_in; - if (data_in[7]) sweep_gain <= data_in[5:0]; - sweep_ticks <= 0; - sweep_env_ticks <= 0; - end + 8'h85: mod_bias <= data_in[6:0]; - 16'h4085: mod_bias <= data_in[6:0]; + 8'h86: mod_frequency[7:0] <= data_in; - 16'h4086: mod_frequency[7:0] <= data_in; + 8'h87: begin + mod_frequency[11:8] <= data_in[3:0]; + mod_disable <= data_in[7]; + mod_step <= data_in[6]; - 16'h4087: begin - mod_frequency[11:8] <= data_in[3:0]; - mod_disable <= data_in[7]; - mod_step <= data_in[6]; - - if (data_in[7]) - mod_accum[12:0] <= 0; - end + if (data_in[7]) + mod_accum[12:0] <= 0; + end - 16'h4088: begin - if (mod_disable) begin - mod_table[mod_accum[17:13]] <= data_in[2:0]; - mod_accum[17:13] <= mod_accum[17:13] + 1'b1; + 8'h88: begin + if (mod_disable) begin + mod_table[mod_accum[17:13]] <= data_in[2:0]; + mod_accum[17:13] <= mod_accum[17:13] + 1'b1; + end end - end - 16'h4089: begin - wave_wren <= data_in[7]; - master_vol <= data_in[1:0]; - end + 8'h89: begin + wave_wren <= data_in[7]; + master_vol <= data_in[1:0]; + end - 16'h408A: begin - env_speed <= data_in; - vol_ticks <= 0; - sweep_ticks <= 0; - vol_env_ticks <= 0; // Undocumented, but I believe this is right. - sweep_env_ticks <= 0; - end - endcase + 8'h8A: begin + env_speed <= data_in; + vol_ticks <= 0; + sweep_ticks <= 0; + vol_env_ticks <= 0; // Undocumented, but I believe this is right. + sweep_env_ticks <= 0; + end + endcase end end // if m2 end diff --git a/rtl/mappers/MMC5.sv b/rtl/mappers/MMC5.sv index e0c9a20..89a9486 100644 --- a/rtl/mappers/MMC5.sv +++ b/rtl/mappers/MMC5.sv @@ -425,10 +425,11 @@ always @(posedge clk) begin end // The "in-frame" flag is cleared when 3 CPU cycles pass without a PPU read having occurred - if (ce) begin + //MMC5: fix chr_read detection with async ce (CV3 PAL) (#360) + if (~paused) begin if (chr_read) begin ppu_no_rd_read_cnt <= 0; - end else if (ppu_in_frame) begin + end else if (ce & ppu_in_frame) begin ppu_no_rd_read_cnt <= ppu_no_rd_read_cnt + 1'b1; if (ppu_no_rd_read_cnt == 2'd2) begin ppu_in_frame <= 0; @@ -782,4 +783,4 @@ eReg_SavestateV #(SSREG_INDEX_SNDMAP5, 64'h0000000000000000) iREG_SAVESTATE_MAP1 assign SaveStateBus_Dout = enable ? SaveStateBus_Dout_active : 64'h0000000000000000; -endmodule +endmodule \ No newline at end of file diff --git a/target/pocket/core.qip b/target/pocket/core.qip index ae74053..27f8ad0 100644 --- a/target/pocket/core.qip +++ b/target/pocket/core.qip @@ -10,6 +10,4 @@ set_global_assignment -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path set_global_assignment -name SIGNALTAP_FILE [file join $::quartus(qip_path) "stp1.stp"] set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "mf_audio_pll.qip"] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "mf_pllbase.qip"] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "mf_pllbase_pal.qip"] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "pll_reconfig.qip"] +set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) "nes_pll.qip"] diff --git a/target/pocket/core_top.v b/target/pocket/core_top.v index 5a59253..083183d 100644 --- a/target/pocket/core_top.v +++ b/target/pocket/core_top.v @@ -5,10 +5,12 @@ // `default_nettype none + +//Using old style scandoubler code reduces resources usage (don´t implement HQ2x) `define USE_OLD_STYLE_SCANDOUBLER 1'b1 -module core_top -#(parameter reg USE_PAL_PLL = 1'b0) ( +module core_top #(parameter reg USE_PAL_PLL = 1'b1) +( // // physical connections @@ -318,50 +320,52 @@ module core_top end end + wire only_interact_addr = ~(|bridge_addr[31:12]); //true if all zero + always @(posedge clk_74a) begin if (reset_delay > 0) begin reset_delay <= reset_delay - 1; end - if (bridge_wr) begin - casex (bridge_addr) - 32'h050: begin + if (bridge_wr && only_interact_addr) begin + casex (bridge_addr[11:0]) + 12'h050: begin reset_delay <= 32'h100000; end - 32'h330: begin + 12'h330: begin region <= bridge_wr_data[1:0]; //When Chip32 loader writes the region to the Core end - 32'h32C: begin + 12'h32C: begin video_dejitter <= bridge_wr_data[0]; end - 32'h200: begin + 12'h200: begin hide_overscan <= bridge_wr_data[0]; end - 32'h204: begin + 12'h204: begin mask_vid_edges <= bridge_wr_data[1:0]; end - 32'h208: begin + 12'h208: begin allow_extra_sprites <= bridge_wr_data[0]; end - 32'h20C: begin + 12'h20C: begin selected_palette <= bridge_wr_data[2:0]; end - 32'h210: begin + 12'h210: begin square_pixels <= bridge_wr_data[0]; end - 32'h300: begin + 12'h300: begin multitap_enabled <= bridge_wr_data[0]; end - 32'h304: begin + 12'h304: begin lightgun_enabled <= bridge_wr_data[1:0]; //Modified to add support for Analogizer SNAC Zapper lightgun end - 32'h308: begin + 12'h308: begin lightgun_dpad_aim_speed <= bridge_wr_data[7:0]; end - 32'h30C: begin + 12'h30C: begin swap_controllers <= bridge_wr_data[0]; end - 32'h310: begin + 12'h310: begin turbo_speed <= bridge_wr_data[2:0]; end endcase @@ -691,79 +695,78 @@ module core_top reg [2:0] turbo_speed = 0; reg swap_controllers = 0; - wire [1:0] region_s; - wire video_dejitter_s; + // wire [1:0] region_s; + // wire video_dejitter_s; - wire hide_overscan_s; - wire [1:0] mask_vid_edges_s; - wire square_pixels_s; - wire allow_extra_sprites_s; - wire [2:0] selected_palette_s; + // wire hide_overscan_s; + // wire [1:0] mask_vid_edges_s; + // wire square_pixels_s; + // wire allow_extra_sprites_s; + // wire [2:0] selected_palette_s; wire external_reset_s; - wire multitap_enabled_s; - wire [1:0] lightgun_enabled_s; - wire [7:0] lightgun_dpad_aim_speed_s; - - wire [2:0] turbo_speed_s; - wire swap_controllers_s; - - synch_3 #( - .WIDTH(27) - ) settings_s ( - { - region, // 2 - video_dejitter, // 1 - hide_overscan, // 1 - mask_vid_edges, // 2 - square_pixels, // 1 - allow_extra_sprites, // 1 - selected_palette, // 3 - external_reset, // 1 - multitap_enabled, // 1 - lightgun_enabled, // 2 - lightgun_dpad_aim_speed, // 8 - turbo_speed, // 3 - swap_controllers // 1 - }, - { - region_s, - video_dejitter_s, - hide_overscan_s, - mask_vid_edges_s, - square_pixels_s, - allow_extra_sprites_s, - selected_palette_s, - external_reset_s, - multitap_enabled_s, - lightgun_enabled_s, - lightgun_dpad_aim_speed_s, - turbo_speed_s, - swap_controllers_s - }, - clk_ppu_21_47 - ); + // wire multitap_enabled_s; + // wire [1:0] lightgun_enabled_s; + // wire [7:0] lightgun_dpad_aim_speed_s; + + // wire [2:0] turbo_speed_s; + // wire swap_controllers_s; + + // synch_3 #( + // .WIDTH(27) + // ) settings_s ( + // { + // region, // 2 + // video_dejitter, // 1 + // hide_overscan, // 1 + // mask_vid_edges, // 2 + // square_pixels, // 1 + // allow_extra_sprites, // 1 + // selected_palette, // 3 + // external_reset, // 1 + // multitap_enabled, // 1 + // lightgun_enabled, // 2 + // lightgun_dpad_aim_speed, // 8 + // turbo_speed, // 3 + // swap_controllers // 1 + // }, + // { + // region_s, + // video_dejitter_s, + // hide_overscan_s, + // mask_vid_edges_s, + // square_pixels_s, + // allow_extra_sprites_s, + // selected_palette_s, + // external_reset_s, + // multitap_enabled_s, + // lightgun_enabled_s, + // lightgun_dpad_aim_speed_s, + // turbo_speed_s, + // swap_controllers_s + // }, + // clk_ppu_21_47 + // ); + + synch_3 #(.WIDTH(1)) settings_s (external_reset, external_reset_s,clk_ppu_21_47); reg [1:0] prev_region = 0; always @(posedge clk_ppu_21_47) begin - prev_region <= region_s; + prev_region <= region; end reg [31:0] reset_delay = 0; - wire hide_overscan_with_region = hide_overscan_s && region_s == 2'b0; + wire hide_overscan_with_region = hide_overscan && region == 2'b0; /*[ANALOGIZER_HOOK_BEGIN]*/ //reg analogizer_ena; -wire [3:0] analogizer_video_type; wire [4:0] snac_game_cont_type; wire [3:0] snac_cont_assignment; -wire [2:0] SC_fx; wire pocket_blank_screen; //reg analogizer_osd_out; -wire ANALOGIZER_DE = ~(h_blank || v_blank); //create aditional switch to blank Pocket screen. wire [23:0] video_rgb_pocket; assign video_rgb_pocket = (pocket_blank_screen) ? 24'h000000: video_rgb_nes; @@ -794,12 +797,12 @@ always @(posedge clk_ppu_21_47) begin p1_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p1_btn} : {{4'h2},{12'h0},p1_btn}; p1_pocket_joy <= snac_is_analog ? p1_joy : neutral_joystick; p2_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p2_btn} : {{4'h2},{12'h0},p2_btn}; - p2_pocket_joy <= snac_is_analog ? p2_joy : neutral_joystick; - p3_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p3_btn} : {{4'h2},{12'h0},p3_btn}; + p2_pocket_joy <= snac_is_analog ? p2_joy : neutral_joystick; + p3_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p3_btn} : {{4'h2},{12'h0},p3_btn}; p4_pocket_btn <= snac_is_analog ? {{4'h3},{12'h0},p4_btn} : {{4'h2},{12'h0},p4_btn}; - case(snac_cont_assignment[1:0]) - 2'h0: begin //SNAC P1 -> Pocket P1 + case(snac_cont_assignment[2:0]) + 3'h0: begin //SNAC P1 -> Pocket P1 //0x13 PSX SNAC Analog -> 0x3 See: https://www.analogue.co/developer/docs/bus-communication#PAD //0xXX another SANC -> 0x2 p1_controls <= p1_pocket_btn; @@ -809,35 +812,35 @@ always @(posedge clk_ppu_21_47) begin p4_controls <= cont4_key_s; end - 2'h1: begin //SNAC P1 -> Pocket P2 + 3'h1: begin //SNAC P1 -> Pocket P2 p1_controls <= cont1_key_s; p1_joystick <= cont1_joy_s; p2_controls <= p1_pocket_btn; p3_controls <= cont3_key_s; p4_controls <= cont4_key_s; end - 2'h2: begin //SNAC P1 -> Pocket P1, SNAC P2 -> Pocket P2 + 3'h2: begin //SNAC P1 -> Pocket P1, SNAC P2 -> Pocket P2 p1_controls <= p1_pocket_btn; p1_joystick <= p1_pocket_joy; //check for PSX Analog SNAC or return neutral position data p2_controls <= p2_pocket_btn; p3_controls <= cont3_key_s; p4_controls <= cont4_key_s; end - 2'h3: begin //SNAC P1 -> Pocket P2, SNAC P2 -> Pocket P1 + 3'h3: begin //SNAC P1 -> Pocket P2, SNAC P2 -> Pocket P1 p1_controls <= p2_pocket_btn; p1_joystick <= p2_pocket_joy; //check for PSX Analog SNAC or return neutral position data p2_controls <= p1_pocket_btn; p3_controls <= cont3_key_s; p4_controls <= cont4_key_s; end - 4'h4: begin //SNAC P1-P2 -> Pocket P3-P4 + 3'h4: begin //SNAC P1-P2 -> Pocket P3-P4 p1_controls <= cont1_key_s; p1_joystick <= cont1_joy_s; p2_controls <= cont2_key_s; p3_controls <= p1_pocket_btn; p4_controls <= p2_pocket_btn; end - 4'h5: begin //SNAC P1-P4 -> Pocket P1-P4 + 3'h5: begin //SNAC P1-P4 -> Pocket P1-P4 p1_controls <= p1_pocket_btn; p1_joystick <= p1_pocket_joy; //check for PSX Analog SNAC or return neutral position data p2_controls <= p2_pocket_btn; @@ -855,14 +858,12 @@ always @(posedge clk_ppu_21_47) begin end end -wire clk_vid = video_rgb_clock; //video_rgb_clock; //Fixed one bit shift error on RGB channels. - wire SYNC = ~^{video_hs_nes, video_vs_nes}; //*** Analogizer Interface V1.0 *** //reg analogizer_ena; reg [3:0] analog_video_type; -reg [4:0] game_cont_type /* synthesis keep */; +reg [4:0] game_cont_type; // Video Y/C Encoder settings // Follows the Mike Simone Y/C encoder settings: @@ -871,12 +872,12 @@ reg [4:0] game_cont_type /* synthesis keep */; // wire [39:0] CHROMA_PHASE_INC; // wire PALFLAG; -parameter NTSC_REF = 3.579545; -parameter PAL_REF = 4.43361875; +// parameter NTSC_REF = 3.579545; +// parameter PAL_REF = 4.43361875; -// Parameters to be modifed -parameter CLK_VIDEO_NTSC = 42.954496; // Must be filled E.g XX.X Hz - CLK_VIDEO -parameter CLK_VIDEO_PAL = 42.562736; // Must be filled E.g XX.X Hz - CLK_VIDEO +// // Parameters to be modifed +// parameter CLK_VIDEO_NTSC = 42.954496; // Must be filled E.g XX.X Hz - CLK_VIDEO +// parameter CLK_VIDEO_PAL = 42.562736; // Must be filled E.g XX.X Hz - CLK_VIDEO //PAL CLOCK FREQUENCY SHOULD BE 42.56274 localparam [39:0] NTSC_PHASE_INC = 40'd91626062837; //d91_625_958_315; //d91_625_968_981; // ((NTSC_REF**2^40) / CLK_VIDEO_NTSC) - SNES Example; @@ -921,8 +922,8 @@ generate //Analogizer settings .snac_game_cont_type_out(snac_game_cont_type), .snac_cont_assignment_out(snac_cont_assignment), - .analogizer_video_type_out(analogizer_video_type), - .SC_fx_out(SC_fx), + .analogizer_video_type_out(), + .SC_fx_out(), .pocket_blank_screen_out(pocket_blank_screen), .analogizer_osd_out(), @@ -990,8 +991,8 @@ generate //Analogizer settings .snac_game_cont_type_out(snac_game_cont_type), .snac_cont_assignment_out(snac_cont_assignment), - .analogizer_video_type_out(analogizer_video_type), - .SC_fx_out(SC_fx), + .analogizer_video_type_out(), + .SC_fx_out(), .pocket_blank_screen_out(pocket_blank_screen), .analogizer_osd_out(), @@ -1027,7 +1028,6 @@ generate ); end endgenerate - /*[ANALOGIZER_HOOK_END]*/ nes_top nes ( @@ -1036,11 +1036,11 @@ endgenerate .clk_85_9(clk_85_9), .clock_locked(pll_core_locked), - .sys_type(region_s), + .sys_type(region), // Control // Region changed, reset - .external_reset(external_reset_s || prev_region != region_s || pll_reset), + .external_reset(external_reset_s || prev_region != region || pll_reset), // Input .p1_button_a(p1_controls[4]), @@ -1091,22 +1091,22 @@ endgenerate .p4_dpad_right(p4_controls[3]), // Settings - .hide_overscan(hide_overscan_s), //Don't Hide overscan wire hide_overscan = status[4] && ~pal_video; - .mask_vid_edges(mask_vid_edges_s), - .allow_extra_sprites(allow_extra_sprites_s), - .selected_palette(selected_palette_s), - .dejitter_timing(video_dejitter_s), + .hide_overscan(hide_overscan), //Don't Hide overscan wire hide_overscan = status[4] && ~pal_video; + .mask_vid_edges(mask_vid_edges), + .allow_extra_sprites(allow_extra_sprites), + .selected_palette(selected_palette), + .dejitter_timing(video_dejitter), - .multitap_enabled(multitap_enabled_s), - .lightgun_enabled(lightgun_enabled_s), - .lightgun_dpad_aim_speed(lightgun_dpad_aim_speed_s), + .multitap_enabled(multitap_enabled), + .lightgun_enabled(lightgun_enabled), + .lightgun_dpad_aim_speed(lightgun_dpad_aim_speed), //SNAC Zapper inputs from P2 port .SNAC_Zapper_Trigger(p2_controls[7]), //added zapper trigger to Y .SNAC_Zapper_Light(p2_controls[6]), //added zapper light to X - .turbo_speed(turbo_speed_s), - .swap_controllers(swap_controllers_s), + .turbo_speed(turbo_speed), + .swap_controllers(swap_controllers), // APF .ioctl_wr(ioctl_wr), @@ -1152,8 +1152,6 @@ endgenerate .dram_we_n(dram_we_n), // Video - .ce_pix(), - .ce_pix90(), .HBlank (h_blank), .VBlank (v_blank), .HSync (video_hs_nes), @@ -1192,7 +1190,7 @@ endgenerate reg de_prev; wire de = ~(h_blank || v_blank); - wire [23:0] video_slot_rgb = {9'b0, hide_overscan_with_region, square_pixels_s, 10'b0, 3'b0}; + wire [23:0] video_slot_rgb = {9'b0, hide_overscan_with_region, square_pixels, 10'b0, 3'b0}; always @(posedge clk_video_5_37) begin video_hs_reg <= 0; @@ -1271,36 +1269,21 @@ endgenerate wire pll_core_locked; reg pll_reset = 0; -generate - if (USE_PAL_PLL == 1'b0) begin - mf_pllbase mp1 ( - .refclk(clk_74a), - .rst (pll_reset), - // .rst(0), - - .outclk_0(clk_85_9), - .outclk_1(clk_ppu_21_47), - .outclk_2(clk_video_5_37), - .outclk_3(clk_video_5_37_90deg), - .outclk_4(clk_analogizer), //42.954496MHz - .locked(pll_core_locked) - ); - end else begin - mf_pllbase_pal mp1 ( - .refclk(clk_74a), - .rst (pll_reset), - // .rst(0), - - .outclk_0(clk_85_9), - .outclk_1(clk_ppu_21_47), - .outclk_2(clk_video_5_37), - .outclk_3(clk_video_5_37_90deg), - .outclk_4(clk_analogizer), //42.562736 - .locked(pll_core_locked) - ); - end -endgenerate - - // See https://github.com/agg23/openfpga-NES/issues/26 + // Select the correct PLL settings in nes_pll_01.v with parameter USE_PAL_PLL. + // Using two different pll is not used at the end because the fitter gives + // different results (the core don't fit using the second pll) based on the PLL + // used, PLL locations affects route resources avalaibility? + nes_pll mp1 ( + .refclk(clk_74a), + .rst (pll_reset), + // .rst(0), + + .outclk_0(clk_85_9), + .outclk_1(clk_ppu_21_47), + .outclk_2(clk_video_5_37), + .outclk_3(clk_video_5_37_90deg), + .outclk_4(clk_analogizer), //42.954496MHz + .locked(pll_core_locked) + ); endmodule diff --git a/target/pocket/mf_pllbase.ppf b/target/pocket/mf_pllbase.ppf deleted file mode 100644 index c9218c2..0000000 --- a/target/pocket/mf_pllbase.ppf +++ /dev/null @@ -1,16 +0,0 @@ - - - - - - - - - - - - diff --git a/target/pocket/mf_pllbase.qip b/target/pocket/mf_pllbase.qip deleted file mode 100644 index 7d6253c..0000000 --- a/target/pocket/mf_pllbase.qip +++ /dev/null @@ -1,337 +0,0 @@ -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_TOOL_NAME "altera_pll" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_TOOL_ENV "mwpim" -set_global_assignment -library "mf_pllbase" -name MISC_FILE [file join $::quartus(qip_path) "mf_pllbase.cmp"] -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_QSYS_MODE "UNKNOWN" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZQ==" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "mf_pllbase" -library "mf_pllbase" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZV8wMDAy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNFQkEyRjE3QTc=::ZGV2aWNl" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::RnJhY3Rpb25hbC1OIFBMTA==::UExMIE1vZGU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::dHJ1ZQ==::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NzQuMjU=::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NzQuMjUgTUh6::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::bm9ybWFs::T3BlcmF0aW9uIE1vZGU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::bm9ybWFs::b3BlcmF0aW9uX21vZGU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::NA==::TnVtYmVyIE9mIENsb2Nrcw==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::NA==::bnVtYmVyX29mX2Nsb2Nrcw==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::ODUuOTA4OTky::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::Nw==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MjEuNDc3MjQ4::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::Mjg=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::NS4zNjkzMTI=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MTEy::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::NS4zNjkzMTI=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MTEy::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::OTA=::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::OTAuMA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::ODUuOTA4OTkyIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MjEuNDc3MjQ4IE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::NS4zNjkzMTIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::NS4zNjkzMTIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::NDY1NjEgcHM=::cGhhc2Vfc2hpZnQz" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T24=::UExMIEF1dG8gUmVzZXQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTMgSGkgRGl2aWRlLEMtQ291bnRlci0zIExvdyBEaXZpZGUsQy1Db3VudGVyLTMgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0zIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTMgSW5wdXQgU291cmNlLEMtQ291bnRlci0zIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTMgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::NCw0LDI1NiwyNTYsZmFsc2UsdHJ1ZSxmYWxzZSxmYWxzZSw0LDMsMSwwLHBoX211eF9jbGssZmFsc2UsdHJ1ZSwxNCwxNCwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw1Niw1NiwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw1Niw1NiwyOSwwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsMSwzMCwyMDAwLDYwMS4zNjI5NDMgTUh6LDQyNTkwNzA2MixnY2xrLGdsYixmYl8xLHBoX211eF9jbGssdHJ1ZQ==::UGFyYW1ldGVyIFZhbHVlcw==" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" - -set_global_assignment -library "mf_pllbase" -name VERILOG_FILE [file join $::quartus(qip_path) "mf_pllbase.v"] -set_global_assignment -library "mf_pllbase" -name VERILOG_FILE [file join $::quartus(qip_path) "mf_pllbase/mf_pllbase_0002.v"] -set_global_assignment -library "mf_pllbase" -name QIP_FILE [file join $::quartus(qip_path) "mf_pllbase/mf_pllbase_0002.qip"] - -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_TOOL_NAME "altera_pll" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "mf_pllbase_0002" -library "mf_pllbase" -name IP_TOOL_ENV "mwpim" diff --git a/target/pocket/mf_pllbase/mf_pllbase_0002.qip b/target/pocket/mf_pllbase/mf_pllbase_0002.qip deleted file mode 100644 index fa37e63..0000000 --- a/target/pocket/mf_pllbase/mf_pllbase_0002.qip +++ /dev/null @@ -1,4 +0,0 @@ -set_instance_assignment -name PLL_COMPENSATION_MODE NORMAL -to "*mf_pllbase_0002*|altera_pll:altera_pll_i*|*" -set_instance_assignment -name PLL_CHANNEL_SPACING "0.0 KHz" -to "*mf_pllbase_0002*|altera_pll:altera_pll_i*|*" -set_instance_assignment -name PLL_AUTO_RESET ON -to "*mf_pllbase_0002*|altera_pll:altera_pll_i*|*" -set_instance_assignment -name PLL_BANDWIDTH_PRESET AUTO -to "*mf_pllbase_0002*|altera_pll:altera_pll_i*|*" diff --git a/target/pocket/mf_pllbase/mf_pllbase_0002.v b/target/pocket/mf_pllbase/mf_pllbase_0002.v deleted file mode 100644 index 13a1686..0000000 --- a/target/pocket/mf_pllbase/mf_pllbase_0002.v +++ /dev/null @@ -1,96 +0,0 @@ -`timescale 1ns/10ps -module mf_pllbase_0002( - - // interface 'refclk' - input wire refclk, - - // interface 'reset' - input wire rst, - - // interface 'outclk0' - output wire outclk_0, - - // interface 'outclk1' - output wire outclk_1, - - // interface 'outclk2' - output wire outclk_2, - - // interface 'outclk3' - output wire outclk_3, - - // interface 'locked' - output wire locked -); - - altera_pll #( - .fractional_vco_multiplier("true"), - .reference_clock_frequency("74.25 MHz"), - .operation_mode("normal"), - .number_of_clocks(4), - .output_clock_frequency0("85.908992 MHz"), - .phase_shift0("0 ps"), - .duty_cycle0(50), - .output_clock_frequency1("21.477248 MHz"), - .phase_shift1("0 ps"), - .duty_cycle1(50), - .output_clock_frequency2("5.369312 MHz"), - .phase_shift2("0 ps"), - .duty_cycle2(50), - .output_clock_frequency3("5.369312 MHz"), - .phase_shift3("46561 ps"), - .duty_cycle3(50), - .output_clock_frequency4("0 MHz"), - .phase_shift4("0 ps"), - .duty_cycle4(50), - .output_clock_frequency5("0 MHz"), - .phase_shift5("0 ps"), - .duty_cycle5(50), - .output_clock_frequency6("0 MHz"), - .phase_shift6("0 ps"), - .duty_cycle6(50), - .output_clock_frequency7("0 MHz"), - .phase_shift7("0 ps"), - .duty_cycle7(50), - .output_clock_frequency8("0 MHz"), - .phase_shift8("0 ps"), - .duty_cycle8(50), - .output_clock_frequency9("0 MHz"), - .phase_shift9("0 ps"), - .duty_cycle9(50), - .output_clock_frequency10("0 MHz"), - .phase_shift10("0 ps"), - .duty_cycle10(50), - .output_clock_frequency11("0 MHz"), - .phase_shift11("0 ps"), - .duty_cycle11(50), - .output_clock_frequency12("0 MHz"), - .phase_shift12("0 ps"), - .duty_cycle12(50), - .output_clock_frequency13("0 MHz"), - .phase_shift13("0 ps"), - .duty_cycle13(50), - .output_clock_frequency14("0 MHz"), - .phase_shift14("0 ps"), - .duty_cycle14(50), - .output_clock_frequency15("0 MHz"), - .phase_shift15("0 ps"), - .duty_cycle15(50), - .output_clock_frequency16("0 MHz"), - .phase_shift16("0 ps"), - .duty_cycle16(50), - .output_clock_frequency17("0 MHz"), - .phase_shift17("0 ps"), - .duty_cycle17(50), - .pll_type("General"), - .pll_subtype("General") - ) altera_pll_i ( - .rst (rst), - .outclk ({outclk_3, outclk_2, outclk_1, outclk_0}), - .locked (locked), - .fboutclk ( ), - .fbclk (1'b0), - .refclk (refclk) - ); -endmodule - diff --git a/target/pocket/mf_pllbase_pal.qip b/target/pocket/mf_pllbase_pal.qip deleted file mode 100644 index ffaa050..0000000 --- a/target/pocket/mf_pllbase_pal.qip +++ /dev/null @@ -1,337 +0,0 @@ -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TOOL_NAME "altera_pll" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TOOL_ENV "mwpim" -set_global_assignment -library "mf_pllbase_pal" -name MISC_FILE [file join $::quartus(qip_path) "mf_pllbase_pal.cmp"] -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_QSYS_MODE "UNKNOWN" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZV9wYWw=" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "mf_pllbase_pal" -library "mf_pllbase_pal" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZV9wYWxfMDAwMg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNFQkEyRjE3QTc=::ZGV2aWNl" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::RnJhY3Rpb25hbC1OIFBMTA==::UExMIE1vZGU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::dHJ1ZQ==::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NzQuMjU=::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NzQuMjUgTUh6::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::ZGlyZWN0::T3BlcmF0aW9uIE1vZGU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::NQ==::TnVtYmVyIE9mIENsb2Nrcw==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::NQ==::bnVtYmVyX29mX2Nsb2Nrcw==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::ODUuMTI1NDcy::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::OA==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MjEuMjgxMzY4::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::MzI=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::NS4zMjAzNDI=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MTI4::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::NS4zMjAzNDI=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MTI4::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::OTAuMA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::NDIuNTYyNzM2::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::OQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::NzM3NzM4MDAw::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MTY=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::ODUuMTI1NDcyIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MjEuMjgxMzY4IE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::NS4zMjAzNDIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::NS4zMjAzNDIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::NDY5ODkgcHM=::cGhhc2Vfc2hpZnQz" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::NDIuNTYyNzM2IE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T24=::UExMIEF1dG8gUmVzZXQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTMgSGkgRGl2aWRlLEMtQ291bnRlci0zIExvdyBEaXZpZGUsQy1Db3VudGVyLTMgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0zIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTMgSW5wdXQgU291cmNlLEMtQ291bnRlci0zIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTMgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTQgSGkgRGl2aWRlLEMtQ291bnRlci00IExvdyBEaXZpZGUsQy1Db3VudGVyLTQgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci00IFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTQgSW5wdXQgU291cmNlLEMtQ291bnRlci00IEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTQgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::NSw0LDI1NiwyNTYsZmFsc2UsdHJ1ZSx0cnVlLGZhbHNlLDQsNCwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwxNiwxNiwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw2NCw2NCwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw2NCw2NCwzMywwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsOCw4LDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDEsMzAsMjAwMCw2ODEuMDAzNzc1IE1Ieiw3Mzc3MzgwMDAsbm9uZSxnbGIsbV9jbnQscGhfbXV4X2Nsayx0cnVl::UGFyYW1ldGVyIFZhbHVlcw==" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" - -set_global_assignment -library "mf_pllbase_pal" -name VERILOG_FILE [file join $::quartus(qip_path) "mf_pllbase_pal.v"] -set_global_assignment -library "mf_pllbase_pal" -name VERILOG_FILE [file join $::quartus(qip_path) "mf_pllbase_pal/mf_pllbase_pal_0002.v"] -set_global_assignment -library "mf_pllbase_pal" -name QIP_FILE [file join $::quartus(qip_path) "mf_pllbase_pal/mf_pllbase_pal_0002.qip"] - -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_TOOL_NAME "altera_pll" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "mf_pllbase_pal_0002" -library "mf_pllbase_pal" -name IP_TOOL_ENV "mwpim" diff --git a/target/pocket/mf_pllbase_pal.v b/target/pocket/mf_pllbase_pal.v deleted file mode 100644 index 58bc2dd..0000000 --- a/target/pocket/mf_pllbase_pal.v +++ /dev/null @@ -1,261 +0,0 @@ -// megafunction wizard: %PLL Intel FPGA IP v21.1% -// GENERATION: XML -// mf_pllbase_pal.v - -// Generated using ACDS version 21.1 842 - -`timescale 1 ps / 1 ps -module mf_pllbase_pal ( - input wire refclk, // refclk.clk - input wire rst, // reset.reset - output wire outclk_0, // outclk0.clk - output wire outclk_1, // outclk1.clk - output wire outclk_2, // outclk2.clk - output wire outclk_3, // outclk3.clk - output wire outclk_4, // outclk4.clk - output wire locked // locked.export - ); - - mf_pllbase_pal_0002 mf_pllbase_inst ( - .refclk (refclk), // refclk.clk - .rst (rst), // reset.reset - .outclk_0 (outclk_0), // outclk0.clk - .outclk_1 (outclk_1), // outclk1.clk - .outclk_2 (outclk_2), // outclk2.clk - .outclk_3 (outclk_3), // outclk3.clk - .outclk_4 (outclk_4), // outclk4.clk - .locked (locked) // locked.export - ); - -endmodule -// Retrieval info: -// -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// IPFS_FILES : mf_pllbase_pal.vo -// RELATED_FILES: mf_pllbase_pal.v, mf_pllbase_pal_0002.v diff --git a/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip b/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip deleted file mode 100644 index c33de73..0000000 --- a/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.qip +++ /dev/null @@ -1,4 +0,0 @@ -set_instance_assignment -name PLL_COMPENSATION_MODE DIRECT -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" -set_instance_assignment -name PLL_CHANNEL_SPACING "0.0 KHz" -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" -set_instance_assignment -name PLL_AUTO_RESET ON -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" -set_instance_assignment -name PLL_BANDWIDTH_PRESET AUTO -to "*mf_pllbase_pal_0002*|altera_pll:altera_pll_i*|*" diff --git a/target/pocket/mf_pllbase_pal.ppf b/target/pocket/nes_pll.ppf similarity index 95% rename from target/pocket/mf_pllbase_pal.ppf rename to target/pocket/nes_pll.ppf index c678365..0e013a9 100644 --- a/target/pocket/mf_pllbase_pal.ppf +++ b/target/pocket/nes_pll.ppf @@ -1,6 +1,6 @@ diff --git a/target/pocket/nes_pll.qip b/target/pocket/nes_pll.qip new file mode 100644 index 0000000..7088ded --- /dev/null +++ b/target/pocket/nes_pll.qip @@ -0,0 +1,337 @@ +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "nes_pll" -name MISC_FILE [file join $::quartus(qip_path) "nes_pll.cmp"] +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZQ==" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "nes_pll" -library "nes_pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_NAME "bWZfcGxsYmFzZV8wMDAy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNFQkEyRjE3QTc=::ZGV2aWNl" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::RnJhY3Rpb25hbC1OIFBMTA==::UExMIE1vZGU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::dHJ1ZQ==::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NzQuMjU=::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NzQuMjUgTUh6::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::bm9ybWFs::T3BlcmF0aW9uIE1vZGU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::bm9ybWFs::b3BlcmF0aW9uX21vZGU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::NQ==::TnVtYmVyIE9mIENsb2Nrcw==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::NQ==::bnVtYmVyX29mX2Nsb2Nrcw==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::ODUuOTA4OTky::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::Nw==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MjEuNDc3MjQ4::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::Mjg=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::NS4zNjkzMTI=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MTEy::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::NS4zNjkzMTI=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MTEy::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::OTA=::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::OTAuMA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::NDIuOTU0NDk2::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::NDI1OTA3MDYy::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MTQ=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::ODUuOTA4OTkyIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MjEuNDc3MjQ4IE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::NS4zNjkzMTIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::NS4zNjkzMTIgTUh6::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::NDY1NjEgcHM=::cGhhc2Vfc2hpZnQz" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::NDIuOTU0NDk2IE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T24=::UExMIEF1dG8gUmVzZXQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTMgSGkgRGl2aWRlLEMtQ291bnRlci0zIExvdyBEaXZpZGUsQy1Db3VudGVyLTMgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0zIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTMgSW5wdXQgU291cmNlLEMtQ291bnRlci0zIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTMgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTQgSGkgRGl2aWRlLEMtQ291bnRlci00IExvdyBEaXZpZGUsQy1Db3VudGVyLTQgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci00IFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTQgSW5wdXQgU291cmNlLEMtQ291bnRlci00IEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTQgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::NCw0LDI1NiwyNTYsZmFsc2UsdHJ1ZSxmYWxzZSxmYWxzZSw0LDMsMSwwLHBoX211eF9jbGssZmFsc2UsdHJ1ZSwxNCwxNCwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw1Niw1NiwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSw1Niw1NiwyOSwwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsNyw3LDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDEsMzAsMjAwMCw2MDEuMzYyOTQzIE1Ieiw0MjU5MDcwNjIsZ2NsayxnbGIsZmJfMSxwaF9tdXhfY2xrLHRydWU=::UGFyYW1ldGVyIFZhbHVlcw==" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" + +set_global_assignment -library "nes_pll" -name VERILOG_FILE [file join $::quartus(qip_path) "nes_pll.v"] +set_global_assignment -library "nes_pll" -name VERILOG_FILE [file join $::quartus(qip_path) "nes_pll_01.v"] +set_global_assignment -library "nes_pll" -name QIP_FILE [file join $::quartus(qip_path) "nes_pll_01.qip"] + +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "nes_pll_01" -library "nes_pll" -name IP_TOOL_ENV "mwpim" diff --git a/target/pocket/mf_pllbase.v b/target/pocket/nes_pll.v similarity index 98% rename from target/pocket/mf_pllbase.v rename to target/pocket/nes_pll.v index cc53fc7..50d11a7 100644 --- a/target/pocket/mf_pllbase.v +++ b/target/pocket/nes_pll.v @@ -1,27 +1,29 @@ // megafunction wizard: %PLL Intel FPGA IP v21.1% // GENERATION: XML -// mf_pllbase.v +// nes_pll.v -// Generated using ACDS version 21.1 850 +// Generated using ACDS version 21.1 842 `timescale 1 ps / 1 ps -module mf_pllbase ( +module nes_pll ( input wire refclk, // refclk.clk input wire rst, // reset.reset output wire outclk_0, // outclk0.clk output wire outclk_1, // outclk1.clk output wire outclk_2, // outclk2.clk output wire outclk_3, // outclk3.clk + output wire outclk_4, // outclk4.clk output wire locked // locked.export ); - mf_pllbase_0002 mf_pllbase_inst ( + nes_pll_01 mf_pllbase_inst ( .refclk (refclk), // refclk.clk .rst (rst), // reset.reset .outclk_0 (outclk_0), // outclk0.clk .outclk_1 (outclk_1), // outclk1.clk .outclk_2 (outclk_2), // outclk2.clk .outclk_3 (outclk_3), // outclk3.clk + .outclk_4 (outclk_4), // outclk4.clk .locked (locked) // locked.export ); @@ -32,7 +34,7 @@ endmodule // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // ************************************************************ -// Copyright (C) 1991-2023 Altera Corporation +// Copyright (C) 1991-2025 Altera Corporation // Any megafunction design, and related net list (encrypted or decrypted), // support information, device programming or simulation file, and any other // associated documentation or information provided by Altera or a partner @@ -67,7 +69,7 @@ endmodule // Retrieval info: // Retrieval info: // Retrieval info: -// Retrieval info: +// Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: @@ -108,7 +110,7 @@ endmodule // Retrieval info: // Retrieval info: // Retrieval info: -// Retrieval info: +// Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: @@ -255,5 +257,5 @@ endmodule // Retrieval info: // Retrieval info: // Retrieval info: -// IPFS_FILES : mf_pllbase.vo -// RELATED_FILES: mf_pllbase.v, mf_pllbase_0002.v +// IPFS_FILES : nes_pll.vo +// RELATED_FILES: nes_pll.v, nes_pll_01.v diff --git a/target/pocket/nes_pll_01.qip b/target/pocket/nes_pll_01.qip new file mode 100644 index 0000000..b4413a2 --- /dev/null +++ b/target/pocket/nes_pll_01.qip @@ -0,0 +1,4 @@ +set_instance_assignment -name PLL_COMPENSATION_MODE NORMAL -to "*nes_pll_01*|altera_pll:altera_pll_i*|*" +set_instance_assignment -name PLL_CHANNEL_SPACING "0.0 KHz" -to "*nes_pll_01*|altera_pll:altera_pll_i*|*" +set_instance_assignment -name PLL_AUTO_RESET ON -to "*nes_pll_01*|altera_pll:altera_pll_i*|*" +set_instance_assignment -name PLL_BANDWIDTH_PRESET AUTO -to "*nes_pll_01*|altera_pll:altera_pll_i*|*" diff --git a/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.v b/target/pocket/nes_pll_01.v similarity index 53% rename from target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.v rename to target/pocket/nes_pll_01.v index 5929e1c..daa2f72 100644 --- a/target/pocket/mf_pllbase_pal/mf_pllbase_pal_0002.v +++ b/target/pocket/nes_pll_01.v @@ -1,6 +1,6 @@ `timescale 1ns/10ps -module mf_pllbase_pal_0002( - +module nes_pll_01 #(parameter reg USE_PAL_PLL = 1'b1) +( // interface 'refclk' input wire refclk, @@ -26,7 +26,79 @@ module mf_pllbase_pal_0002( output wire locked ); + generate + if (USE_PAL_PLL == 1'b1) begin altera_pll #( + .fractional_vco_multiplier("true"), + .reference_clock_frequency("74.25 MHz"), + .operation_mode("normal"), + .number_of_clocks(5), + .output_clock_frequency0("85.908992 MHz"), + .phase_shift0("0 ps"), + .duty_cycle0(50), + .output_clock_frequency1("21.477248 MHz"), + .phase_shift1("0 ps"), + .duty_cycle1(50), + .output_clock_frequency2("5.369312 MHz"), + .phase_shift2("0 ps"), + .duty_cycle2(50), + .output_clock_frequency3("5.369312 MHz"), + .phase_shift3("46561 ps"), + .duty_cycle3(50), + .output_clock_frequency4("42.954496 MHz"), + .phase_shift4("0 ps"), + .duty_cycle4(50), + .output_clock_frequency5("0 MHz"), + .phase_shift5("0 ps"), + .duty_cycle5(50), + .output_clock_frequency6("0 MHz"), + .phase_shift6("0 ps"), + .duty_cycle6(50), + .output_clock_frequency7("0 MHz"), + .phase_shift7("0 ps"), + .duty_cycle7(50), + .output_clock_frequency8("0 MHz"), + .phase_shift8("0 ps"), + .duty_cycle8(50), + .output_clock_frequency9("0 MHz"), + .phase_shift9("0 ps"), + .duty_cycle9(50), + .output_clock_frequency10("0 MHz"), + .phase_shift10("0 ps"), + .duty_cycle10(50), + .output_clock_frequency11("0 MHz"), + .phase_shift11("0 ps"), + .duty_cycle11(50), + .output_clock_frequency12("0 MHz"), + .phase_shift12("0 ps"), + .duty_cycle12(50), + .output_clock_frequency13("0 MHz"), + .phase_shift13("0 ps"), + .duty_cycle13(50), + .output_clock_frequency14("0 MHz"), + .phase_shift14("0 ps"), + .duty_cycle14(50), + .output_clock_frequency15("0 MHz"), + .phase_shift15("0 ps"), + .duty_cycle15(50), + .output_clock_frequency16("0 MHz"), + .phase_shift16("0 ps"), + .duty_cycle16(50), + .output_clock_frequency17("0 MHz"), + .phase_shift17("0 ps"), + .duty_cycle17(50), + .pll_type("General"), + .pll_subtype("General") + ) altera_pll_i ( + .rst (rst), + .outclk ({outclk_4, outclk_3, outclk_2, outclk_1, outclk_0}), + .locked (locked), + .fboutclk ( ), + .fbclk (1'b0), + .refclk (refclk) + ); +end else begin + altera_pll #( .fractional_vco_multiplier("true"), .reference_clock_frequency("74.25 MHz"), .operation_mode("direct"), @@ -95,5 +167,8 @@ module mf_pllbase_pal_0002( .fbclk (1'b0), .refclk (refclk) ); + end +endgenerate endmodule + diff --git a/target/pocket/pll_reconfig.ppf b/target/pocket/pll_reconfig.ppf deleted file mode 100644 index e6cec87..0000000 --- a/target/pocket/pll_reconfig.ppf +++ /dev/null @@ -1,19 +0,0 @@ - - - - - - - - - - - - - - - diff --git a/target/pocket/pll_reconfig.qip b/target/pocket/pll_reconfig.qip deleted file mode 100644 index fa59c81..0000000 --- a/target/pocket/pll_reconfig.qip +++ /dev/null @@ -1,45 +0,0 @@ -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_TOOL_NAME "altera_pll_reconfig" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_TOOL_ENV "mwpim" -set_global_assignment -library "pll_reconfig" -name MISC_FILE [file join $::quartus(qip_path) "pll_reconfig.cmp"] -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_QSYS_MODE "UNKNOWN" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_NAME "cGxsX3JlY29uZmln" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_DISPLAY_NAME "UExMIFJlY29uZmlnIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3AgUmVjb25maWd1cmF0aW9uIEJsb2Nr" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JZVEVFTkFCTEU=::ZmFsc2U=::QWRkIGJ5dGVlbmFibGUgcG9ydA==" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "QllURUVOQUJMRV9XSURUSA==::NA==::QllURUVOQUJMRV9XSURUSA==" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "UkVDT05GSUdfQUREUl9XSURUSA==::Ng==::UkVDT05GSUdfQUREUl9XSURUSA==" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "UkVDT05GSUdfREFUQV9XSURUSA==::MzI=::UkVDT05GSUdfREFUQV9XSURUSA==" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "cmVjb25mX3dpZHRo::NjQ=::cmVjb25mX3dpZHRo" -set_global_assignment -entity "pll_reconfig" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "V0FJVF9GT1JfTE9DSw==::dHJ1ZQ==::V0FJVF9GT1JfTE9DSw==" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_NAME "YWx0ZXJhX3BsbF9yZWNvbmZpZ190b3A=" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_DISPLAY_NAME "UExMIFJlY29uZmlnIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3AgUmVjb25maWd1cmF0aW9uIEJsb2Nr" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::ZGV2aWNlX2ZhbWlseQ==" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01JRg==::ZmFsc2U=::RW5hYmxlIE1JRiBTdHJlYW1pbmc=" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JZVEVFTkFCTEU=::ZmFsc2U=::QWRkIGJ5dGVlbmFibGUgcG9ydA==" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "QllURUVOQUJMRV9XSURUSA==::NA==::QllURUVOQUJMRV9XSURUSA==" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "UkVDT05GSUdfQUREUl9XSURUSA==::Ng==::UkVDT05GSUdfQUREUl9XSURUSA==" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "UkVDT05GSUdfREFUQV9XSURUSA==::MzI=::UkVDT05GSUdfREFUQV9XSURUSA==" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "cmVjb25mX3dpZHRo::NjQ=::cmVjb25mX3dpZHRo" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_COMPONENT_PARAMETER "V0FJVF9GT1JfTE9DSw==::dHJ1ZQ==::V0FJVF9GT1JfTE9DSw==" - -set_global_assignment -library "pll_reconfig" -name VERILOG_FILE [file join $::quartus(qip_path) "pll_reconfig.v"] -set_global_assignment -library "pll_reconfig" -name VERILOG_FILE [file join $::quartus(qip_path) "pll_reconfig/altera_pll_reconfig_top.v"] -set_global_assignment -library "pll_reconfig" -name VERILOG_FILE [file join $::quartus(qip_path) "pll_reconfig/altera_pll_reconfig_core.v"] -set_global_assignment -library "pll_reconfig" -name VERILOG_FILE [file join $::quartus(qip_path) "pll_reconfig/altera_std_synchronizer.v"] - -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_TOOL_NAME "altera_pll_reconfig" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "altera_pll_reconfig_top" -library "pll_reconfig" -name IP_TOOL_ENV "mwpim" diff --git a/target/pocket/pll_reconfig.v b/target/pocket/pll_reconfig.v deleted file mode 100644 index e302b87..0000000 --- a/target/pocket/pll_reconfig.v +++ /dev/null @@ -1,86 +0,0 @@ -// megafunction wizard: %PLL Reconfig Intel FPGA IP v21.1% -// GENERATION: XML -// pll_reconfig.v - -// Generated using ACDS version 21.1 850 - -`timescale 1 ps / 1 ps -module pll_reconfig #( - parameter ENABLE_BYTEENABLE = 0, - parameter BYTEENABLE_WIDTH = 4, - parameter RECONFIG_ADDR_WIDTH = 6, - parameter RECONFIG_DATA_WIDTH = 32, - parameter reconf_width = 64, - parameter WAIT_FOR_LOCK = 1 - ) ( - input wire mgmt_clk, // mgmt_clk.clk - input wire mgmt_reset, // mgmt_reset.reset - output wire mgmt_waitrequest, // mgmt_avalon_slave.waitrequest - input wire mgmt_read, // .read - input wire mgmt_write, // .write - output wire [31:0] mgmt_readdata, // .readdata - input wire [5:0] mgmt_address, // .address - input wire [31:0] mgmt_writedata, // .writedata - output wire [63:0] reconfig_to_pll, // reconfig_to_pll.reconfig_to_pll - input wire [63:0] reconfig_from_pll // reconfig_from_pll.reconfig_from_pll - ); - - altera_pll_reconfig_top #( - .device_family ("Cyclone V"), - .ENABLE_MIF (0), - .MIF_FILE_NAME (""), - .ENABLE_BYTEENABLE (ENABLE_BYTEENABLE), - .BYTEENABLE_WIDTH (BYTEENABLE_WIDTH), - .RECONFIG_ADDR_WIDTH (RECONFIG_ADDR_WIDTH), - .RECONFIG_DATA_WIDTH (RECONFIG_DATA_WIDTH), - .reconf_width (reconf_width), - .WAIT_FOR_LOCK (WAIT_FOR_LOCK) - ) pll_reconfig_inst ( - .mgmt_clk (mgmt_clk), // mgmt_clk.clk - .mgmt_reset (mgmt_reset), // mgmt_reset.reset - .mgmt_waitrequest (mgmt_waitrequest), // mgmt_avalon_slave.waitrequest - .mgmt_read (mgmt_read), // .read - .mgmt_write (mgmt_write), // .write - .mgmt_readdata (mgmt_readdata), // .readdata - .mgmt_address (mgmt_address), // .address - .mgmt_writedata (mgmt_writedata), // .writedata - .reconfig_to_pll (reconfig_to_pll), // reconfig_to_pll.reconfig_to_pll - .reconfig_from_pll (reconfig_from_pll), // reconfig_from_pll.reconfig_from_pll - .mgmt_byteenable (4'b0000) // (terminated) - ); - -endmodule -// Retrieval info: -// -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// IPFS_FILES : pll_reconfig.vo -// RELATED_FILES: pll_reconfig.v, altera_pll_reconfig_top.v, altera_pll_reconfig_core.v, altera_std_synchronizer.v diff --git a/target/pocket/pll_reconfig/altera_pll_reconfig_core.v b/target/pocket/pll_reconfig/altera_pll_reconfig_core.v deleted file mode 100644 index 01f9dd2..0000000 --- a/target/pocket/pll_reconfig/altera_pll_reconfig_core.v +++ /dev/null @@ -1,2184 +0,0 @@ -// (C) 2001-2022 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -`timescale 1ps/1ps - -module altera_pll_reconfig_core -#( - parameter reconf_width = 64, - parameter device_family = "Stratix V", - // MIF Streaming parameters - parameter RECONFIG_ADDR_WIDTH = 6, - parameter RECONFIG_DATA_WIDTH = 32, - parameter ROM_ADDR_WIDTH = 9, - parameter ROM_DATA_WIDTH = 32, - parameter ROM_NUM_WORDS = 512 -) ( - - //input - input wire mgmt_clk, - input wire mgmt_reset, - - - //conduits - output wire [reconf_width-1:0] reconfig_to_pll, - input wire [reconf_width-1:0] reconfig_from_pll, - - // user data (avalon-MM slave interface) - output wire [31:0] mgmt_readdata, - output wire mgmt_waitrequest, - input wire [5:0] mgmt_address, - input wire mgmt_read, - input wire mgmt_write, - input wire [31:0] mgmt_writedata, - - //other - output wire mif_start_out, - output reg [ROM_ADDR_WIDTH-1:0] mif_base_addr -); - localparam mode_WR = 1'b0; - localparam mode_POLL = 1'b1; - localparam MODE_REG = 6'b000000; - localparam STATUS_REG = 6'b000001; - localparam START_REG = 6'b000010; - localparam N_REG = 6'b000011; - localparam M_REG = 6'b000100; - localparam C_COUNTERS_REG = 6'b000101; - localparam DPS_REG = 6'b000110; - localparam DSM_REG = 6'b000111; - localparam BWCTRL_REG = 6'b001000; - localparam CP_CURRENT_REG = 6'b001001; - localparam ANY_DPRIO = 6'b100000; - localparam CNT_BASE = 5'b001010; - localparam VCO_REG = 6'b011100; - localparam MIF_REG = 6'b011111; - - //C Counters - localparam number_of_counters = 5'd18; - localparam CNT_0 = 1'd0, CNT_1 = 5'd1, CNT_2 = 5'd2, - CNT_3 = 5'd3, CNT_4 = 5'd4, CNT_5 = 5'd5, - CNT_6 = 5'd6, CNT_7 = 5'd7, CNT_8 = 5'd8, - CNT_9 = 5'd9, CNT_10 = 5'd10, CNT_11 = 5'd11, - CNT_12 = 5'd12, CNT_13 = 5'd13, CNT_14 = 5'd14, - CNT_15 = 5'd15, CNT_16 = 5'd16, CNT_17 = 5'd17; - //C counter addresses - localparam C_CNT_0_DIV_ADDR = 5'h00; - localparam C_CNT_0_DIV_ADDR_DPRIO_1 = 5'h11; - localparam C_CNT_0_3_BYPASS_EN_ADDR = 5'h15; - localparam C_CNT_0_3_ODD_DIV_EN_ADDR = 5'h17; - localparam C_CNT_4_17_BYPASS_EN_ADDR = 5'h14; - localparam C_CNT_4_17_ODD_DIV_EN_ADDR = 5'h16; - //N counter addresses - localparam N_CNT_DIV_ADDR = 5'h13; - localparam N_CNT_BYPASS_EN_ADDR = 5'h15; - localparam N_CNT_ODD_DIV_EN_ADDR = 5'h17; - //M counter addresses - localparam M_CNT_DIV_ADDR = 5'h12; - localparam M_CNT_BYPASS_EN_ADDR = 5'h15; - localparam M_CNT_ODD_DIV_EN_ADDR = 5'h17; - - //DSM address - localparam DSM_K_FRACTIONAL_DIVISION_ADDR_0 = 5'h18; - localparam DSM_K_FRACTIONAL_DIVISION_ADDR_1 = 5'h19; - localparam DSM_K_READY_ADDR = 5'h17; - localparam DSM_K_DITHER_ADDR = 5'h17; - localparam DSM_OUT_SEL_ADDR = 6'h30; - - //Other DSM params - localparam DSM_K_READY_BIT_INDEX = 4'd11; - //BWCTRL address - //Bit 0-3 of addr - localparam BWCTRL_ADDR = 6'h30; - //CP_CURRENT address - //Bit 0-2 of addr - localparam CP_CURRENT_ADDR = 6'h31; - - // VCODIV address - localparam VCO_ADDR = 5'h17; - - localparam DPRIO_IDLE = 3'd0, ONE = 3'd1, TWO = 3'd2, THREE = 3'd3, FOUR = 3'd4, - FIVE = 3'd5, SIX = 3'd6, SEVEN = 3'd7, EIGHT = 4'd8, NINE = 4'd9, TEN = 4'd10, - ELEVEN = 4'd11, TWELVE = 4'd12, THIRTEEN = 4'd13, FOURTEEN = 4'd14, DPRIO_DONE = 4'd15; - localparam IDLE = 2'b00, WAIT_ON_LOCK = 2'b01, LOCKED = 2'b10; - - wire clk; - wire reset; - wire gnd; - - wire [5: 0] slave_address; - wire slave_read; - wire slave_write; - wire [31: 0] slave_writedata; - - reg [31: 0] slave_readdata_d; - reg [31: 0] slave_readdata_q; - wire slave_waitrequest; - reg slave_mode; - - assign clk = mgmt_clk; - - assign slave_address = mgmt_address; - assign slave_read = mgmt_read; - assign slave_write = mgmt_write; - assign slave_writedata = mgmt_writedata; - - reg read_waitrequest; - // Outputs - assign mgmt_readdata = slave_readdata_q; - assign mgmt_waitrequest = slave_waitrequest | read_waitrequest; //Read waitrequest asserted in polling mode - - //internal signals - wire locked_orig; - wire locked; - - wire pll_start; - wire pll_start_valid; - reg status_read; - wire read_slave_mode_asserted; - - wire pll_start_asserted; - - reg [1:0] current_state; - reg [1:0] next_state; - - reg status;//0=busy, 1=ready - //user_mode_init user_mode_init_inst (clk, reset, dprio_mdio_dis, ser_shift_load); - //declaring the init wires. These will have 0 on them for 64 clk cycles - wire [ 5:0] init_dprio_address; - wire init_dprio_read; - wire [ 1:0] init_dprio_byteen; - wire init_dprio_write; - wire [15:0] init_dprio_writedata; - - wire init_atpgmode; - wire init_mdio_dis; - wire init_scanen; - wire init_ser_shift_load; - wire dprio_init_done; - - //DPRIO output signals after initialization is done - wire dprio_clk; - reg avmm_dprio_write; - reg avmm_dprio_read; - reg [5:0] avmm_dprio_address; - reg [15:0] avmm_dprio_writedata; - reg [1:0] avmm_dprio_byteen; - wire avmm_atpgmode; - wire avmm_mdio_dis; - wire avmm_scanen; - - //Final output wires that are muxed between the init and avmm wires. - wire dprio_init_reset; - wire [5:0] dprio_address /*synthesis keep*/; - wire dprio_read/*synthesis keep*/; - wire [1:0] dprio_byteen/*synthesis keep*/; - wire dprio_write/*synthesis keep*/; - wire [15:0] dprio_writedata/*synthesis keep*/; - wire dprio_mdio_dis/*synthesis keep*/; - wire dprio_ser_shift_load/*synthesis keep*/; - wire dprio_atpgmode/*synthesis keep*/; - wire dprio_scanen/*synthesis keep*/; - - - //other PLL signals for dyn ph shift - wire phase_done/*synthesis keep*/; - wire phase_en/*synthesis keep*/; - wire up_dn/*synthesis keep*/; - wire [4:0] cnt_sel; - - //DPRIO input signals - wire [15:0] dprio_readdata; - - //internal logic signals - //storage registers for user sent data - reg dprio_temp_read_1; - reg dprio_temp_read_2; - reg dprio_start; - reg mif_start_assert; - reg dps_start_assert; - wire usr_valid_changes; - reg [3:0] dprio_cur_state; - reg [3:0] dprio_next_state; - reg [15:0] dprio_temp_m_n_c_readdata_1_d; - reg [15:0] dprio_temp_m_n_c_readdata_2_d; - reg [15:0] dprio_temp_m_n_c_readdata_1_q; - reg [15:0] dprio_temp_m_n_c_readdata_2_q; - reg dprio_write_done; - //C counters signals - reg [7:0] usr_c_cnt_lo; - reg [7:0] usr_c_cnt_hi; - reg usr_c_cnt_bypass_en; - reg usr_c_cnt_odd_duty_div_en; - reg [7:0] temp_c_cnt_lo [0:17]; - reg [7:0] temp_c_cnt_hi [0:17]; - reg temp_c_cnt_bypass_en [0:17]; - reg temp_c_cnt_odd_duty_div_en [0:17]; - reg any_c_cnt_changed; - reg all_c_cnt_done_q; - reg all_c_cnt_done_d; - reg [17:0] c_cnt_changed; - reg [17:0] c_cnt_done_d; - reg [17:0] c_cnt_done_q; - //N counter signals - reg [7:0] usr_n_cnt_lo; - reg [7:0] usr_n_cnt_hi; - reg usr_n_cnt_bypass_en; - reg usr_n_cnt_odd_duty_div_en; - reg n_cnt_changed; - reg n_cnt_done_d; - reg n_cnt_done_q; - //M counter signals - reg [7:0] usr_m_cnt_lo; - reg [7:0] usr_m_cnt_hi; - reg usr_m_cnt_bypass_en; - reg usr_m_cnt_odd_duty_div_en; - reg m_cnt_changed; - reg m_cnt_done_d; - reg m_cnt_done_q; - //dyn phase regs - reg [15:0] usr_num_shifts; - reg [4:0] usr_cnt_sel /*synthesis preserve*/; - reg usr_up_dn; - reg dps_changed; - wire dps_changed_valid; - wire dps_done; - - //DSM Signals - reg [31:0] usr_k_value; - reg dsm_k_changed; - reg dsm_k_done_d; - reg dsm_k_done_q; - reg dsm_k_ready_false_done_d; - //BW signals - reg [3:0] usr_bwctrl_value; - reg bwctrl_changed; - reg bwctrl_done_d; - reg bwctrl_done_q; - //CP signals - reg [2:0] usr_cp_current_value; - reg cp_current_changed; - reg cp_current_done_d; - reg cp_current_done_q; - //VCO signals - reg usr_vco_value; - reg vco_changed; - reg vco_done_d; - reg vco_done_q; - //Manual DPRIO signals - reg manual_dprio_done_q; - reg manual_dprio_done_d; - reg manual_dprio_changed; - reg [5:0] usr_dprio_address; - reg [15:0] usr_dprio_writedata_0; - reg usr_r_w; - //keeping track of which operation happened last - reg [5:0] operation_address; - // Address wires for all C_counter DPRIO registers - // These are outputs of LUTS, changing depending - // on whether PLL_0 or PLL_1 being used - - - //Fitter will tell if FPLL1 is being used - wire fpll_1; - - // other - reg mif_reg_asserted; - // MAIN FSM - - // Synchronize locked signal - altera_std_synchronizer #( - .depth(3) - ) altera_std_synchronizer_inst ( - .clk(mgmt_clk), - .reset_n(~mgmt_reset), - .din(locked_orig), - .dout(locked) - ); - - always @(posedge clk) - begin - if (reset) - begin - dprio_cur_state <= DPRIO_IDLE; - current_state <= IDLE; - end - else - begin - current_state <= next_state; - dprio_cur_state <= dprio_next_state; - end - end - - always @(*) - begin - case(current_state) - IDLE: - begin - if (pll_start & !slave_waitrequest & usr_valid_changes) - next_state = WAIT_ON_LOCK; - else - next_state = IDLE; - end - WAIT_ON_LOCK: - begin - if (locked & dps_done & dprio_write_done) // received locked high from PLL - begin - if (slave_mode==mode_WR) //if the mode is waitrequest, then - // goto IDLE state directly - next_state = IDLE; - else - next_state = LOCKED; //otherwise go the locked state - end - else - next_state = WAIT_ON_LOCK; - end - - LOCKED: - begin - if (status_read) // stay in LOCKED until user reads status - next_state = IDLE; - else - next_state = LOCKED; - end - - default: next_state = 2'bxx; - - endcase - end - - - // ask the pll to start reconfig - assign pll_start = (pll_start_asserted & (current_state==IDLE)) ; - assign pll_start_valid = (pll_start & (next_state==WAIT_ON_LOCK)) ; - - - - // WRITE OPERATIONS - assign pll_start_asserted = slave_write & (slave_address == START_REG); - assign mif_start_out = pll_start & mif_reg_asserted; - - //reading the mode register to determine what mode the slave will operate - //in. - always @(posedge clk) - begin - if (reset) - slave_mode <= mode_WR; - else if (slave_write & (slave_address == MODE_REG) & !slave_waitrequest) - slave_mode <= slave_writedata[0]; - end - - //record which values user wants to change. - - //reading in the actual values that need to be reconfigged and sending - //them to the PLL - always @(posedge clk) - begin - if (reset) - begin - //reset all regs here - //BW signals reset - usr_bwctrl_value <= 0; - bwctrl_changed <= 0; - bwctrl_done_q <= 0; - //CP signals reset - usr_cp_current_value <= 0; - cp_current_changed <= 0; - cp_current_done_q <= 0; - //VCO signals reset - usr_vco_value <= 0; - vco_changed <= 0; - vco_done_q <= 0; - //DSM signals reset - usr_k_value <= 0; - dsm_k_changed <= 0; - dsm_k_done_q <= 0; - //N counter signals reset - usr_n_cnt_lo <= 0; - usr_n_cnt_hi <= 0; - usr_n_cnt_bypass_en <= 0; - usr_n_cnt_odd_duty_div_en <= 0; - n_cnt_changed <= 0; - n_cnt_done_q <= 0; - //M counter signals reset - usr_m_cnt_lo <= 0; - usr_m_cnt_hi <= 0; - usr_m_cnt_bypass_en <= 0; - usr_m_cnt_odd_duty_div_en <= 0; - m_cnt_changed <= 0; - m_cnt_done_q <= 0; - //C counter signals reset - usr_c_cnt_lo <= 0; - usr_c_cnt_hi <= 0; - usr_c_cnt_bypass_en <= 0; - usr_c_cnt_odd_duty_div_en <= 0; - any_c_cnt_changed <= 0; - all_c_cnt_done_q <= 0; - c_cnt_done_q <= 0; - //generic signals - dprio_start <= 0; - mif_start_assert <= 0; - dps_start_assert <= 0; - dprio_temp_m_n_c_readdata_1_q <= 0; - dprio_temp_m_n_c_readdata_2_q <= 0; - c_cnt_done_q <= 0; - //DPS signals - usr_up_dn <= 0; - usr_cnt_sel <= 0; - usr_num_shifts <= 0; - dps_changed <= 0; - //manual DPRIO signals - manual_dprio_changed <= 0; - usr_dprio_address <= 0; - usr_dprio_writedata_0 <= 0; - usr_r_w <= 0; - operation_address <= 0; - mif_reg_asserted <= 0; - mif_base_addr <= 0; - end - else - begin - if (dprio_temp_read_1) - begin - dprio_temp_m_n_c_readdata_1_q <= dprio_temp_m_n_c_readdata_1_d; - end - if (dprio_temp_read_2) - begin - dprio_temp_m_n_c_readdata_2_q <= dprio_temp_m_n_c_readdata_2_d; - end - if ((dps_done)) dps_changed <= 0; - if (dsm_k_done_d) dsm_k_done_q <= dsm_k_done_d; - if (n_cnt_done_d) n_cnt_done_q <= n_cnt_done_d; - if (m_cnt_done_d) m_cnt_done_q <= m_cnt_done_d; - if (all_c_cnt_done_d) all_c_cnt_done_q <= all_c_cnt_done_d; - if (c_cnt_done_d != 0) c_cnt_done_q <= c_cnt_done_q | c_cnt_done_d; - if (bwctrl_done_d) bwctrl_done_q <= bwctrl_done_d; - if (cp_current_done_d) cp_current_done_q <= cp_current_done_d; - if (vco_done_d) vco_done_q <= vco_done_d; - if (manual_dprio_done_d) manual_dprio_done_q <= manual_dprio_done_d; - - if (mif_start_out == 1'b1) - mif_start_assert <= 0; // Signaled MIF block to start, so deassert on next cycle - - if (dps_done != 1'b1) - dps_start_assert <= 0; // DPS has started, so dessert its start signal on next cycle - - if (dprio_next_state == ONE) - dprio_start <= 0; - if (dprio_write_done) - begin - bwctrl_done_q <= 0; - cp_current_done_q <= 0; - vco_done_q <= 0; - dsm_k_done_q <= 0; - dsm_k_done_q <= 0; - n_cnt_done_q <= 0; - m_cnt_done_q <= 0; - all_c_cnt_done_q <= 0; - c_cnt_done_q <= 0; - dsm_k_changed <= 0; - n_cnt_changed <= 0; - m_cnt_changed <= 0; - any_c_cnt_changed <= 0; - bwctrl_changed <= 0; - cp_current_changed <= 0; - vco_changed <= 0; - manual_dprio_changed <= 0; - manual_dprio_done_q <= 0; - if (dps_changed | dps_changed_valid | !dps_done ) - begin - usr_cnt_sel <= usr_cnt_sel; - end - else - begin - usr_cnt_sel <= 0; - end - mif_reg_asserted <= 0; - end - else - begin - dsm_k_changed <= dsm_k_changed; - n_cnt_changed <= n_cnt_changed; - m_cnt_changed <= m_cnt_changed; - any_c_cnt_changed <= any_c_cnt_changed; - manual_dprio_changed <= manual_dprio_changed; - mif_reg_asserted <= mif_reg_asserted; - usr_cnt_sel <= usr_cnt_sel; - end - - - if(slave_write & !slave_waitrequest) - begin - case(slave_address) - //read in the values here from the user and act on them - DSM_REG: - begin - operation_address <= DSM_REG; - usr_k_value <= slave_writedata[31:0]; - dsm_k_changed <= 1'b1; - dsm_k_done_q <= 0; - dprio_start <= 1'b1; - end - N_REG: - begin - operation_address <= N_REG; - usr_n_cnt_lo <= slave_writedata[7:0]; - usr_n_cnt_hi <= slave_writedata[15:8]; - usr_n_cnt_bypass_en <= slave_writedata[16]; - usr_n_cnt_odd_duty_div_en <= slave_writedata[17]; - n_cnt_changed <= 1'b1; - n_cnt_done_q <= 0; - dprio_start <= 1'b1; - end - M_REG: - begin - operation_address <= M_REG; - usr_m_cnt_lo <= slave_writedata[7:0]; - usr_m_cnt_hi <= slave_writedata[15:8]; - usr_m_cnt_bypass_en <= slave_writedata[16]; - usr_m_cnt_odd_duty_div_en <= slave_writedata[17]; - m_cnt_changed <= 1'b1; - m_cnt_done_q <= 0; - dprio_start <= 1'b1; - end - DPS_REG: - begin - operation_address <= DPS_REG; - usr_num_shifts <= slave_writedata[15:0]; - usr_cnt_sel <= slave_writedata[20:16]; - usr_up_dn <= slave_writedata[21]; - dps_changed <= 1; - dps_start_assert <= 1; - end - C_COUNTERS_REG: - begin - operation_address <= C_COUNTERS_REG; - usr_c_cnt_lo <= slave_writedata[7:0]; - usr_c_cnt_hi <= slave_writedata[15:8]; - usr_c_cnt_bypass_en <= slave_writedata[16]; - usr_c_cnt_odd_duty_div_en <= slave_writedata[17]; - usr_cnt_sel <= slave_writedata[22:18]; - any_c_cnt_changed <= 1'b1; - all_c_cnt_done_q <= 0; - dprio_start <= 1'b1; - end - BWCTRL_REG: - begin - usr_bwctrl_value <= slave_writedata[3:0]; - bwctrl_changed <= 1'b1; - bwctrl_done_q <= 0; - dprio_start <= 1'b1; - operation_address <= BWCTRL_REG; - end - CP_CURRENT_REG: - begin - usr_cp_current_value <= slave_writedata[2:0]; - cp_current_changed <= 1'b1; - cp_current_done_q <= 0; - dprio_start <= 1'b1; - operation_address <= CP_CURRENT_REG; - end - VCO_REG: - begin - usr_vco_value <= slave_writedata[0]; - vco_changed <= 1'b1; - vco_done_q <= 0; - dprio_start <= 1'b1; - operation_address <= VCO_REG; - end - ANY_DPRIO: - begin - operation_address <= ANY_DPRIO; - manual_dprio_changed <= 1'b1; - usr_dprio_address <= slave_writedata[5:0]; - usr_dprio_writedata_0 <= slave_writedata[21:6]; - usr_r_w <= slave_writedata[22]; - manual_dprio_done_q <= 0; - dprio_start <= 1'b1; - end - MIF_REG: - begin - mif_reg_asserted <= 1'b1; - mif_base_addr <= slave_writedata[ROM_ADDR_WIDTH-1:0]; - mif_start_assert <= 1'b1; - end - endcase - end - end - end - //C Counter assigning values to the 2-d array of values for each C counter - - reg [4:0] j; - always @(posedge clk) - begin - - if (reset) - begin - c_cnt_changed[17:0] <= 0; - for (j = 0; j < number_of_counters; j = j + 1'b1) - begin : c_cnt_reset - temp_c_cnt_bypass_en[j] <= 0; - temp_c_cnt_odd_duty_div_en[j] <= 0; - temp_c_cnt_lo[j][7:0] <= 0; - temp_c_cnt_hi[j][7:0] <= 0; - end - end - else - begin - if (dprio_write_done) - begin - c_cnt_changed <= 0; - end - if (any_c_cnt_changed && (operation_address == C_COUNTERS_REG)) - begin - case (cnt_sel) - CNT_0: - begin - temp_c_cnt_lo [0] <= usr_c_cnt_lo; - temp_c_cnt_hi [0] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [0] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [0] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [0] <= 1'b1; - end - CNT_1: - begin - temp_c_cnt_lo [1] <= usr_c_cnt_lo; - temp_c_cnt_hi [1] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [1] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [1] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [1] <= 1'b1; - end - CNT_2: - begin - temp_c_cnt_lo [2] <= usr_c_cnt_lo; - temp_c_cnt_hi [2] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [2] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [2] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [2] <= 1'b1; - end - CNT_3: - begin - temp_c_cnt_lo [3] <= usr_c_cnt_lo; - temp_c_cnt_hi [3] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [3] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [3] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [3] <= 1'b1; - end - CNT_4: - begin - temp_c_cnt_lo [4] <= usr_c_cnt_lo; - temp_c_cnt_hi [4] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [4] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [4] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [4] <= 1'b1; - end - CNT_5: - begin - temp_c_cnt_lo [5] <= usr_c_cnt_lo; - temp_c_cnt_hi [5] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [5] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [5] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [5] <= 1'b1; - end - CNT_6: - begin - temp_c_cnt_lo [6] <= usr_c_cnt_lo; - temp_c_cnt_hi [6] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [6] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [6] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [6] <= 1'b1; - end - CNT_7: - begin - temp_c_cnt_lo [7] <= usr_c_cnt_lo; - temp_c_cnt_hi [7] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [7] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [7] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [7] <= 1'b1; - end - CNT_8: - begin - temp_c_cnt_lo [8] <= usr_c_cnt_lo; - temp_c_cnt_hi [8] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [8] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [8] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [8] <= 1'b1; - end - CNT_9: - begin - temp_c_cnt_lo [9] <= usr_c_cnt_lo; - temp_c_cnt_hi [9] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [9] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [9] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [9] <= 1'b1; - end - CNT_10: - begin - temp_c_cnt_lo [10] <= usr_c_cnt_lo; - temp_c_cnt_hi [10] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [10] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [10] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [10] <= 1'b1; - end - CNT_11: - begin - temp_c_cnt_lo [11] <= usr_c_cnt_lo; - temp_c_cnt_hi [11] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [11] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [11] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [11] <= 1'b1; - end - CNT_12: - begin - temp_c_cnt_lo [12] <= usr_c_cnt_lo; - temp_c_cnt_hi [12] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [12] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [12] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [12] <= 1'b1; - end - CNT_13: - begin - temp_c_cnt_lo [13] <= usr_c_cnt_lo; - temp_c_cnt_hi [13] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [13] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [13] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [13] <= 1'b1; - end - CNT_14: - begin - temp_c_cnt_lo [14] <= usr_c_cnt_lo; - temp_c_cnt_hi [14] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [14] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [14] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [14] <= 1'b1; - end - CNT_15: - begin - temp_c_cnt_lo [15] <= usr_c_cnt_lo; - temp_c_cnt_hi [15] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [15] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [15] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [15] <= 1'b1; - end - CNT_16: - begin - temp_c_cnt_lo [16] <= usr_c_cnt_lo; - temp_c_cnt_hi [16] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [16] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [16] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [16] <= 1'b1; - end - CNT_17: - begin - temp_c_cnt_lo [17] <= usr_c_cnt_lo; - temp_c_cnt_hi [17] <= usr_c_cnt_hi; - temp_c_cnt_bypass_en [17] <= usr_c_cnt_bypass_en; - temp_c_cnt_odd_duty_div_en [17] <= usr_c_cnt_odd_duty_div_en; - c_cnt_changed [17] <= 1'b1; - end - endcase - - end - end - end - - - //logic to handle which writes the user indicated and wants to start. - assign usr_valid_changes =dsm_k_changed| any_c_cnt_changed |n_cnt_changed | m_cnt_changed | dps_changed_valid |manual_dprio_changed |cp_current_changed|bwctrl_changed|vco_changed; - - - //start the reconfig operations by writing to the DPRIO - reg break_loop; - reg [4:0] i; - always @(*) - begin - dprio_temp_read_1 = 0; - dprio_temp_read_2 = 0; - dprio_temp_m_n_c_readdata_1_d = 0; - dprio_temp_m_n_c_readdata_2_d = 0; - break_loop = 0; - dprio_next_state = DPRIO_IDLE; - avmm_dprio_write = 0; - avmm_dprio_read = 0; - avmm_dprio_address = 0; - avmm_dprio_writedata = 0; - avmm_dprio_byteen = 0; - dprio_write_done = 1; - manual_dprio_done_d = 0; - n_cnt_done_d = 0; - dsm_k_done_d = 0; - dsm_k_ready_false_done_d = 0; - m_cnt_done_d = 0; - c_cnt_done_d[17:0] = 0; - all_c_cnt_done_d = 0; - bwctrl_done_d = 0; - cp_current_done_d = 0; - vco_done_d = 0; - i = 0; - - // Deassert dprio_write_done so it doesn't reset mif_reg_asserted (toggled writes) - if (dprio_start | mif_start_assert) - dprio_write_done = 0; - - if (current_state == WAIT_ON_LOCK) - begin - case (dprio_cur_state) - ONE: - begin - if (n_cnt_changed & !n_cnt_done_q) - begin - dprio_write_done = 0; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - dprio_next_state = TWO; - avmm_dprio_address = N_CNT_DIV_ADDR; - avmm_dprio_writedata[7:0] = usr_n_cnt_lo; - avmm_dprio_writedata[15:8] = usr_n_cnt_hi; - end - else if (m_cnt_changed & !m_cnt_done_q) - begin - dprio_write_done = 0; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - dprio_next_state = TWO; - avmm_dprio_address = M_CNT_DIV_ADDR; - avmm_dprio_writedata[7:0] = usr_m_cnt_lo; - avmm_dprio_writedata[15:8] = usr_m_cnt_hi; - end - else if (any_c_cnt_changed & !all_c_cnt_done_q) - begin - - for (i = 0; (i < number_of_counters) & !break_loop; i = i + 1'b1) - begin : c_cnt_write_hilo - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - dprio_write_done = 0; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - dprio_next_state = TWO; - if (fpll_1) avmm_dprio_address = C_CNT_0_DIV_ADDR + C_CNT_0_DIV_ADDR_DPRIO_1 - i; - else avmm_dprio_address = C_CNT_0_DIV_ADDR + i; - avmm_dprio_writedata[7:0] = temp_c_cnt_lo[i]; - avmm_dprio_writedata[15:8] = temp_c_cnt_hi[i]; - //To break from the loop, since only one counter - //is addressed at a time - break_loop = 1'b1; - end - end - end - else if (dsm_k_changed & !dsm_k_done_q) - begin - dprio_write_done = 0; - avmm_dprio_write = 0; - dprio_next_state = TWO; - end - else if (bwctrl_changed & !bwctrl_done_q) - begin - dprio_write_done = 0; - avmm_dprio_write = 0; - dprio_next_state = TWO; - end - else if (cp_current_changed & !cp_current_done_q) - begin - dprio_write_done = 0; - avmm_dprio_write = 0; - dprio_next_state = TWO; - end - else if (vco_changed & !vco_done_q) - begin - dprio_write_done = 0; - avmm_dprio_write = 0; - dprio_next_state = TWO; - end - else if (manual_dprio_changed & !manual_dprio_done_q) - begin - dprio_write_done = 0; - avmm_dprio_byteen = 2'b11; - dprio_next_state = TWO; - avmm_dprio_write = usr_r_w; - avmm_dprio_address = usr_dprio_address; - avmm_dprio_writedata[15:0] = usr_dprio_writedata_0; - end - else dprio_next_state = DPRIO_IDLE; - end - - TWO: - begin - //handle reading the two setting bits on n_cnt, then - //writing them back while preserving other bits. - //Issue two consecutive reads then wait; readLatency=3 - dprio_write_done = 0; - dprio_next_state = THREE; - avmm_dprio_byteen = 2'b11; - avmm_dprio_read = 1'b1; - if (n_cnt_changed & !n_cnt_done_q) - begin - avmm_dprio_address = N_CNT_BYPASS_EN_ADDR; - end - else if (m_cnt_changed & !m_cnt_done_q) - begin - avmm_dprio_address = M_CNT_BYPASS_EN_ADDR; - end - - else if (any_c_cnt_changed & !all_c_cnt_done_q) - begin - for (i = 0; (i < number_of_counters) & !break_loop; i = i + 1'b1) - begin : c_cnt_read_bypass - if (fpll_1) - begin - if (i > 13) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_BYPASS_EN_ADDR; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_BYPASS_EN_ADDR; - break_loop = 1'b1; - end - end - end - else - begin - if (i < 4) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_BYPASS_EN_ADDR; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_BYPASS_EN_ADDR; - break_loop = 1'b1; - end - end - end - end - end - //reading the K ready 16 bit word. Need to write 0 to it - //afterwards to indicate that K has not been done writing - else if (dsm_k_changed & !dsm_k_done_q) - begin - avmm_dprio_address = DSM_K_READY_ADDR; - dprio_next_state = FOUR; - end - else if (bwctrl_changed & !bwctrl_done_q) - begin - avmm_dprio_address = BWCTRL_ADDR; - dprio_next_state = FOUR; - end - else if (cp_current_changed & !cp_current_done_q) - begin - avmm_dprio_address = CP_CURRENT_ADDR; - dprio_next_state = FOUR; - end - else if (vco_changed & !vco_done_q) - begin - avmm_dprio_address = VCO_ADDR; - dprio_next_state = FOUR; - end - else if (manual_dprio_changed & !manual_dprio_done_q) - begin - avmm_dprio_read = ~usr_r_w; - avmm_dprio_address = usr_dprio_address; - dprio_next_state = DPRIO_DONE; - end - else dprio_next_state = DPRIO_IDLE; - end - THREE: - begin - dprio_write_done = 0; - avmm_dprio_byteen = 2'b11; - avmm_dprio_read = 1'b1; - dprio_next_state = FOUR; - if (n_cnt_changed & !n_cnt_done_q) - begin - avmm_dprio_address = N_CNT_ODD_DIV_EN_ADDR; - end - else if (m_cnt_changed & !m_cnt_done_q) - begin - avmm_dprio_address = M_CNT_ODD_DIV_EN_ADDR; - end - else if (any_c_cnt_changed & !all_c_cnt_done_q) - begin - for (i = 0; (i < number_of_counters) & !break_loop; i = i + 1'b1) - begin : c_cnt_read_odd_div - if (fpll_1) - begin - if (i > 13) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_ODD_DIV_EN_ADDR; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_ODD_DIV_EN_ADDR; - break_loop = 1'b1; - end - end - end - else - begin - if (i < 4) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_ODD_DIV_EN_ADDR; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_ODD_DIV_EN_ADDR; - break_loop = 1'b1; - end - end - end - end - end - else dprio_next_state = DPRIO_IDLE; - end - FOUR: - begin - dprio_temp_read_1 = 1'b1; - dprio_write_done = 0; - if (vco_changed|cp_current_changed|bwctrl_changed|dsm_k_changed|n_cnt_changed|m_cnt_changed|any_c_cnt_changed) - begin - dprio_temp_m_n_c_readdata_1_d = dprio_readdata; - dprio_next_state = FIVE; - end - else dprio_next_state = DPRIO_IDLE; - end - FIVE: - begin - dprio_write_done = 0; - dprio_temp_read_2 = 1'b1; - if (vco_changed|cp_current_changed|bwctrl_changed|dsm_k_changed|n_cnt_changed|m_cnt_changed|any_c_cnt_changed) - begin - //this is where DSM ready value comes. - //Need to store in a register to be used later - dprio_temp_m_n_c_readdata_2_d = dprio_readdata; - dprio_next_state = SIX; - end - else dprio_next_state = DPRIO_IDLE; - end - SIX: - begin - dprio_write_done = 0; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - dprio_next_state = SEVEN; - avmm_dprio_writedata = dprio_temp_m_n_c_readdata_1_q; - if (n_cnt_changed & !n_cnt_done_q) - begin - avmm_dprio_address = N_CNT_BYPASS_EN_ADDR; - avmm_dprio_writedata[5] = usr_n_cnt_bypass_en; - end - else if (m_cnt_changed & !m_cnt_done_q) - begin - avmm_dprio_address = M_CNT_BYPASS_EN_ADDR; - avmm_dprio_writedata[4] = usr_m_cnt_bypass_en; - end - else if (any_c_cnt_changed & !all_c_cnt_done_q) - begin - for (i = 0; (i < number_of_counters) & !break_loop; i = i + 1'b1) - begin : c_cnt_write_bypass - if (fpll_1) - begin - if (i > 13) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_BYPASS_EN_ADDR; - avmm_dprio_writedata[i-14] = temp_c_cnt_bypass_en[i]; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_BYPASS_EN_ADDR; - avmm_dprio_writedata[i] = temp_c_cnt_bypass_en[i]; - break_loop = 1'b1; - end - end - end - else - begin - if (i < 4) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_BYPASS_EN_ADDR; - avmm_dprio_writedata[3-i] = temp_c_cnt_bypass_en[i]; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_BYPASS_EN_ADDR; - avmm_dprio_writedata[17-i] = temp_c_cnt_bypass_en[i]; - break_loop = 1'b1; - end - end - end - end - end - else if (dsm_k_changed & !dsm_k_done_q) - begin - avmm_dprio_write = 0; - end - else if (bwctrl_changed & !bwctrl_done_q) - begin - avmm_dprio_write = 0; - end - else if (cp_current_changed & !cp_current_done_q) - begin - avmm_dprio_write = 0; - end - else if (vco_changed & !vco_done_q) - begin - avmm_dprio_write = 0; - end - else dprio_next_state = DPRIO_IDLE; - end - SEVEN: - begin - dprio_write_done = 0; - dprio_next_state = EIGHT; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - avmm_dprio_writedata = dprio_temp_m_n_c_readdata_2_q; - if (n_cnt_changed & !n_cnt_done_q) - begin - avmm_dprio_address = N_CNT_ODD_DIV_EN_ADDR; - avmm_dprio_writedata[5] = usr_n_cnt_odd_duty_div_en; - n_cnt_done_d = 1'b1; - end - else if (m_cnt_changed & !m_cnt_done_q) - begin - avmm_dprio_address = M_CNT_ODD_DIV_EN_ADDR; - avmm_dprio_writedata[4] = usr_m_cnt_odd_duty_div_en; - m_cnt_done_d = 1'b1; - end - - else if (any_c_cnt_changed & !all_c_cnt_done_q) - begin - for (i = 0; (i < number_of_counters) & !break_loop; i = i + 1'b1) - begin : c_cnt_write_odd_div - if (fpll_1) - begin - if (i > 13) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_ODD_DIV_EN_ADDR; - avmm_dprio_writedata[i-14] = temp_c_cnt_odd_duty_div_en[i]; - c_cnt_done_d[i] = 1'b1; - //have to OR the signals to prevent - //overwriting of previous dones - c_cnt_done_d = c_cnt_done_d | c_cnt_done_q; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_ODD_DIV_EN_ADDR; - avmm_dprio_writedata[i] = temp_c_cnt_odd_duty_div_en[i]; - c_cnt_done_d[i] = 1'b1; - c_cnt_done_d = c_cnt_done_d | c_cnt_done_q; - break_loop = 1'b1; - end - end - end - else - begin - if (i < 4) - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_0_3_ODD_DIV_EN_ADDR; - avmm_dprio_writedata[3-i] = temp_c_cnt_odd_duty_div_en[i]; - c_cnt_done_d[i] = 1'b1; - //have to OR the signals to prevent - //overwriting of previous dones - c_cnt_done_d = c_cnt_done_d | c_cnt_done_q; - break_loop = 1'b1; - end - end - else - begin - if (c_cnt_changed[i] & !c_cnt_done_q[i]) - begin - avmm_dprio_address = C_CNT_4_17_ODD_DIV_EN_ADDR; - avmm_dprio_writedata[17-i] = temp_c_cnt_odd_duty_div_en[i]; - c_cnt_done_d[i] = 1'b1; - c_cnt_done_d = c_cnt_done_d | c_cnt_done_q; - break_loop = 1'b1; - end - end - end - end - end - else if (dsm_k_changed & !dsm_k_done_q) - begin - avmm_dprio_address = DSM_K_READY_ADDR; - avmm_dprio_writedata[DSM_K_READY_BIT_INDEX] = 1'b0; - dsm_k_ready_false_done_d = 1'b1; - end - else if (bwctrl_changed & !bwctrl_done_q) - begin - avmm_dprio_address = BWCTRL_ADDR; - avmm_dprio_writedata[3:0] = usr_bwctrl_value; - bwctrl_done_d = 1'b1; - end - else if (cp_current_changed & !cp_current_done_q) - begin - avmm_dprio_address = CP_CURRENT_ADDR; - avmm_dprio_writedata[2:0] = usr_cp_current_value; - cp_current_done_d = 1'b1; - end - else if (vco_changed & !vco_done_q) - begin - avmm_dprio_address = VCO_ADDR; - avmm_dprio_writedata[8] = usr_vco_value; - vco_done_d = 1'b1; - end - - - //if all C_cnt that were changed are done, then assert all_c_cnt_done - if (c_cnt_done_d == c_cnt_changed) - all_c_cnt_done_d = 1'b1; - if (n_cnt_changed & n_cnt_done_d) - dprio_next_state = DPRIO_DONE; - if (any_c_cnt_changed & !all_c_cnt_done_d & !all_c_cnt_done_q) - dprio_next_state = ONE; - else if (m_cnt_changed & !m_cnt_done_d & !m_cnt_done_q) - dprio_next_state = ONE; - else if (dsm_k_changed & !dsm_k_ready_false_done_d) - dprio_next_state = TWO; - else if (dsm_k_changed & !dsm_k_done_q) - dprio_next_state = EIGHT; - else if (bwctrl_changed & !bwctrl_done_d) - dprio_next_state = TWO; - else if (cp_current_changed & !cp_current_done_d) - dprio_next_state = TWO; - else if (vco_changed & !vco_done_d) - dprio_next_state = TWO; - else - begin - dprio_next_state = DPRIO_DONE; - dprio_write_done = 1'b1; - end - end - //finish the rest of the DSM reads/writes - //writing k value, writing k_ready to 1. - EIGHT: - begin - dprio_write_done = 0; - dprio_next_state = NINE; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - if (dsm_k_changed & !dsm_k_done_q) - begin - avmm_dprio_address = DSM_K_FRACTIONAL_DIVISION_ADDR_0; - avmm_dprio_writedata[15:0] = usr_k_value[15:0]; - end - end - NINE: - begin - dprio_write_done = 0; - dprio_next_state = TEN; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - if (dsm_k_changed & !dsm_k_done_q) - begin - avmm_dprio_address = DSM_K_FRACTIONAL_DIVISION_ADDR_1; - avmm_dprio_writedata[15:0] = usr_k_value[31:16]; - end - end - TEN: - begin - dprio_write_done = 0; - dprio_next_state = ONE; - avmm_dprio_write = 1'b1; - avmm_dprio_byteen = 2'b11; - if (dsm_k_changed & !dsm_k_done_q) - begin - avmm_dprio_address = DSM_K_READY_ADDR; - //already have the readdata for DSM_K_READY_ADDR since we read it - //earlier. Just reuse here - avmm_dprio_writedata = dprio_temp_m_n_c_readdata_2_q; - avmm_dprio_writedata[DSM_K_READY_BIT_INDEX] = 1'b1; - dsm_k_done_d = 1'b1; - end - end - DPRIO_DONE: - begin - dprio_write_done = 1'b1; - if (dprio_start) dprio_next_state = DPRIO_IDLE; - else dprio_next_state = DPRIO_DONE; - end - DPRIO_IDLE: - begin - if (dprio_start) dprio_next_state = ONE; - else dprio_next_state = DPRIO_IDLE; - end - default: dprio_next_state = 4'bxxxx; - endcase - end - - end - - - //assert the waitreq signal according to the state of the slave - assign slave_waitrequest = (slave_mode==mode_WR) ? ((locked === 1'b1) ? (((current_state==WAIT_ON_LOCK) & !dprio_write_done) | !dps_done |reset|!dprio_init_done) : 1'b1) : 1'b0; - - // Read operations - always @(*) - begin - status = 0; - if (slave_mode == mode_POLL) - //asserting status to 1 if the slave is done. - status = (current_state == LOCKED); - end - //************************************************************// - //************************************************************// - //******************** READ STATE MACHINE ********************// - //************************************************************// - //************************************************************// - reg [1:0] current_read_state; - reg [1:0] next_read_state; - reg [5:0] slave_address_int_d; - reg [5:0] slave_address_int_q; - reg dprio_read_1; - reg [5:0] dprio_address_1; - reg [1:0] dprio_byteen_1; - reg [4:0] usr_cnt_sel_1; - localparam READ = 2'b00, READ_WAIT = 2'b01, READ_IDLE = 2'b10, READ_POST_WAIT = 2'b11; - - always @(*) - begin - if(next_read_state == READ_IDLE) - begin - read_waitrequest <= 1'b0; - end - else - begin - read_waitrequest <= 1'b1; - end - end - - always @(posedge clk) - begin - if (reset) - begin - current_read_state <= READ_IDLE; - slave_address_int_q <= 0; - slave_readdata_q <= 0; - end - else - begin - current_read_state <= next_read_state; - slave_address_int_q <= slave_address_int_d; - slave_readdata_q <= slave_readdata_d; - end - end - always @(*) - begin - dprio_read_1 = 0; - dprio_address_1 = 0; - dprio_byteen_1 = 0; - slave_address_int_d = 0; - slave_readdata_d = 0; - status_read = 0; - usr_cnt_sel_1 = 0; - case(current_read_state) - READ_IDLE: - begin - slave_address_int_d = 0; - next_read_state = READ_IDLE; - if ((current_state != WAIT_ON_LOCK) && slave_read) - begin - slave_address_int_d = slave_address; - if ((slave_address >= CNT_BASE) && (slave_address < CNT_BASE+18)) - begin - next_read_state = READ_WAIT; - dprio_byteen_1 = 2'b11; - dprio_read_1 = 1'b1; - usr_cnt_sel_1 = (slave_address[4:0] - CNT_BASE); - if (fpll_1) dprio_address_1 = C_CNT_0_DIV_ADDR + C_CNT_0_DIV_ADDR_DPRIO_1 - cnt_sel; - else dprio_address_1 = C_CNT_0_DIV_ADDR + cnt_sel; - end - else - begin - case (slave_address) - MODE_REG: - begin - next_read_state = READ_WAIT; - slave_readdata_d = slave_mode; - end - STATUS_REG: - begin - next_read_state = READ_WAIT; - status_read = 1'b1; - slave_readdata_d = status; - end - N_REG: - begin - dprio_byteen_1 = 2'b11; - dprio_read_1 = 1'b1; - dprio_address_1 = N_CNT_DIV_ADDR; - next_read_state = READ_WAIT; - end - M_REG: - begin - dprio_byteen_1 = 2'b11; - dprio_read_1 = 1'b1; - dprio_address_1 = M_CNT_DIV_ADDR; - next_read_state = READ_WAIT; - end - BWCTRL_REG: - begin - dprio_byteen_1 = 2'b11; - dprio_read_1 = 1'b1; - dprio_address_1 = BWCTRL_ADDR; - next_read_state = READ_WAIT; - end - CP_CURRENT_REG: - begin - dprio_byteen_1 = 2'b11; - dprio_read_1 = 1'b1; - dprio_address_1 = CP_CURRENT_ADDR; - next_read_state = READ_WAIT; - end - VCO_REG: - begin - dprio_byteen_1 = 2'b11; - dprio_read_1 = 1'b1; - dprio_address_1 = VCO_ADDR; - next_read_state = READ_WAIT; - end - ANY_DPRIO: - begin - dprio_byteen_1 = 2'b11; - dprio_read_1 = ~slave_writedata[22]; - dprio_address_1 = slave_writedata[5:0]; - next_read_state = READ_WAIT; - end - default : next_read_state = READ_IDLE; - endcase - end - end - else - next_read_state = READ_IDLE; - end - READ_WAIT: - begin - next_read_state = READ; - slave_address_int_d = slave_address_int_q; - case (slave_address_int_q) - MODE_REG: - begin - slave_readdata_d = slave_readdata_q; - end - STATUS_REG: - begin - slave_readdata_d = slave_readdata_q; - end - endcase - end - READ: - begin - next_read_state = READ_POST_WAIT; - slave_address_int_d = slave_address_int_q; - slave_readdata_d = dprio_readdata; - case (slave_address_int_q) - MODE_REG: - begin - slave_readdata_d = slave_readdata_q; - end - STATUS_REG: - begin - slave_readdata_d = slave_readdata_q; - end - BWCTRL_REG: - begin - slave_readdata_d = dprio_readdata[3:0]; - end - CP_CURRENT_REG: - begin - slave_readdata_d = dprio_readdata[2:0]; - end - VCO_REG: - begin - slave_readdata_d = dprio_readdata[8]; - end - ANY_DPRIO: - begin - slave_readdata_d = dprio_readdata; - end - endcase - end - READ_POST_WAIT: - begin - next_read_state = READ_IDLE; - end - default: next_read_state = 2'bxx; - endcase - end - - - dyn_phase_shift dyn_phase_shift_inst ( - .clk(clk), - .reset(reset), - .phase_done(phase_done), - .pll_start_valid(pll_start_valid), - .dps_changed(dps_changed), - .dps_changed_valid(dps_changed_valid), - .dprio_write_done(dprio_write_done), - .usr_num_shifts(usr_num_shifts), - .usr_cnt_sel(usr_cnt_sel|usr_cnt_sel_1), - .usr_up_dn(usr_up_dn), - .locked(locked), - .dps_done(dps_done), - .phase_en(phase_en), - .up_dn(up_dn), - .cnt_sel(cnt_sel)); - defparam dyn_phase_shift_inst.device_family = device_family; - - assign dprio_clk = clk; - self_reset self_reset_inst (mgmt_reset, clk, reset, dprio_init_reset); - - dprio_mux dprio_mux_inst ( - .init_dprio_address(init_dprio_address), - .init_dprio_read(init_dprio_read), - .init_dprio_byteen(init_dprio_byteen), - .init_dprio_write(init_dprio_write), - .init_dprio_writedata(init_dprio_writedata), - - - .init_atpgmode(init_atpgmode), - .init_mdio_dis(init_mdio_dis), - .init_scanen(init_scanen), - .init_ser_shift_load(init_ser_shift_load), - .dprio_init_done(dprio_init_done), - - // Inputs from avmm master - .avmm_dprio_address(avmm_dprio_address | dprio_address_1), - .avmm_dprio_read(avmm_dprio_read | dprio_read_1), - .avmm_dprio_byteen(avmm_dprio_byteen | dprio_byteen_1), - .avmm_dprio_write(avmm_dprio_write), - .avmm_dprio_writedata(avmm_dprio_writedata), - - .avmm_atpgmode(avmm_atpgmode), - .avmm_mdio_dis(avmm_mdio_dis), - .avmm_scanen(avmm_scanen), - - // Outputs to fpll - .dprio_address(dprio_address), - .dprio_read(dprio_read), - .dprio_byteen(dprio_byteen), - .dprio_write(dprio_write), - .dprio_writedata(dprio_writedata), - - .atpgmode(dprio_atpgmode), - .mdio_dis(dprio_mdio_dis), - .scanen(dprio_scanen), - .ser_shift_load(dprio_ser_shift_load) - ); - - - fpll_dprio_init fpll_dprio_init_inst ( - .clk(clk), - .reset_n(~reset), - .locked(locked), - - //outputs - .dprio_address(init_dprio_address), - .dprio_read(init_dprio_read), - .dprio_byteen(init_dprio_byteen), - .dprio_write(init_dprio_write), - .dprio_writedata(init_dprio_writedata), - - .atpgmode(init_atpgmode), - .mdio_dis(init_mdio_dis), - .scanen(init_scanen), - .ser_shift_load(init_ser_shift_load), - .dprio_init_done(dprio_init_done)); - - //address luts, to be reconfigged by the Fitter - //FPLL_1 or 0 address lut - generic_lcell_comb lcell_fpll_0_1 ( - .dataa(1'b0), - .combout (fpll_1)); - defparam lcell_fpll_0_1.lut_mask = 64'hAAAAAAAAAAAAAAAA; - defparam lcell_fpll_0_1.dont_touch = "on"; - defparam lcell_fpll_0_1.family = device_family; - - - wire dprio_read_combout; - generic_lcell_comb lcell_dprio_read ( - .dataa(fpll_1), - .datab(dprio_read), - .datac(1'b0), - .datad(1'b0), - .datae(1'b0), - .dataf(1'b0), - .combout (dprio_read_combout)); - defparam lcell_dprio_read.lut_mask = 64'hCCCCCCCCCCCCCCCC; - defparam lcell_dprio_read.dont_touch = "on"; - defparam lcell_dprio_read.family = device_family; - - - - - - //assign reconfig_to_pll signals - assign reconfig_to_pll[0] = dprio_clk; - assign reconfig_to_pll[1] = ~dprio_init_reset; - assign reconfig_to_pll[2] = dprio_write; - assign reconfig_to_pll[3] = dprio_read_combout; - assign reconfig_to_pll[9:4] = dprio_address; - assign reconfig_to_pll[25:10] = dprio_writedata; - assign reconfig_to_pll[27:26] = dprio_byteen; - assign reconfig_to_pll[28] = dprio_ser_shift_load; - assign reconfig_to_pll[29] = dprio_mdio_dis; - assign reconfig_to_pll[30] = phase_en; - assign reconfig_to_pll[31] = up_dn; - assign reconfig_to_pll[36:32] = cnt_sel; - assign reconfig_to_pll[37] = dprio_scanen; - assign reconfig_to_pll[38] = dprio_atpgmode; - //assign reconfig_to_pll[40:37] = clken; - assign reconfig_to_pll[63:39] = 0; - - //assign reconfig_from_pll signals - assign dprio_readdata = reconfig_from_pll [15:0]; - assign locked_orig = reconfig_from_pll [16]; - assign phase_done = reconfig_from_pll [17]; - -endmodule -module self_reset (input wire mgmt_reset, input wire clk, output wire reset, output wire init_reset); - - localparam RESET_COUNTER_VALUE = 3'd2; - localparam INITIAL_WAIT_VALUE = 9'd340; - reg [9:0]counter; - reg local_reset; - reg usr_mode_init_wait; - initial - begin - local_reset = 1'b1; - counter = 0; - usr_mode_init_wait = 0; - end - - always @(posedge clk) - begin - if (mgmt_reset) - begin - counter <= 0; - end - else - begin - if (!usr_mode_init_wait) - begin - if (counter == INITIAL_WAIT_VALUE) - begin - local_reset <= 0; - usr_mode_init_wait <= 1'b1; - counter <= 0; - end - else - begin - counter <= counter + 1'b1; - end - end - else - begin - if (counter == RESET_COUNTER_VALUE) - local_reset <= 0; - else - counter <= counter + 1'b1; - end - end - end - assign reset = mgmt_reset | local_reset; - assign init_reset = local_reset; -endmodule - -module dprio_mux ( - // Inputs from init block - input [ 5:0] init_dprio_address, - input init_dprio_read, - input [ 1:0] init_dprio_byteen, - input init_dprio_write, - input [15:0] init_dprio_writedata, - - input init_atpgmode, - input init_mdio_dis, - input init_scanen, - input init_ser_shift_load, - input dprio_init_done, - - // Inputs from avmm master - input [ 5:0] avmm_dprio_address, - input avmm_dprio_read, - input [ 1:0] avmm_dprio_byteen, - input avmm_dprio_write, - input [15:0] avmm_dprio_writedata, - - input avmm_atpgmode, - input avmm_mdio_dis, - input avmm_scanen, - input avmm_ser_shift_load, - - // Outputs to fpll - output [ 5:0] dprio_address, - output dprio_read, - output [ 1:0] dprio_byteen, - output dprio_write, - output [15:0] dprio_writedata, - - output atpgmode, - output mdio_dis, - output scanen, - output ser_shift_load -); - - assign dprio_address = dprio_init_done ? avmm_dprio_address : init_dprio_address; - assign dprio_read = dprio_init_done ? avmm_dprio_read : init_dprio_read; - assign dprio_byteen = dprio_init_done ? avmm_dprio_byteen : init_dprio_byteen; - assign dprio_write = dprio_init_done ? avmm_dprio_write : init_dprio_write; - assign dprio_writedata = dprio_init_done ? avmm_dprio_writedata : init_dprio_writedata; - - assign atpgmode = init_atpgmode; - assign scanen = init_scanen; - assign mdio_dis = init_mdio_dis; - assign ser_shift_load = init_ser_shift_load ; -endmodule -module fpll_dprio_init ( - input clk, - input reset_n, - input locked, - - output [ 5:0] dprio_address, - output dprio_read, - output [ 1:0] dprio_byteen, - output dprio_write, - output [15:0] dprio_writedata, - - output reg atpgmode, - output reg mdio_dis, - output reg scanen, - output reg ser_shift_load, - output reg dprio_init_done -); - - reg [1:0] rst_n = 2'b00; - reg [6:0] count = 7'd0; - reg init_done_forever; - - // Internal versions of control signals - wire int_mdio_dis; - wire int_ser_shift_load; - wire int_dprio_init_done; - wire int_atpgmode/*synthesis keep*/; - wire int_scanen/*synthesis keep*/; - - - assign dprio_address = count[6] ? 5'b0 : count[5:0] ; - assign dprio_byteen = 2'b11; // always enabled - assign dprio_write = ~count[6] & reset_n ; // write for first 64 cycles - assign dprio_read = 1'b0; - assign dprio_writedata = 16'd0; - - assign int_ser_shift_load = count[6] ? |count[2:1] : 1'b1; - assign int_mdio_dis = count[6] ? ~count[2] : 1'b1; - assign int_dprio_init_done = ~init_done_forever ? (count[6] ? &count[2:0] : 1'b0) - : 1'b1; - assign int_atpgmode = 0; - assign int_scanen = 0; - - initial begin - count = 7'd0; - init_done_forever = 0; - mdio_dis = 1'b1; - ser_shift_load = 1'b1; - dprio_init_done = 1'b0; - scanen = 1'b0; - atpgmode = 1'b0; - end - - // reset synch. - always @(posedge clk or negedge reset_n) - if(!reset_n) rst_n <= 2'b00; - else rst_n <= {rst_n[0],1'b1}; - - // counter - always @(posedge clk) - begin - if (!rst_n[1]) - init_done_forever <= 1'b0; - else - begin - if (count[6] && &count[1:0]) - init_done_forever <= 1'b1; - end - end - always @(posedge clk or negedge rst_n[1]) - begin - if(!rst_n[1]) - begin - count <= 7'd0; - end - else if(~int_dprio_init_done) - begin - count <= count + 7'd1; - end - else - begin - count <= count; - end - end - - // outputs - always @(posedge clk) begin - mdio_dis <= int_mdio_dis; - ser_shift_load <= int_ser_shift_load; - dprio_init_done <= int_dprio_init_done; - atpgmode <= int_atpgmode; - scanen <= int_scanen; - end - -endmodule -module dyn_phase_shift -#( - parameter device_family = "Stratix V" -) ( - - input wire clk, - input wire reset, - input wire phase_done, - input wire pll_start_valid, - input wire dps_changed, - input wire dprio_write_done, - input wire [15:0] usr_num_shifts, - input wire [4:0] usr_cnt_sel, - input wire usr_up_dn, - input wire locked, - - //output - output wire dps_done, - output reg phase_en, - output wire up_dn, - output wire dps_changed_valid, - output wire [4:0] cnt_sel); - - - - reg first_phase_shift_d; - reg first_phase_shift_q; - reg [15:0] phase_en_counter; - reg [3:0] dps_current_state; - reg [3:0] dps_next_state; - localparam DPS_START = 4'd0, DPS_WAIT_PHASE_DONE = 4'd1, DPS_DONE = 4'd2, DPS_WAIT_PHASE_EN = 4'd3, DPS_WAIT_DPRIO_WRITING = 4'd4, DPS_CHANGED = 4'd5; - localparam PHASE_EN_WAIT_COUNTER = 5'd1; - - reg [15:0] shifts_done_counter; - reg phase_done_final; - wire gnd /*synthesis keep*/; - - //fsm - //always block controlling the state regs - always @(posedge clk) - begin - if (reset) - begin - dps_current_state <= DPS_DONE; - end - else - begin - dps_current_state <= dps_next_state; - end - end - //the combinational part. assigning the next state - //this turns on the phase_done_final signal when phase_done does this: - //_____ ______ - // |______| - always @(*) - begin - phase_done_final = 0; - first_phase_shift_d = 0; - phase_en = 0; - dps_next_state = DPS_DONE; - case (dps_current_state) - DPS_START: - begin - phase_en = 1'b1; - dps_next_state = DPS_WAIT_PHASE_EN; - end - DPS_WAIT_PHASE_EN: - begin - phase_en = 1'b1; - if (first_phase_shift_q) - begin - first_phase_shift_d = 1'b1; - dps_next_state = DPS_WAIT_PHASE_EN; - end - else - begin - if (phase_en_counter == PHASE_EN_WAIT_COUNTER) - dps_next_state = DPS_WAIT_PHASE_DONE; - else dps_next_state = DPS_WAIT_PHASE_EN; - end - end - DPS_WAIT_PHASE_DONE: - begin - if (!phase_done | !locked) - begin - dps_next_state = DPS_WAIT_PHASE_DONE; - end - else - begin - if ((usr_num_shifts != shifts_done_counter) & (usr_num_shifts != 0)) - begin - dps_next_state = DPS_START; - phase_done_final = 1'b1; - end - else - begin - dps_next_state = DPS_DONE; - end - - end - end - DPS_DONE: - begin - phase_done_final = 0; - if (dps_changed) - dps_next_state = DPS_CHANGED; - else dps_next_state = DPS_DONE; - - end - DPS_CHANGED: - begin - if (pll_start_valid) - dps_next_state = DPS_WAIT_DPRIO_WRITING; - else - dps_next_state = DPS_CHANGED; - end - DPS_WAIT_DPRIO_WRITING: - begin - if (dprio_write_done) - dps_next_state = DPS_START; - else - dps_next_state = DPS_WAIT_DPRIO_WRITING; - end - - default: dps_next_state = 4'bxxxx; - endcase - - - end - - always @(posedge clk) - begin - - - if (dps_current_state == DPS_WAIT_PHASE_DONE) - phase_en_counter <= 0; - else if (dps_current_state == DPS_WAIT_PHASE_EN) - phase_en_counter <= phase_en_counter + 1'b1; - - if (reset) - begin - phase_en_counter <= 0; - shifts_done_counter <= 1'b1; - first_phase_shift_q <= 1; - end - else - begin - if (first_phase_shift_d) - first_phase_shift_q <= 0; - if (dps_done) - begin - shifts_done_counter <= 1'b1; - end - else - begin - if (phase_done_final & (dps_next_state!= DPS_DONE)) - shifts_done_counter <= shifts_done_counter + 1'b1; - else - shifts_done_counter <= shifts_done_counter; - end - end - end - - assign dps_changed_valid = (dps_current_state == DPS_CHANGED); - assign dps_done =(dps_current_state == DPS_DONE) | (dps_current_state == DPS_CHANGED); - assign up_dn = usr_up_dn; - assign gnd = 1'b0; - - //cnt select luts (5) - generic_lcell_comb lcell_cnt_sel_0 ( - .dataa(usr_cnt_sel[0]), - .datab(usr_cnt_sel[1]), - .datac(usr_cnt_sel[2]), - .datad(usr_cnt_sel[3]), - .datae(usr_cnt_sel[4]), - .dataf(gnd), - .combout (cnt_sel[0])); - defparam lcell_cnt_sel_0.lut_mask = 64'hAAAAAAAAAAAAAAAA; - defparam lcell_cnt_sel_0.dont_touch = "on"; - defparam lcell_cnt_sel_0.family = device_family; - generic_lcell_comb lcell_cnt_sel_1 ( - .dataa(usr_cnt_sel[0]), - .datab(usr_cnt_sel[1]), - .datac(usr_cnt_sel[2]), - .datad(usr_cnt_sel[3]), - .datae(usr_cnt_sel[4]), - .dataf(gnd), - .combout (cnt_sel[1])); - defparam lcell_cnt_sel_1.lut_mask = 64'hCCCCCCCCCCCCCCCC; - defparam lcell_cnt_sel_1.dont_touch = "on"; - defparam lcell_cnt_sel_1.family = device_family; - generic_lcell_comb lcell_cnt_sel_2 ( - .dataa(usr_cnt_sel[0]), - .datab(usr_cnt_sel[1]), - .datac(usr_cnt_sel[2]), - .datad(usr_cnt_sel[3]), - .datae(usr_cnt_sel[4]), - .dataf(gnd), - .combout (cnt_sel[2])); - defparam lcell_cnt_sel_2.lut_mask = 64'hF0F0F0F0F0F0F0F0; - defparam lcell_cnt_sel_2.dont_touch = "on"; - defparam lcell_cnt_sel_2.family = device_family; - generic_lcell_comb lcell_cnt_sel_3 ( - .dataa(usr_cnt_sel[0]), - .datab(usr_cnt_sel[1]), - .datac(usr_cnt_sel[2]), - .datad(usr_cnt_sel[3]), - .datae(usr_cnt_sel[4]), - .dataf(gnd), - .combout (cnt_sel[3])); - defparam lcell_cnt_sel_3.lut_mask = 64'hFF00FF00FF00FF00; - defparam lcell_cnt_sel_3.dont_touch = "on"; - defparam lcell_cnt_sel_3.family = device_family; - generic_lcell_comb lcell_cnt_sel_4 ( - .dataa(usr_cnt_sel[0]), - .datab(usr_cnt_sel[1]), - .datac(usr_cnt_sel[2]), - .datad(usr_cnt_sel[3]), - .datae(usr_cnt_sel[4]), - .dataf(gnd), - .combout (cnt_sel[4])); - defparam lcell_cnt_sel_4.lut_mask = 64'hFFFF0000FFFF0000; - defparam lcell_cnt_sel_4.dont_touch = "on"; - defparam lcell_cnt_sel_4.family = device_family; - - -endmodule - -module generic_lcell_comb -#( - //parameter - parameter family = "Stratix V", - parameter lut_mask = 64'hAAAAAAAAAAAAAAAA, - parameter dont_touch = "on" -) ( - - input dataa, - input datab, - input datac, - input datad, - input datae, - input dataf, - - output combout -); - - generate - if (family == "Stratix V") - begin - stratixv_lcell_comb lcell_inst ( - .dataa(dataa), - .datab(datab), - .datac(datac), - .datad(datad), - .datae(datae), - .dataf(dataf), - .combout (combout)); - defparam lcell_inst.lut_mask = lut_mask; - defparam lcell_inst.dont_touch = dont_touch; - end - else if (family == "Arria V") - begin - arriav_lcell_comb lcell_inst ( - .dataa(dataa), - .datab(datab), - .datac(datac), - .datad(datad), - .datae(datae), - .dataf(dataf), - .combout (combout)); - defparam lcell_inst.lut_mask = lut_mask; - defparam lcell_inst.dont_touch = dont_touch; - end - else if (family == "Arria V GZ") - begin - arriavgz_lcell_comb lcell_inst ( - .dataa(dataa), - .datab(datab), - .datac(datac), - .datad(datad), - .datae(datae), - .dataf(dataf), - .combout (combout)); - defparam lcell_inst.lut_mask = lut_mask; - defparam lcell_inst.dont_touch = dont_touch; - end - else if (family == "Cyclone V") - begin - cyclonev_lcell_comb lcell_inst ( - .dataa(dataa), - .datab(datab), - .datac(datac), - .datad(datad), - .datae(datae), - .dataf(dataf), - .combout (combout)); - defparam lcell_inst.lut_mask = lut_mask; - defparam lcell_inst.dont_touch = dont_touch; - end - endgenerate -endmodule diff --git a/target/pocket/pll_reconfig/altera_pll_reconfig_top.v b/target/pocket/pll_reconfig/altera_pll_reconfig_top.v deleted file mode 100644 index 2cf9ce5..0000000 --- a/target/pocket/pll_reconfig/altera_pll_reconfig_top.v +++ /dev/null @@ -1,428 +0,0 @@ -// (C) 2001-2022 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -`timescale 1ps/1ps - -module altera_pll_reconfig_top -#( - parameter reconf_width = 64, - parameter device_family = "Stratix V", - parameter RECONFIG_ADDR_WIDTH = 6, - parameter RECONFIG_DATA_WIDTH = 32, - - parameter ROM_ADDR_WIDTH = 9, - parameter ROM_DATA_WIDTH = 32, - parameter ROM_NUM_WORDS = 512, - - parameter ENABLE_MIF = 0, - parameter MIF_FILE_NAME = "", - - parameter ENABLE_BYTEENABLE = 0, - parameter BYTEENABLE_WIDTH = 4, - parameter WAIT_FOR_LOCK = 1 -) ( - - //input - input wire mgmt_clk, - input wire mgmt_reset, - - - //conduits - output wire [reconf_width-1:0] reconfig_to_pll, - input wire [reconf_width-1:0] reconfig_from_pll, - - // user data (avalon-MM slave interface) - output wire [RECONFIG_DATA_WIDTH-1:0] mgmt_readdata, - output wire mgmt_waitrequest, - input wire [RECONFIG_ADDR_WIDTH-1:0] mgmt_address, - input wire mgmt_read, - input wire mgmt_write, - input wire [RECONFIG_DATA_WIDTH-1:0] mgmt_writedata, - - //conditional input - input wire [BYTEENABLE_WIDTH-1:0] mgmt_byteenable -); - -localparam NM28_START_REG = 6'b000010; -localparam NM20_START_REG = 9'b000000000; -localparam NM20_MIFSTART_ADDR = 9'b000010000; - -localparam MIF_STATE_DONE = 2'b00; -localparam MIF_STATE_START = 2'b01; -localparam MIF_STATE_BUSY = 2'b10; - -wire mgmt_byteenable_write; -assign mgmt_byteenable_write = (ENABLE_BYTEENABLE == 1) ? - ((mgmt_byteenable == {BYTEENABLE_WIDTH{1'b1}}) ? mgmt_write : 1'b0) : - mgmt_write; - -generate -if (device_family == "Arria 10") -begin:nm20_reconfig - if(ENABLE_MIF == 1) - begin:mif_reconfig_20nm // Generate Reconfig with MIF - - // MIF-related regs/wires - reg [RECONFIG_ADDR_WIDTH-1:0] reconfig_mgmt_addr; - reg reconfig_mgmt_read; - reg reconfig_mgmt_write; - reg [RECONFIG_DATA_WIDTH-1:0] reconfig_mgmt_writedata; - wire reconfig_mgmt_waitrequest; - wire [RECONFIG_DATA_WIDTH-1:0] reconfig_mgmt_readdata; - - wire [RECONFIG_ADDR_WIDTH-1:0] mif2reconfig_addr; - wire mif_busy; - wire mif2reconfig_read; - wire mif2reconfig_write; - wire [RECONFIG_DATA_WIDTH-1:0] mif2reconfig_writedata; - wire [ROM_ADDR_WIDTH-1:0] mif_base_addr; - reg mif_select; - //wire mif_user_start; // start signal provided by user to start mif - //reg user_start; - - reg [1:0] mif_curstate; - reg [1:0] mif_nextstate; - - wire mif_start; //start signal to mif reader - - assign mgmt_waitrequest = reconfig_mgmt_waitrequest | mif_busy;// | user_start; - // Don't output readdata if MIF streaming is taking place - assign mgmt_readdata = (mif_select) ? 32'b0 : reconfig_mgmt_readdata; - - //user must lower this by the time mif streaming is done - suggest to lower after 1 cycle - assign mif_start = mgmt_byteenable_write & (mgmt_address == NM20_MIFSTART_ADDR); - - //mif base addr is initially specified by the user - assign mif_base_addr = mgmt_writedata[ROM_ADDR_WIDTH-1:0]; - - //MIF statemachine - always @(posedge mgmt_clk) - begin - if(mgmt_reset) - mif_curstate <= MIF_STATE_DONE; - else - mif_curstate <= mif_nextstate; - end - - always @(*) - begin - case (mif_curstate) - MIF_STATE_DONE: - begin - if(mif_start) - mif_nextstate <= MIF_STATE_START; - else - mif_nextstate <= MIF_STATE_DONE; - end - MIF_STATE_START: - begin - mif_nextstate <= MIF_STATE_BUSY; - end - MIF_STATE_BUSY: - begin - if(mif_busy) - mif_nextstate <= MIF_STATE_BUSY; - else - mif_nextstate <= MIF_STATE_DONE; - end - endcase - end - - //Mif muxes - always @(*) - begin - if (mgmt_reset) - begin - reconfig_mgmt_addr <= 0; - reconfig_mgmt_read <= 0; - reconfig_mgmt_write <= 0; - reconfig_mgmt_writedata <= 0; - //user_start <= 0; - end - else - begin - reconfig_mgmt_addr <= (mif_select) ? mif2reconfig_addr : mgmt_address; - reconfig_mgmt_read <= (mif_select) ? mif2reconfig_read : mgmt_read; - reconfig_mgmt_write <= (mif_select) ? mif2reconfig_write : mgmt_byteenable_write; - reconfig_mgmt_writedata <= (mif_select) ? mif2reconfig_writedata : mgmt_writedata; - //user_start <= (mgmt_address == NM20_START_REG && mgmt_write == 1'b1) ? 1'b1 : 1'b0; - end - end - - always @(*) - begin - if (mgmt_reset) - begin - mif_select <= 0; - end - else - begin - mif_select <= (mif_start || mif_busy) ? 1'b1 : 1'b0; - end - end - - twentynm_pll_reconfig_mif_reader - #( - .RECONFIG_ADDR_WIDTH(RECONFIG_ADDR_WIDTH), - .RECONFIG_DATA_WIDTH(RECONFIG_DATA_WIDTH), - .ROM_ADDR_WIDTH(ROM_ADDR_WIDTH), - .ROM_DATA_WIDTH(ROM_DATA_WIDTH), - .ROM_NUM_WORDS(ROM_NUM_WORDS), - .DEVICE_FAMILY(device_family), - .ENABLE_MIF(ENABLE_MIF), - .MIF_FILE_NAME(MIF_FILE_NAME) - ) twentynm_pll_reconfig_mif_reader_inst0 ( - .mif_clk(mgmt_clk), - .mif_rst(mgmt_reset), - - //Altera_PLL Reconfig interface - //inputs - .reconfig_waitrequest(reconfig_mgmt_waitrequest), - //.reconfig_read_data(reconfig_mgmt_readdata), - //outputs - .reconfig_write_data(mif2reconfig_writedata), - .reconfig_addr(mif2reconfig_addr), - .reconfig_write(mif2reconfig_write), - .reconfig_read(mif2reconfig_read), - - //MIF Ctrl Interface - //inputs - .mif_base_addr(mif_base_addr), - .mif_start(mif_start), - //outputs - .mif_busy(mif_busy) - ); - - // ------ END MIF-RELATED MANAGEMENT ------ - - twentynm_iopll_reconfig_core - #( - .WAIT_FOR_LOCK(WAIT_FOR_LOCK) - ) twentynm_iopll_reconfig_core_inst ( - // Inputs - .mgmt_clk(mgmt_clk), - .mgmt_rst_n(~mgmt_reset), - .mgmt_read(reconfig_mgmt_read), - .mgmt_write(reconfig_mgmt_write), - .mgmt_address(reconfig_mgmt_addr), - .mgmt_writedata(reconfig_mgmt_writedata), - - // Outputs - .mgmt_readdata(reconfig_mgmt_readdata), - .mgmt_waitrequest(reconfig_mgmt_waitrequest), - - // PLL Conduits - .reconfig_to_pll(reconfig_to_pll), - .reconfig_from_pll(reconfig_from_pll) - ); - - end // End generate reconfig with MIF - else - begin:reconfig_core_20nm - twentynm_iopll_reconfig_core - #( - .WAIT_FOR_LOCK(WAIT_FOR_LOCK) - ) twentynm_iopll_reconfig_core_inst ( - // Inputs - .mgmt_clk(mgmt_clk), - .mgmt_rst_n(~mgmt_reset), - .mgmt_read(mgmt_read), - .mgmt_write(mgmt_byteenable_write), - .mgmt_address(mgmt_address), - .mgmt_writedata(mgmt_writedata), - - // Outputs - .mgmt_readdata(mgmt_readdata), - .mgmt_waitrequest(mgmt_waitrequest), - - // PLL Conduits - .reconfig_to_pll(reconfig_to_pll), - .reconfig_from_pll(reconfig_from_pll) - ); - end -end // 20nm reconfig -else -begin:NM28_reconfig - if (ENABLE_MIF == 1) - begin:mif_reconfig // Generate Reconfig with MIF - - // MIF-related regs/wires - reg [RECONFIG_ADDR_WIDTH-1:0] reconfig_mgmt_addr; - reg reconfig_mgmt_read; - reg reconfig_mgmt_write; - reg [RECONFIG_DATA_WIDTH-1:0] reconfig_mgmt_writedata; - wire reconfig_mgmt_waitrequest; - wire [RECONFIG_DATA_WIDTH-1:0] reconfig_mgmt_readdata; - - wire [RECONFIG_ADDR_WIDTH-1:0] mif2reconfig_addr; - wire mif2reconfig_busy; - wire mif2reconfig_read; - wire mif2reconfig_write; - wire [RECONFIG_DATA_WIDTH-1:0] mif2reconfig_writedata; - wire [ROM_ADDR_WIDTH-1:0] mif_base_addr; - reg mif_select; - reg user_start; - - wire reconfig2mif_start_out; - - assign mgmt_waitrequest = reconfig_mgmt_waitrequest | mif2reconfig_busy | user_start; - // Don't output readdata if MIF streaming is taking place - assign mgmt_readdata = (mif_select) ? 32'b0 : reconfig_mgmt_readdata; - - always @(posedge mgmt_clk) - begin - if (mgmt_reset) - begin - reconfig_mgmt_addr <= 0; - reconfig_mgmt_read <= 0; - reconfig_mgmt_write <= 0; - reconfig_mgmt_writedata <= 0; - user_start <= 0; - end - else - begin - reconfig_mgmt_addr <= (mif_select) ? mif2reconfig_addr : mgmt_address; - reconfig_mgmt_read <= (mif_select) ? mif2reconfig_read : mgmt_read; - reconfig_mgmt_write <= (mif_select) ? mif2reconfig_write : mgmt_byteenable_write; - reconfig_mgmt_writedata <= (mif_select) ? mif2reconfig_writedata : mgmt_writedata; - user_start <= (mgmt_address == NM28_START_REG && mgmt_byteenable_write == 1'b1) ? 1'b1 : 1'b0; - end - end - - always @(*) - begin - if (mgmt_reset) - begin - mif_select <= 0; - end - else - begin - mif_select <= (reconfig2mif_start_out || mif2reconfig_busy) ? 1'b1 : 1'b0; - end - end - - altera_pll_reconfig_mif_reader - #( - .RECONFIG_ADDR_WIDTH(RECONFIG_ADDR_WIDTH), - .RECONFIG_DATA_WIDTH(RECONFIG_DATA_WIDTH), - .ROM_ADDR_WIDTH(ROM_ADDR_WIDTH), - .ROM_DATA_WIDTH(ROM_DATA_WIDTH), - .ROM_NUM_WORDS(ROM_NUM_WORDS), - .DEVICE_FAMILY(device_family), - .ENABLE_MIF(ENABLE_MIF), - .MIF_FILE_NAME(MIF_FILE_NAME) - ) altera_pll_reconfig_mif_reader_inst0 ( - .mif_clk(mgmt_clk), - .mif_rst(mgmt_reset), - - //Altera_PLL Reconfig interface - //inputs - .reconfig_busy(reconfig_mgmt_waitrequest), - .reconfig_read_data(reconfig_mgmt_readdata), - //outputs - .reconfig_write_data(mif2reconfig_writedata), - .reconfig_addr(mif2reconfig_addr), - .reconfig_write(mif2reconfig_write), - .reconfig_read(mif2reconfig_read), - - //MIF Ctrl Interface - //inputs - .mif_base_addr(mif_base_addr), - .mif_start(reconfig2mif_start_out), - //outputs - .mif_busy(mif2reconfig_busy) - ); - - // ------ END MIF-RELATED MANAGEMENT ------ - - - altera_pll_reconfig_core - #( - .reconf_width(reconf_width), - .device_family(device_family), - .RECONFIG_ADDR_WIDTH(RECONFIG_ADDR_WIDTH), - .RECONFIG_DATA_WIDTH(RECONFIG_DATA_WIDTH), - .ROM_ADDR_WIDTH(ROM_ADDR_WIDTH), - .ROM_DATA_WIDTH(ROM_DATA_WIDTH), - .ROM_NUM_WORDS(ROM_NUM_WORDS) - ) altera_pll_reconfig_core_inst0 ( - //inputs - .mgmt_clk(mgmt_clk), - .mgmt_reset(mgmt_reset), - - //PLL interface conduits - .reconfig_to_pll(reconfig_to_pll), - .reconfig_from_pll(reconfig_from_pll), - - //User data outputs - .mgmt_readdata(reconfig_mgmt_readdata), - .mgmt_waitrequest(reconfig_mgmt_waitrequest), - - //User data inputs - .mgmt_address(reconfig_mgmt_addr), - .mgmt_read(reconfig_mgmt_read), - .mgmt_write(reconfig_mgmt_write), - .mgmt_writedata(reconfig_mgmt_writedata), - - // other - .mif_start_out(reconfig2mif_start_out), - .mif_base_addr(mif_base_addr) - ); - - end // End generate reconfig with MIF - else - begin:reconfig_core // Generate Reconfig core only - - wire reconfig2mif_start_out; - wire [ROM_ADDR_WIDTH-1:0] mif_base_addr; - - altera_pll_reconfig_core - #( - .reconf_width(reconf_width), - .device_family(device_family), - .RECONFIG_ADDR_WIDTH(RECONFIG_ADDR_WIDTH), - .RECONFIG_DATA_WIDTH(RECONFIG_DATA_WIDTH), - .ROM_ADDR_WIDTH(ROM_ADDR_WIDTH), - .ROM_DATA_WIDTH(ROM_DATA_WIDTH), - .ROM_NUM_WORDS(ROM_NUM_WORDS) - ) altera_pll_reconfig_core_inst0 ( - //inputs - .mgmt_clk(mgmt_clk), - .mgmt_reset(mgmt_reset), - - //PLL interface conduits - .reconfig_to_pll(reconfig_to_pll), - .reconfig_from_pll(reconfig_from_pll), - - //User data outputs - .mgmt_readdata(mgmt_readdata), - .mgmt_waitrequest(mgmt_waitrequest), - - //User data inputs - .mgmt_address(mgmt_address), - .mgmt_read(mgmt_read), - .mgmt_write(mgmt_byteenable_write), - .mgmt_writedata(mgmt_writedata), - - // other - .mif_start_out(reconfig2mif_start_out), - .mif_base_addr(mif_base_addr) - ); - - - end // End generate reconfig core only -end // End 28nm Reconfig -endgenerate - -endmodule - diff --git a/target/pocket/pll_reconfig/altera_std_synchronizer.v b/target/pocket/pll_reconfig/altera_std_synchronizer.v deleted file mode 100644 index 47135c2..0000000 --- a/target/pocket/pll_reconfig/altera_std_synchronizer.v +++ /dev/null @@ -1,159 +0,0 @@ -// (C) 2001-2022 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// $Id: //acds/rel/21.1std/ip/sopc/components/primitives/altera_std_synchronizer/altera_std_synchronizer.v#1 $ -// $Revision: #1 $ -// $Date: 2020/06/22 $ -// $Author: psgswbuild $ -//----------------------------------------------------------------------------- -// -// File: altera_std_synchronizer.v -// -// Abstract: Single bit clock domain crossing synchronizer. -// Composed of two or more flip flops connected in series. -// Random metastable condition is simulated when the -// __ALTERA_STD__METASTABLE_SIM macro is defined. -// Use +define+__ALTERA_STD__METASTABLE_SIM argument -// on the Verilog simulator compiler command line to -// enable this mode. In addition, dfine the macro -// __ALTERA_STD__METASTABLE_SIM_VERBOSE to get console output -// with every metastable event generated in the synchronizer. -// -// Copyright (C) Altera Corporation 2009, All Rights Reserved -//----------------------------------------------------------------------------- - -`timescale 1ns / 1ns - -module altera_std_synchronizer ( - clk, - reset_n, - din, - dout - ); - - parameter depth = 3; // This value must be >= 2 ! - - input clk; - input reset_n; - input din; - output dout; - - // QuartusII synthesis directives: - // 1. Preserve all registers ie. do not touch them. - // 2. Do not merge other flip-flops with synchronizer flip-flops. - // QuartusII TimeQuest directives: - // 1. Identify all flip-flops in this module as members of the synchronizer - // to enable automatic metastability MTBF analysis. - // 2. Cut all timing paths terminating on data input pin of the first flop din_s1. - - (* altera_attribute = {"-name ADV_NETLIST_OPT_ALLOWED NEVER_ALLOW; -name SYNCHRONIZER_IDENTIFICATION FORCED_IF_ASYNCHRONOUS; -name DONT_MERGE_REGISTER ON; -name PRESERVE_REGISTER ON; -name SDC_STATEMENT \"set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}]\" "} *) reg din_s1; - - (* altera_attribute = {"-name ADV_NETLIST_OPT_ALLOWED NEVER_ALLOW; -name SYNCHRONIZER_IDENTIFICATION FORCED_IF_ASYNCHRONOUS; -name DONT_MERGE_REGISTER ON; -name PRESERVE_REGISTER ON"} *) reg [depth-2:0] dreg; - - //synthesis translate_off - initial begin - if (depth <2) begin - $display("%m: Error: synchronizer length: %0d less than 2.", depth); - end - end - - // the first synchronizer register is either a simple D flop for synthesis - // and non-metastable simulation or a D flop with a method to inject random - // metastable events resulting in random delay of [0,1] cycles - -`ifdef __ALTERA_STD__METASTABLE_SIM - - reg[31:0] RANDOM_SEED = 123456; - wire next_din_s1; - wire dout; - reg din_last; - reg random; - event metastable_event; // hook for debug monitoring - - initial begin - $display("%m: Info: Metastable event injection simulation mode enabled"); - end - - always @(posedge clk) begin - if (reset_n == 0) - random <= $random(RANDOM_SEED); - else - random <= $random; - end - - assign next_din_s1 = (din_last ^ din) ? random : din; - - always @(posedge clk or negedge reset_n) begin - if (reset_n == 0) - din_last <= 1'b0; - else - din_last <= din; - end - - always @(posedge clk or negedge reset_n) begin - if (reset_n == 0) - din_s1 <= 1'b0; - else - din_s1 <= next_din_s1; - end - -`else - - //synthesis translate_on - always @(posedge clk or negedge reset_n) begin - if (reset_n == 0) - din_s1 <= 1'b0; - else - din_s1 <= din; - end - //synthesis translate_off - -`endif - -`ifdef __ALTERA_STD__METASTABLE_SIM_VERBOSE - always @(*) begin - if (reset_n && (din_last != din) && (random != din)) begin - $display("%m: Verbose Info: metastable event @ time %t", $time); - ->metastable_event; - end - end -`endif - - //synthesis translate_on - - // the remaining synchronizer registers form a simple shift register - // of length depth-1 - generate - if (depth < 3) begin - always @(posedge clk or negedge reset_n) begin - if (reset_n == 0) - dreg <= {depth-1{1'b0}}; - else - dreg <= din_s1; - end - end else begin - always @(posedge clk or negedge reset_n) begin - if (reset_n == 0) - dreg <= {depth-1{1'b0}}; - else - dreg <= {dreg[depth-3:0], din_s1}; - end - end - endgenerate - - assign dout = dreg[depth-2]; - -endmodule - - - diff --git a/target/pocket/stp1.stp b/target/pocket/stp1.stp index 445325a..030e751 100644 --- a/target/pocket/stp1.stp +++ b/target/pocket/stp1.stp @@ -148,7 +148,7 @@ - + @@ -1286,7 +1286,7 @@ - + @@ -3801,7 +3801,7 @@ - + @@ -4501,7 +4501,7 @@ - + @@ -5254,7 +5254,7 @@ - + @@ -7342,7 +7342,7 @@ - + @@ -9558,7 +9558,7 @@ - + @@ -12366,7 +12366,7 @@ - + @@ -14279,7 +14279,7 @@ - + @@ -16173,7 +16173,7 @@ - + diff --git a/target/pocket/sync_fifo.sv b/target/pocket/sync_fifo.sv index 895e72e..ce73e54 100644 --- a/target/pocket/sync_fifo.sv +++ b/target/pocket/sync_fifo.sv @@ -58,6 +58,7 @@ module sync_fifo #( .wrusedw() ); defparam dcfifo_component.intended_device_family = "Cyclone V", dcfifo_component.lpm_numwords = 4, + dcfifo_component. ram_block_type = "M10K", dcfifo_component.lpm_showahead = "OFF", dcfifo_component.lpm_type = "dcfifo", dcfifo_component.lpm_width = WIDTH, dcfifo_component.lpm_widthu = 2, dcfifo_component.overflow_checking = "ON", dcfifo_component.rdsync_delaypipe = 5, diff --git a/tools/gen_rbf.bat b/tools/gen_rbf.bat new file mode 100644 index 0000000..2d88f56 --- /dev/null +++ b/tools/gen_rbf.bat @@ -0,0 +1,4 @@ +reverse_bits.exe nes_pocket_NTSC_SET1.rbf nes_pocket_NTSC_SET1.rev +reverse_bits.exe nes_pocket_NTSC_SET2.rbf nes_pocket_NTSC_SET2.rev +reverse_bits.exe nes_pocket_PAL_SET1.rbf nes_pocket_PAL_SET1.rev +reverse_bits.exe nes_pocket_PAL_SET2.rbf nes_pocket_PAL_SET2.rev diff --git a/tools/reverse_bits.exe b/tools/reverse_bits.exe new file mode 100644 index 0000000000000000000000000000000000000000..ffe650b18e5ab7c123e0f1f604bccd6db7e78081 GIT binary patch literal 86528 zcmeFae|%KM)jxhWyGfR?$z33U01=`Fiv~2hpu`2;U^WSAU_)R-#DG>zx9cwq_Xey4 z5^mP!=DJFsN^4uKrPLOyeQI0zAqs8?Cc&QpeQXq3qf(vqqDH}FftdS!pSimsSf74A zukY*o=NHYrch1b5Idf*_%$YN1X3KBdELa6Wu;I@z1YtMc^v}be|M|fXoiTM!hVa~| z*U#T=x$*V$mjr&eCUdRp#Dx&#K!m zO;1nDi=(d0{>{*Y``-9d;%`#^Wq-O7;Ys;p{*=Psm;UK4ykB`B`A?ZV-J5@^#{0LQ z!=bN(&zETHF7%eid>I&I&DFP`O6>GpLJzL&g@2hr7wKWgj||8fQ4mP_ka z-74KG2(bl-08Zh0{2jyJh<_e1SD7*4WrzAu6;!<$yiZFHZy@;G-ND^Bi{MSh1Y)R0WfQ zf}h=sk>zKrM+-s_|M|5TTM0-Nt3>N+J<5SxwI&uiB2NgcBP7QFHH%$oV(Ih_QawY) zhCBR0OV~R)Xz{Vp$%4?jbhL87*}A{=y$DNc{K%8m)A~w8Pioztm)rP35<>g)oCwoL zq&F$8t6PtJK(V&Q5AA7Pns*Svv{#Z2roF3`$69Jex8&G8s&~-cx&G(cyMFc*5gFJ_ zH2H{9*%A01RlnZO{>Cessd{6fh&&09z;<4LPCC^;PP(ruXsKkYAS(25G-P{FFlP5HLE%}pK97Jxv%yx8 z+Q7&X0P(SUgsRn)6*cY1=htMrGSs{Fp317NlnoiqW1GjJ0j98z@d`k|3+%5D13bFA zI@B-Ov^R9C>tInuQ1BU9b|BSaAw#|>=vZEue9Q78K}z?tS}FzDp3+m^O2FO$tW!XB1y=+VX}n^th;q#Jp62wO$?LkMN`!aCh1Q_Bn)P`fO zNTJs*ZixVW7JGza7*Av_!V@gjZ)KAv;46~r3tHF`d{$Sh4TCH>4rHUU0n+-yUWcy= zEMvzhA5ya^6Tzq$N?`BCL4Ni$#w-lPVbRw3?ia&f`D>xegD=PIpgzp3>kjqzsf36e`$(8JuFI zdGTzTwa;n|PVvuY21*BFz?C zVu*0}tV!0|)tWwxTz8xJ<9&enj4LY)d5|5<2SzW1lk?&dTYirvMZeYkZe1XBP`bc% zxakb)y|F%HH@ybFu{WlbpVYtSXI3;)HAO51btpDZTRPa1H2(&5>EHsjJcgQ56_1Fa z^FV~=&5Zs9eULNF$(csY6Gmxh9`+!5W~XogN{Tj9s)df+{4=h@S|@lNz0ZU=_2Xz+ zL(W8>AOr>>NG9SAWY$o}brzuOXh6cpSz&`yy1cLtl~y%^Q)r0xBHS4!PUVsk$vo?fLJy z+v?^4i#}cR+Wq1_NbonWKqlUArW;=S4ZNESh7N8_fl$VXqz*HacNk}seXA=tqVE8L zmM)-{-kOVIA)?T(f`rlN6r?E-M#NxKTxGM_qlUTMharc?{R=Xoarce^5hR63z@SS| z)80U(eM`v1o3;yBdDEVxeAKkNrvo4Rbt$G6l31>nF{4&NRH}QAe_`*7iF zkQi+mErvdU{=lM;XRae!U$we}A$j3STZ2_yX~Qh)XN710w2m4&Nr)n1%QKjTwGQo# zwpgi8AFv^f?SbGr}S^lP05uh}p#IbQ8IDCi)4%A17w#ueMuP z+k%2-dz^T_oD(57)07cRs*JPcXc3ZgPN9pr+vIDtEre5>Z?|@6UHRAB-Sh2}BLm$R zwy7cNUiDdsGTVwcVkz-l2T^fyV7^1h#YDbjnBNKz17#?3UotwnezQsI^Rx_df4O!{ zzsF&hMh|!#v=-C8l&sq7Y_sX(9l>mUqS+={N=yc!3(84{J~!){-(d#7uy#UUE+2Hg zzLNd*Ymg2F91_7t65-(-5Me4Uq;!~4Ad6jwNg^(5KZUYJ^iA`#J(%fD5x`~)8baqaxHjJ;;3$p+-FyM2a#AGzuh8@-ENmMo+1XxshuU+#Jb8Vh^h-wtdCvb z;S6>igqRh#w5?noE;68VqUx)u>W@tR&Z^HECXaoAiH>NT3!MaAKTR<#QnF$s$?y5u ze}gTY7nmABU^e708uPn2axcmm=E%pCEy0m0-xKgt~4seAiN9Ew!v zXO3&IM8d*oPYErRKDq$f3@h|f#hkq7ylLp;lnpu1!HxPH_TdSTQJVwGY8{whSDMw` zOx1mI5;DDMs?$*Z5^bH$t~*=!5QH}r#Fvn?9Rzr!6vCq)_p_HkR=nx2MODvjdPr?S z?@VZvR$j$mX5d*W_EO-2uKYxi;j!dFK9sKQ4XKEy3h?T)cyNm%tou=vSgWMQgi|AI||1Dx#d9B|7* zYTQAARb!NaQTE_uwwGdzEGIdc>;(d# z3ZKy%T0*LiZKuzz_N7I_w&{5IjM)NS#_U0?sMu?LW>gkn!1kAA6E zeq6I?g6i$9@Uh!bM#YKXe{u({Ay6Ab{0ea4k z&~x64c-B6c#rP}zD1V)|o4=BuosGv323rI(Y%Vv| z88A(UB2BQuADP^}*R3x-35eFZ#>=Ibzg>yK2u_2)+Ptjm({d~n~H%n zm{INSnjE>XO^MjCDz?4sK>C_?BP$1u=Q_B}T&hEeX>Eknn0*pWXJqB^*<6B#Za2EA zZzj7Uo|<-7gts2_$8D632P!IENBo5~c6mHoOa+c#p%$==RE+nIsEWG4h=G;ZM{GOq z_;R|DHH#yct*#^2xgtIvUp%NOzDgr&4q~9Zfk9)8xRK!>;9NDV&{{ z0D#rVDlj3*yz)D?)$9kTY#S{J7?WiTRu(|x%?OZ%i2nm+q;2v7|yQ?j{=p zf4?wR@6=LIw9Aere!s2{3oOo6G8&<6MbyfvWngiWWE?^ox9q4pPBV<1HIww9 zkAFeH;3Fr{U4Uv`4toHAwG>OzSeX|?e}ry!5Ou+zhR_7Bg;uZgw>kKxWr-Mi3=m_s z6AaL1QwKNR(R$RHx3LtIjM)x=0f=E1P#b#HhNH?CHay*D>Z%f4DNL0aF*&)=+bjLIT7FD>7X?B_Ycc(?z#Oz%U^*V`1?uG00S^J@ z65x*$rpDf^LiqT+8?IcQv=>i3t?Jw*#Ui#bBrw+XUcWzc$8*8F!DwY zT3G}F1*<-bl!d_GD1Zi-s>~}Ow#nlIWc*~8<6-t_ERfKnMhczXpixSL(3w#8C3Pmq zl@|fxZQ68v5UfAD5~DVT>a-7oM~hX{D)~OdSEA&?I2mHI2nYbv2)c2(6MYrv$Vi5eOo#vUXct70I$PH1)nS=EOcZ z@E{QQc>?xRGc#qSa!lZR0D8cjx%IP6z(D|l*1(I%7j}3_>}hQ?senVV{62pL+t0CN z5|(EP%Yg)i`-nn6YpdoYQv7DbS8?k7?2ies+z@c)T40|=K0mu+IZv%u1y34T9zGNy zJr^iLhE$AI>Z`4`MJ>(gb~I*fVa_6HR8iBZlc;gsCzaul4bYaFGrn+$;(hGJWoWlV z$Zup710AXBD_yUzU`d~vGg2k}V|N1w3ACo;)4|)bjRzBmQ6-I{4m69m)|0)MHHC2K z7+%2}VYg-eiCDyi2#khMLp}HihYHXmu?WUD)-LoNK1$CmJ@kD1ZF=tLrsv+*@T`4s zON_t5$NB5=F8&G~;IAhm{Pm|7@p|mxP#<2J*QSOTKEm{I5+9wsNDD^2<{4B&$9N); zLv(3gCm{R-sgL8s)Lpb#n3L;cc4&Dt2F77gDN>!yDi@I&6<^;RW+uPDB%1zKVOeKR z472HTc*eBW7`-q!oMWegokDnxW;*<12T?kVR_)MziaX-EPcn2L>@)0}0G-1hOk!)$ ze15i~jJkqzMf+A8WRpLbxdIfX?k256PjPoNq-q`8C?;t0vF~GY-6kNwXNW05n_rzx zacsdk5y`IX&w1(WGIO^(HBcGkQX|H zMr6~|!X>#d_}_^B2q|xJREcFajOR&zf~1&Es+-Dqa!41igN*8ChD3U^w6?mb^LSy| zO}99VjaYdPm-rmm2W8XD=|fRwPHu2kPGvTXi{}UzfxI7oXJT#uya;u3z$#1z4+(o9 zZx^5@wGQKR!*E5a)sk#AMJ=(L^&mF*+0S8(_c0vqeu37& zn?6=^@Mv|RUCmHeWUDJ|;CK>xAC#ZvdJ@^sIS>5Wm(U(NP$ihzYpYnN8w`)B8|+%u zo$7dPQ9xa2b7$q0L$MsQwFj?I>1sKZeFM>?rog7T(w+R`^@z;U7TV4DqSX)(X+Ff4 z*Z|auR&P&|$17b)YSC(xW6{$z3$hm3D86Gw&3+qgH8mY?L|;BDY%s3E;msJim3}ou z(g6*RMX*zA$b^{ zo;^u%+Y#1y3Di7$u{MQG#3SG4V@EM-R%>0#DMOm5oEnlcwL{c{Kb+e3VT#o>@9D{V z1aFbhKR<7y7-~Y^5sBN#C>TqIUC`>_CN$b#pu|OajbdmC62lmW!b69}<`RTj$GRdA zlSEDzY>0TPArf%`aSTx3Pb3d@yB6lRqQ6=s(%D=U-EL&zi-+YU&iylOX_D=PAkEgm{! zr#Oq=*Yql+0|b!rYLfm@S`*$5M@v~ppyimZ;g}Xk-p11b zX^x4Z@${WiX5;C#VrT|pn_d<0jHZH@jdSjl9UcHMnxp+R@?UjEKj-h<=t;cI{H?;= zE9D$mF;QOQM$0Vp{Z1v4gnZykbRW{qb&ZI>A`$-)iN5HsDSnmM{Kr&5nEOMq`M31G zM{NEjy=Afazv#UVCR%zg6Pq{TO^l&lK;1s__;n~CVsi!L zffB0}AN~v-L0Ye{BFTxKQyaKevJg~BO^4fzdVoj+^C#3q$KSX z?W*ApI?(PUY|WLhvB370qRk$}EZE6;N~CHXSl@`Q!wYH)ol+`V6~gyKe#fC$bS`oz z3=Q%uh(c9YD=bxP{vL{Lf6$o?n2U^}yRMf}*3oXVic+%9) z5J^8OS|8V1I@l7|lPDblO?E|JhQ2pqn4zs*+G-ng&KcrMU;_1EDf1Wk`_EPc6t^Oi z>!2Fu0-%8~%qA7W&lb=sEqVtaN^G_G@JA>}YR$LE}BMzdctmbs(&^84)jhr-0QhTWr>S-nx%3_LO zV!K@pwII?gS1X0;`XGYwF=*_dSq$RL*g>UX^bw*k&*6t{u(2eec^ci?8TfTHeS&HU z()r3>N-xOSaYTM&_JbqRR#!LfrK#lyat`f|olVqoZlY^uilONcDrgDRuOvP?#sgwj zg<2|@s^=(djoAD?^lJ3i=AR)Nl3xtnfjq(QnM?0^a#bRGo1-q6EB5eigMvc1UX$E-O@TCXp}`C2NGZ_I zH$@7KGOa^TUC=lOdbtN8znGqMxVm9#MQyOLRd8R(8zul4u#u1=ScFZU@l7nv1SSg9An3JWC(K|2K#>9 z2tX!L`}$Zi5@N~I-)@)A>uarcWZ?e|$N%d}o*S#9YYRC@x++f2$a0X+*kNXnP@Kk_h};J_GGzXl zK3zFTTe(20U-(@W^0TWsp~yptLI>Afi26wX&YDlaNYuwnrg3Esjhf|Szk1JHHE>WO znr6^e=eM-IPoVhd+qw)bskPkR zVmFe+oo%`ZjeN1V4~Ey@k0nI_+g)((@j4Z|L8~&SVXmoYffVZBFa>+=nWV2TBy+)I zn7%N{E7uwlW|NJd46i-pY@~xlQ1xc_m!fp1ve7QcX>o^w`-Z{ra*C_BO6~;t`X#9&5Nbkaw_*V z^IxEQjz?I9bu4xB;ykB%XPz^Rzx-bK0q|wW<=#Qp%RcpX2H!b2>&5MFaI^?}`&N zeZ%D_VC#EOrBb`L$YF->q_EfC@3Ga2p*N91^)fPFc#ns@OucSUyUqb>fJ1C16)9}< zggrLx#vj|lwjXQR$UZPT3F*4))APsaw$$|6XH zsR4SklZDh`JVvR;R_G*;+yNKWn+=GVH|S`)4=bC}hV z_1e~Q10E?_yK-ho46Q(WH6N5p_484C&>-m9%KeTZ>B=~USNA~B8?1oPe2Xuu{tiA= zCHQg$TS8&)Nt5C2!|aHnoyCir+xB9%o#=D(r=WQbM4r0DeMI@ zA)v)$QzDZwYj+j99QzH`)d?hoK!Rr=Yv$lm{lCZq@0jij&S9sn1_G^%CsiH0(QH>& z27V)+rGV@fti(kv<47PRm}vzuA+vS^ow|bdSH{C6L3Co#udcAGQ`8kX%0j1+upncT z74rtW7V-l-#>9dxR0FcTRA2+=@cByzT@f0`Roc86XngW5vXnGllPjHWO__VEG;?m9 zbiv%U(gb$*zlLSa#h;Ndi{?YTzhJSVd0~fD-n8iU7epl!ox-xEnF>pzWu#4RDfAACp%)O38UYuS zI%o8+c$;g+=pXQjIZq5d%HurIpW)rIet{(Xflk$eivklbZbKG8B!VJTuL866eVX#^di5DJ9{nXd{RmS@gy`owq%)5RqS z+Eb3cAuZ84ncuO5YV4p^)O@)5uXjJ+JV+KP-LU^ z;ciNYl@N;7%IvN#_SRwA^bTYjs~yH_r?EPdU4t%x2CCs{Pz^O{H^u;2LvKW;i;a78 zjC-?SDaz!ktVw=qGAt?_&cQOK6 zUsc;sG7I8U>782L)|+Cr?I3Td>J@tOZnB!SdTe={=5CTxn-*~wr>2eE5l0>m{C3GX zP|7`+;Gk2QP0Kh$-GZf;*rTLB$cv=W*obSLKo0p`G>Hm1D!QEe%T0R1>*x9+d+L2 z&Y&B`o#=|dHDdoh|C@#%R_bH`Axhvc8 zw6$X{bzY9S^f_pzt|Qneg|!9mxXBFQcQ&*AB) z6n8KEEmjrP?d*D_Mb*}x&3(nkytlBg;PFNisB)YfYAZ2&I;(<1)o^$&XS9VZ_rR%d z0vkmL=CCV=5kwck{)I8qQh>KR+2lz4usBhmSD^us`u zmlWxawRp#2{wXL~w0FPGqTcdPa*xTzfX2IEHS)T_y>4mmunWtxLN1-b!uVT9Fi|$SWtDxgMa1!CTJ_otuIm6}0aiW1xaU2OJ z+kG}-?QydI{|%q+H^d)5O`xSGt*5kE+|ReQc!~|0ms$nXL>oMb@dZ880R_3SFio~O zYC(K&NX(lJYll4l& zap-^`Kc1|=INMexT?nkf^f_KbPDa7{MI9;WC7Q#mo!4k7o|vqUb<2J7M5LosV~`3& zyBm&)o5=S`zX6@mFwZ7fCUW+mGn$E|caX9rqeMT1QsbW;3FK(y?H<|gNJ1GlJ-Ia% zh>1r-`7y8(zSzlN4|y_tgW4`64R>8iN%YSMz(X1Kq{iLkqb$hhX*GS2zZ=K8Pt;{B zoG!3#*sh_JV1bimVKv_=TmmG~kH0Ytk9)stvwj8dU37-Cy{}BVsx_sA z>JGSaI%)lwc%%uP#-Ua@;~eNk`Cnm1d{5gSw7^(Jefz5kBp98Vw?}K}%O5zz0CkY2 z5s0ErrXv=e#S)v#K?{(&4!VcnC1!>5Ip5e)Z_F+rmeeq}*Fm0(ZP?hZ#-+_Hw_khXP%NBT>OQ?@X)wLh>zstTu7q8u7D8Tf)w(;S(Y0!d z(t&O1B>lYb{2};%kHrdx+tC9$D1}KEV5(%RJLY->W45~zDQuLV04$P4gv2HgN2ln1OnAHlRADzY|8@o#ky@e0gk?1@0is+Nr9*UpCKEW%VlXMjQwp; zBCwS1Z*xiwT8UlxU5LoDs{ERS<=Tx{uC0e=+J*xg9qyre zJC1T}3SjLste&Qx_+4}Y08OVF!BKJ38{o6n>FRh&L{J`~qrQhpE1nDb;UAD}ppzC+ zVu%)C10Ck~&+#qp`6LNyZ;BGNh3jo=VPm=kd2^Lyl3IbaQ#WVS2&eu0p2CKc-&nOt}jqdQTVM|@oMp1N3FPVDnh*! z+E1ZUCxWk2@HhqU$qjETXxJ65cW`NsxP`HBeJs3y%J|Q?LEZ@56dHk>g2TA&x}Oja zhU*74~N zw$LLOy=F7XSy(b);h>zd*IjbrNh5Cy#ctL*_fW&3sp>FXtky1g77UgnTV6Ou@p!MN zw*!wk_`4Z@7anR&X-RD@v9y$0T1%3Ex1}@*CJ&{PV}ETJJ9s^umZ!3qvX*H0{cx(y zW*Vi#LKI@jgV>hgSm1acWxj^L1NeIpf6w9X8T|bYe~;l$D}LyhTKw=aycymM@8ftM z$GZ>jKD?9dFVw6Mq{IPjN?KP;vkD^|8|rW%j@ zHftY;fW~t;0(KDY1eBBie$8 zQQHyifVv@#2|Ks#ewRlDRcRe?#32jbVT80p$_E?UK24Qw51Y}OF}71^q?3&ClR#Qg zfH9ehzeO}Ss{q=#5}v7Wh1rE%p^Y2riSN!J2yXGN-J%$Gk%VBMd1!gBiZ3e z(ELw?jjUS`t8F3HxelHmCYscclb+#O^xQH7&%$!2_&^gB9xX&c*I{)Fak(>;i6a}AJNRFgSV ziDr)xB9irsuuZpv0Ey&dMkId+9En%)U_3)bMKD?AwI+hFAU8|FK0PtX$eIiGsho0R z@fIM!qJ^`u!eoJu@|{z6-YDcyPC0G_@fz>5T9`Vv^-Gfvg}m#C4=w=4!o9>l=>`*F zn8%g-aUg{J#J%yD{)JxoXoCZZnYm&BwhN9-+=Ym^`(`LmDmz~8)bC6Dm zPN4d66Ok5+rcqXNJeei{EkxP-i|w{Xv00{$VfK1B5K+gW&P%2noP1Tc5W{eSzd4L# zu7lydCv_CO-yC51yc4?H*A%y=&G)o~ry_0RsWdZ_#>b#M+N@MZYIlRAUGNDxC zXp2lNO{WZu=DOX9Hl-5sW8qLP(xPpv!XbkEhn5|O-e=uG$qV<=bNzS|zqUU?ptz|B zX*6CtvvXla2z`wXMaBs_jvq{3?mmN!KYX%7UBg2BzwoJMM>_!4UO~?gL!W}Zkg4JW z>j67E?3E{}V+!HNIe*%M=`d7mAgnwetaWX!{&4uQuW)$5A6SYBuOb|#BJ$hd zKX?eHk2aq_ZeJpaGqSE{rH_CblWU;|8G}N8TX+lAc(E@WBE;CK;4=At?NhkigJ-pd zDVr&VOl0o1jepiB#fKJ8qs8L!oZT=2J^V`)u5qqmL(XLDS0>}#C&Wz$z}DzmBtc`E zRM9@e4ie|aESw5Vh{JjCgwuGhb{Wx9q_1KVI$(>yac_<@KBB)pLwcl9jaN^?>41oy z!%~rli-5bL~!)V;)b0$%Ah88RcicMV4y)GC!L^AFxdG zI{>EA0R|72#kemic8q42!ns&r_f%I;#&uQv#w5Pi2sH4LC~@Rj=O)SX6XuG-D(#y5 z4cJ!PaA_58p2AKuP+$x2vVKs8y9+vH5qWTgUQ~L&p(FIE+?4RDv62o0v@5kXLCv6T zOKfps?9n;C%z%&7z#qa0&Toi0zX3)k_A^+Us?Tp2gac-cU6p&?{1A&6CJ`TZf_N5H zs^Oj$RX#t9Kw+t_j&I;6Qt;RUI`co)$KFSy@jb|?rMQkspNNCgSxAs1i8xLgkCUyV zyhmvli8)YEi5^1f*aO1ZX^zsE^=zV~_QY<{cqBv#S|_eg@E&C!A0fvIo(t6|L@es) z46LI%h7fnIs@vaAvWZ=IAR(>(->SNQ0wTp!b<5$_^nbY%_B3+ERoy#H1pispeRK|0 zhj~n@?>d`%`m0?1WDKTjb0^G69TBJf*2B95r~MSjOOd&UbKw4g`zp2bR$=tR7K%&N zNSmxO-a?zwy*}7}3YYg)kV7TGWYHY0KGw6JhR+nG;iMp)XP#&rgo_qZv40}U)P`w* z;LMToF+YOwF?JWZrMhudf$bZZhGEX4!4k|4JW8$d#k-*W4FkJ$I3JHabJ*5!Pt480 z28Oa0j+O6Z?nO&uu4#E3Z}&WNx9%tS);eK@!`7AdzPVu+FAkfT*|eD{t*&Cvlg`I? zCy^|1t4209D9@e`t71Q(o&-~TpZ1=f#l+!)SvSrbgBII$Z5|-sO1brpu=hc5VKul=t2FEr#OBLL$?`^Miq4}? zJNC_#hz%!yaqPs~yW1>jeKJva%++6_%H4(O)OQ+kgPtn(HymFcCSMFaLQTq}>4-3V z(%udZEvLPirM>P`V)$i>zCytf(BZ%?bxQi2<4xQ|)5#NlI~Le_y#MhV>fdbRRn5RY#ooda>8YlFD4x6@m2J1v>v#kFt+h4qbNN1~% z95d2ShI6qSN$Y?a!$Ea~;DE1I1Dt=h(Ndpy?&CuP7uU$6`Wu^3t_5CaxWOi;-&1Un z#^V(0IwTERaFfM7l&9L=A>~xD+vpojCGn<8>;Y?iP(u2v*h>Y7)!roUkW4YZsSIpy& zWOWhZuCUXTbxx~1Rr6%d%T502L}~K8^XZj2?*e+I!*%x;7AXZs+~K^%z8(XI(txze zBe36N@ZeSo&KULt#EjNQju(8X=f7Edg_u=XD5WcHj_{_<)W}_RUnIWP*boKhNb-bq zlJuys(}BQbd@84tWruRB9lgsF?MFTZ_b$=xp3x`*m>(;(6}PA*wRQ0iZV2 zS2Y#>Gyx!I485|cFq{BvBEbBn!iN(8Qp@zqn+pFc0ca&aZd2ioqaJxyI0J83KRz& zY#(KdYpL3XJK;2TVHHcy1<*Z@pR>(uGIHUzg-b1Q6K84_`}q_z0~Az);Tztaw-8>| zaL6#w7r_uva|mJ!xBTGS!CvOyel`|~sWSrTWYsSq4-VY6`>RY<%9!neV;ydNdK&9B z+Y6eylg`@9$?ODnPvH&9Z@74A-OaehaJYOZlOBSJsr}; ziJW2E6F%tlxs=&Unak99bS>33x|Is6RVzlp+1+=z|KbQlHdiy13kCQ{cEXF8vcj2J zvXcb?dXfWc{BnEaH5bTZToDMuMz zhr90KLT@%%h`rf|qWb6sDBaePdUGl0JScxj-a%4PVR^P}N8xkkj*@1fv`hG@n%GRL zk|B*F#_;-<7*_t)QvtFfWLQh0_dp1kNChB`&O{J2gX2JCRW1N$K{0MR815+tu}1J~ zv*`jd>?vT3PoNp(XQ=Dkd6K0RMgr)cCDqnGB2L!f#6@>VOhMnrH30MI_A&HeLwdzT zQ3*~-*ZA38$OO;x>hLlPjG>lF_8G>Xn#w!7$6x7V*P=SmC^3G@;0mQHt=>7&i}HVSBMxIk;qbBQ$~lYt1Bd4A=1rgHm9+~yV&xU0Fp z>ZOGrdtTUw8One&QhKOE8a2?pjc)SNYsms`WIag3%o}sz44M}=MUf!HnIR*q#r%Nt z9}+cFqVhfrI#>B|7p_O_a=$63KEDXKb!X@e8LkuQV@d@9p0}gBaFz)82M($H#xQfy z`CtX^vx@x)_i(B_A^6;ihm0un$~#ycjOKqG~=5l4nWEgVE_7zRDUK~(i&&~G@1syhsNk%Opy z!=TqVNE!+1<)C#VK`{P(6b{!%Q$HF zNKk--o*M~T$3ZWS1hsHbWF+WO4mvOr^c)9ujRbXZ&}$<>Jsi|M5_Ft{r~@XLv#ke# zz#zdBpg@iQQNQizh*T@L^&+%_Rwy*mvK~Vp zX+~G(eqDw^A;{zKV*n((Nm&YxVSUd+3>dR`-Z%(tX>?Mwq_F;I_Uy zfwGc4`~*bt_n=dC+MA33PM)vtS&oC7Qiig@hDDEYrI}Faus03y=noPCLvy-@j*eoM(NDv78u-37&Nh-t=_x1pa;64Im;QdUZ(6umXEWaThPoH z*I@`@t!%EfOWakIDekIHQ6kyaHZXWHZnVXrDECm^C>&a{zTrMqmo{ICOn1LkhfAIK zxuKEfIGRs`LxBL;X`YPT3c>{+ev$(P#E-+qa2$5{?5lb^L!Bv6KoFo7ug8~pZgdIe zWla9)MP|%muu{Px@9lW3e_m>P#q(3(D*aDCUXMcFh5x^o0h0Jw`1 zSC__^dWd(2y3D@Z@1`weY4w|=Ds?Sozb z>sc0^-Jr*|PL4tfYBMtBS=kWELka1Q)^b>Xb8V=u*$q9kgf});z)zQ4TMms-96$aT3l;quzR`) zetNdLdLQ%V0n!&PwN<e*s(ZF zy1dOu@`ZDDkEkdg(p2s64K~$U5sx8q(1fN@h5wEU=tfd@3hNi_62WBKQ^uu-zsl85 z7Yfo0%Qg~)bbUQ6bkhoxdsY+)_2=RKEA;H94n||mJ(~lqu(ZQ|DZgyq91P^thv!k8 ztDi8v@GI!Fq-n?(LR*>YXO+NVCP-i!s83*d16oph`~pdk6DOt=ErNv$6j%9@LinVQ zASmU3C8*sb2rf9rPLeSuKhy{48y8V3L(}U%=0ayXb%YmS_GZ8617)6d=-cCYU+C81 zq~jUUVVMp}UJE=`PYM9aX7?OW-AD_%h>=9WBkITf31@O5cEg8qpfn~EDB z>tT3-U~@J!7UVlOVUeMsow6+(p3)v z3qfXT={{&N+97r6Yg?D#%i8AdZpgdi6^B*|yO`ZB%twrwNdITz)=n}JCj=79j-$#o z)~@m!OOIA#9|@IvEYUwRR3C!%>YUwH%hX4MOIrOni z)6&a86V084bv7yejy8v~-(mGS+}(Ab-*M1kEqAyNtr>+lEGPG$-HN4!P7Dk@yQ~`< z{Dzg&nCeU>ivU^-LMq;OX8sNl(nUBaElpBbx|C67O2e(i&jmVoC@$j z2dkx2GA__<73Ll_tO9l@#&jGPhq~5e!=x~&6K3c8A-L81?KH!_kds7bbde%_zvJnr zpMHrfLiuM}ZCBw04*4N!6A`zE$ZE9}wpfa;mk0Q~hRQS@&&Bj%o*AZJfS?0;_Hc-y z;i`N_z0Jsa8}z!4yhOQaC+^+|^u8#b5&{qw&U!iO$yM^g-a(ojAsu zMUY&A09#9Q2C+&{ZF!7;6uIB5YtyTPPlSI)aP8G)`TNySFK}58S@CrYUrHobiA`La z#xaMBa&iCrUV=|tn-R8UG?q=w4HxycBllW^%0B1~L_dJkPIy2ADPa`wk95IMBZ z>Ig6rTtCKw8xD?`4{y;)7-{MJM!`j^rJTaGcS;kqwE@h#cFfDq{2oIL6X-9Iqn+%3 zUn7jw7E&O)dp5swa25<(ajR?nw$=UpVg|@?k=&3%>i&P zkc;MHSCYWeARxr%r2szPLj4I>`>qaXXHGncI=kq2JS##R)D1{s`t7DrDsdmF$^yzO zWIG-sXW=_;K2ED(_dP;by}jzPfJr_43wrxKtKq=(1q$d-CidY7+J^|B8mn-jA!pKc zwrzuu7dYF#O~Am_6zXEzob>qyZBCv_ZgAFW#Wy%=Ra?0Y;3A#zn5I)S-Wt+dizjBc zG;PMjhZyj#X@~|&1G?B0>M<=3JX%@=--v&4CgY+UGRVr~cxay43b^I-r$o(p)C_Ur z&td)LP{={^Bx=}p{Xzxa0aKdJn3*ftM#{`GU{Elp8VExJzZszIF=eT8Ja^>a25WI$ z1-p{;1gWui}kUKqZ#H*w`*`LV)BBcMlYX5d(n5-9*^9*zCFkDJc0Z*a7v zxdWl5Gj#F|H&x(U+|`aLs|(_S|7CouoM&aNOZNRzOEQ8@p+Yc#ol2txKBSS_BCu<%>JVkc^wqbebA{&A8Sd??y6v z@%V{2wOC|vch~<5(yp;kkl(=3&r(xUPz+n$?n+d=v&4>E0=owzVwhxf+wejM?rYk7 z0H{{hYDwY6@RhTK7bGo`zrpc##|5|V=pj(dNCmW1FqyF{V0?*na^+3=G>t+@S( zC|zg*)^E9+aAXpW3-moy z7N#x;x^bpy8Ygz0NHmd(N@1Tpktph^KTuI9sS2knPtie2)h2?y9QE~tdL<})pEB*H zOc&?}fg2Nit!78Zs|C)l^S$;%F;lhImS)0^?njn%#H1INJLHS7Gs$0^*?T zq7n6vaoQbno6}@r)x$JpC1|SD-mSvg;4~D^{C|qOQb3z4T+eBnwn+Y5&&~f*++~|m zt6Ia$IW%RFWW8S2Q3QT#0N{|Za=93~A7Yr0;H;;^bvWp&RhJYEEz^I^sbC-cnpf)q zR7*LYcUC;0j&L&~-5b9w=Y<0Xa{JNyjkib+GnR841J--IaCSBZQ2gF*a@p_))-a+8~L~80j@PL^9pMun2Z65S1Ke{4~j38kHbaEwk*0A zeVhVLgV)kj!Gl%^aE{?T(#T=uUQ{@bN|H)}+n7zCU<~+FejHa%q3JIJ?tJ4Ao|D4m zM=OHdLkpf;#!?1YNf?hdU9$ zll>Hdd~xasMwk8e;;1{KND&@ZDXPMzWPn56begPZ!JN;X2C-?m>N7|Ycnk)uD~A0A z8!8i?fIwQzy#aA$Q~58DlD67xOrLDAtmowOnbjg zOk{kO-^sp)sfm8J5hUF3Ig)ZkyxfjEgK>++^^egUMs^{64laqyb93LGH96YZ`+?7T zi`n5V=D{XK)^H})jX5y>8x6RE%XP5QUsR>FVZu$)>ehjoxPFk-8tt!*C}Kpoj_ynt zjwF5LY#4&LE@yeuhS+mA&_1(v4MdrlG*#IEx4Lv~1AfA87}VjsK|ZW)dJH&{pT&b_ z`=W%Z%~fj{{j~?0sf>tJJ5N{$tnfsjb@8&oYSE>M3x75ozSSj>CqmbCE!e&HhpY95@-)Rg723a z@yPFlcPa-x8V{Y%kx_m&9-riK_W;#y-frT@8)py4A`nP{c)$U;Xk&X7-{}M%*n@(oac73?yP9HlT z1(Hn;dS_ywab^8QQq~=eeTpnJ#Nby0V-xOtqDy(CS$?g+Js?f5!h!H^Qqs}gC;QoR zSb$;Xt)}$>_o{%!wgjuBYOQkxH*s-G78bv-9AW*-qQ3>XbmX~l+gxyWwv_HeBB_hE01k zF^_-n1Xgg%=pt4Sb2BHV&(&Y}>~;_$f4>&nyU!c=#Ceo@H_Qf~P?5M!qR5*=Oo{8&5 zR%5kHJAqmkdX^Ix7RkRf^E|2A^{t6H@kes=NOH>KvH?!zypt^&LZm($>FJ*1c7`({As znk1w-6g_n0(5HubMjw<<4xG^XkSY@?iu0TUA6pOAWw?=Ut-VfkBW?Yth53k>yd*CZ zzgPnRz8nMZ4fH7Q;kbzQmi8`{vtqwffTwzZI{i!VC?lVRV`_OX<|g_HTJtyU#1HJZ^1Cqp)56j}3(IpPC@`7RHlo(#!RV22^dBC~IU{-Er)y={QZyrO z@+CcGcqowf3R=zzv{(@R2$aCUOuui+Z`hcIsEJwz&T%Gwlycbf*^ZwCxsvr@W1o+n z3L16f3xeHGRs^cK<(MB3$j2Qsxtt)=HyOln6Ymp{)!6ri7hi9PS}Ye_iQ99`yCO&Y z)`j^K2M4nGn4tEi0Y*z;8p_H6^J*<@x&0q0ZkYTP=FdGewxT~rI&tUdh1$|St?fE& zyVMN!7dt1~Zi4GYzdT;tnTjT^>BA*66K(Qct*PS99(_Tp6_y;E_KNOo`+#mgs2$yP zow(~D@`^jBmJ+J=pb$rctAmjAJw3BEbs<(3U$=c^=IALEcO9v9zblW0ZVm0dW{?gm z-3y}hl*xyc50kEMY={Xfmy>1eB)5$1A7`c=@F&_!t>KNe3gBBZ(&&rZJ~r9V2TbCwj#|1? zXt36OucOX^XU5u;TI;<|{W5%yt-Bb{%(WK+GG0HgRztbFI;a$n`FY7x9vdocbI< z#@1bdXXe@&wbr8X`b7|~PH|T^`p8mee{tGG=S{dXhDu710GkP6>Yyg21sNC$BhKp> z$B8Cg(TGzCW}EhzZJJocLh50gV=0|i2*e)ppoQ(se~Td0(0q!OF0C!kxo_|a2&+u3 z3=SjgwoUxLL8s=MzF70+EoN}O!7#A@+jWDqkpfA!)Q7D+;o)!Jo#+b}=h@7gYmsU? z-JM9cCK}S!%KAL~4s42B%9~L?6SpR6j=!n6RF1AqT#q}YMmJ5U=KYcWeRLea&tCr*&E4g8wTPyzQOW{`UA;G3AA=8D zxF{3g|A)PI0gtjg^Z(z;OkhZ&6D43&l+gr5ks1vu5U2?-5RhOngo6;y2@pe)CNmr? zk;H+T;dLx+Z5La1YhCQpE^XPaRkTzSV3U9p!=Z{w+d$d+#-TMTB|(w=Klk&@n*-9; z|NgH3wfnz*JGtI`pY!p4&i8XajakWTk{D)|JGh9uMh@1*958U%{e!y5RYjiCx+2d) zzD0cHbw=KF^X=dtJT!?l<~zYt>+2(Z{amwO@8HWylz(3NCq`MB@=tErXDyU}<>62@ z5j_xShWF||^PT#L%kPOe5n6yPTN_ND&IM0-{DZK1KgJ(djh&rs%50-w}Jx_uDN!>#k_Ib?b(~ zIA@NXFLQ5w`<9XE262>L=Xs9PMsYDK%=mB!ariuD9D2|lngwUuX^v7uzOywSt|%>> z%&F@=rT)T_ka8Z+lp&8!ki92ZS3@rw);Ch zW9#}j41dblg?(a}_l+$V7%(=skCRh;41vBFIuWC9jvYbrkPaJC3S`V^gQ~NA*WFXx zm;0%K)3b?-Ij&BAXtoQ%p`0Lua!I)LBZCTtpZblMXaFn_+v^Po#Gey9|)oc)(NM<&U6O;23XSAx4hi# z3YZJ#y90Mo7r*@-Br3cE=m%)N0d>hj?tU?Nak0Rj*2*+Zg=LFCRQEJ^2-4Ze8gwd? zE_P0>?+_`=OE*EE`8`3)wWV4~%}6ZNwWZU+!V?B}4rTm=?*ys2E{wI#js)nxN$Z7~A=sE12{NrW3y?k5LKN{T zgWBJz23!X{oo4&65h}Iy7yFod>sw--vNVt*3qtJs_*(B#BeEfLa)D3q$%= zbx?^^^!CbJQ{@2er|A1C!fvi^_q0S12wnfjSVA@%m^_(>^qbz%=~Dx@tQ|A0v$ArK z-b5F>U60Yz{y(u)m(zZVeY_Nv^j-|Syfa_7W}z1DSUH(yj|jsW zXq{vwt2Y{~=VVdqRboz~*Btb2!~m;S+gLAjIgX5DSK^lFuEdR>-<4pLC2g^$izh>^ z$x-itF7H+v^9Y-Xl72aF7QxMn1~-GL^Lb=^+T^WjF*pWxztPcLGX3K@fnclQPn_&` zPllC9GCET-I3{gJzDAL%^#!7+!gZPG09C95*=g_kR>KZYoVHz*Y8 zP zol%0%lylBFxERqlAv+0=d?v@cF_xx~IW z+4A{|lTNn69R7+~R`n+or5ycnP-fqobV8CtXIVE(b0kTpbwR+{W=KIw_aIekeYcja z-88Ea(CO&iY*`A)0~$9;j~+NZ%i1l$?X|XaIk)mQE8@2Lleg&No~4Le*5-BJ6naS5 zl#}Fnu?^va8>XgR8yL)bB|lN7joo_($0KCU z-#NVOsvC$@_Wl#~-hV&6_dl@qO6%+s_A+zl*GWg$#{VWqt;>_eG??x4k7dU{zILG1 zD2{KA9HyIiOQ^q!Hx>q1|AuLF0Z>91stv0YblxMZbe#h%%2ID>h=+LA6_$4mB?Rx3 zYCAmN%Ov(Ut1%+voV-XHOCiTZDIoF^4djee2 zy*|_0EPCrEl^)H5H3MGC5^+e66SXXXr48+xP%^ix$}R#VzSa zX1AY19%ZG+YQ#wwci(i+&!pj#P@ZhR*s^-SOzs~=6YG}5vds~=JvdeptFFj@BoWZe znwk8Q=-rVQw4#p=(yS+~+;ICu(zn;T^8`#RaPSuov~p0+ITw4D`B#kprs8X?g)?N5 zhV06|yzyA@xNMH6+Ncs4x(SdV`mc=*&+lYT`j{i*)5n^r<49lA^_OEf zw09ZRyM{N2w;w=wWOPm9*wX}1*^h@@ce;dSB)a|lgJzjj#ww2O>(lp7pU$0NHZ8jE zFB6F7puW`9qVH4Is=TkoJ5|&_sB)yEtoZ@xu=fJ1-Kajz4)8Pn6umCX9JVJ)Yyvl;bbXvE`M@?2LwRxno@nn@l;W+?e3_OL{s3P8M$P z`ckDs9xCfN2tA2ypRnscWI~h+|D5W^x#in|C)v^X3Koye(zN&?R}(58aPALhx!JqB zpT%R?<#Xe4tl=_@)%~qvZWNllk^h4^3>Aqj1Qz#+0SEj)TVbAH{ zo!fW)--V%&WXSeQsy!e?xmf}oDv|IV$kvOML6&9n9jn2q7WGqR@Us>QFZ+ayNDC_UywpVD*p2}6y6p^ViMO0S(R zDXCoRfQmh}_du#c=|Ml#WP>(I%7{&hnp9|$GGBaW`qb6s|RSG9$#7>Bs zoYE%Q8L`<>lV)u)H6wOv)Z|q$(Y;S}Oqyf%$%r+g*5B72Tp6*hs7Y9xxHDqiQIoG~ zleCQ3w5Z7nZ89Pwc0|-N& zqY2i}6=qvMRyfsqPGPR~U4=8OZz`N^ZBjVTdR*Z`>rsVEtqO(9tzv~G)?$U_*24<@ z)chs{l(JS#Z&XS-G_?9FrJVFwZl#oyL+i6r@g^sR z)<;UIP66Lj%4fy&gi>x3J3yOc6X zls{3*WKlk+l!HY14@&73<&#R8D#{wA94g9HN_mGUA5qFQQ7%-<5u(gjN|BdlO;O4* zqP$-zGetQGSfO0uvJT zyqE}JPj2)nR%AUO%FOC;1kRg5@jfro)GnQM4d{^2?SXD2TZ4_hrUdHloG+<*7A%al z1s6jF2mSp*1qUby0TPsKTGub&4>Z$~!2br-BTp=6dryas2@MpHa+E+Qn7;OaB? zwtj<{c$d+8uHNnU5TMbQuHXD5l;08IT~Q{%kywH1P*n%-w9PC|oL?OCa47%yum=CV ze7Es=`T7T~%s`-qHK|eUkt?=mh1>kB?BYeP3gw>_Zu7H}DY)Yb&YJbF%JgOkkr^2c z>xcSpVm5KZSfOJ7)nnBT;*_xlo&z_VA4Mh>^8f;TBayLV4fg;1Jk-W*cmL3_;@v;Q z%6+s)23Moe8BAwqzI8tyien>t5$*CyHPM@UL-`ifNlqoQfj>y*k}6_ue1kUBnS0mt zVG)P}ku^R*7-bxW^cJpQ&RMQPw^0W8WAfzhS93u8;}iog25#9_kHO8kdau8)Xxx!F zkxH&C60xvOMJVv9gb**Nbp}$b@2-_pvxR2uL)B;`9IM}>tIqX+;go9vc9ygkrXDkeue6z;Vg%=S$>WCJD$12 zWBp3J%ljBwq{z9z3)brxHHyGhBl0iISOa~a;mk-sxgsp&Ox90#-e+b036ZGzvVZ8Q zKHxCxYx6rVJwUCU;dcF%Bp@_s4{atjyVm!aXQN!L=7sLi&)I=KSI=7;8!OMf1&w!8veiM#+2iFpEnPq`TW<1-^k>U=!OR0MMvZs ze9QZ9W946t^;3qTtVY05 zy=P+yZbX{OQjl9M86SGSmWYzuAgitnUX1l@h(XsXTiVlAzK8rm3Mnyuk7vVw5{fR2 zL=5f1APG{gPIW!|J}Y~RDpX32=c=&a7?sW^V-I&HI(WBM<_b0EKltTqIxmh^8FPi! z#rPus1J_X4t-FM57qUWE-9qb2BnNqza3ck#F@bnS$$xNJ^$vczYywUjtR{ zR^3a^JWt$AW77G_$D_)R|5}}w2|YpzOmBUICW=qbtGe%PJuCkevLIqq!BIJEw4^BQxHkiL55-hMb_!d0nv z`!1%gPeWne$Ei$CQkP`4`qsp{lYPx3b#$?5!re&EifgK!dUq<0MizV)xJi2bL6>bg zQ{65vuFOERTWL_;N|ijgu%@dON4u9lM!T0{r*tkXT@tO@4#~qH>6-4gcZq%}3+BJt z%iL!bThvtRGpu#U=mB|PDVkCJD3L3U;p!wCj+Av?p0#ux`!V{*wgIPOz6Uxt{K=jdU2&sh0@;kA6ONAyMjWd~oZI6JSYcP(kWN-`V=wS;_1mT^ zE+ZyzBp7k6N(%3UL-}HGkBhOP;YZc{{A|(j_FQ;rs=y=5A*u&e-X^Zhk@QALvzkZUxhG z&~+r*bC|?nRU*ywdziAiRm>P|*0CzQSGiT#FqmAacnD+1>04*xsXY*b2*vFTt0@WSf`pG{j=fKch4bz#g1Z&vR7}l8ixfieqqKJtKBan9=uQI-Xr) zwoZqAc>NxDI6SrciDo?&?b#r+zvVLNVJmjPAnK5+l)YG{c;x$9OBUsNx7GTT<*6x~ zmonR3Zd7x*!x9c_?&L6&xj-?myJ|g`#3i(fzPkk2HKflAoSkJo`kdYC`3F2ROx&xa ztVvnl1ijr5*<&py_~6LcK)m%$RC?kNe7&<@0i8_SEbBKU6n@meSz3^tP!|b}z-GU` zbe(JCxyt2s<0Ah*?b+#P(K2ZYN?K~?fD8B%?l11rJ_B3Z9`kjk{wYl|8@R<9*cG?+ z7AsPF6Fk%>rJy7DDI=9U7%K5F{9C*<+bf>8v14~h0K!$rRP6TeC7fuV@fdRr-WR0( zWYe#3cvTnOR_3rl$(_?@le3~{#G9tx$4iR!Lnvx0#Jy?4eW@{Zo>!Zh?H~R`PZH*g zm5T6BX540ya67>4AO&DrkXyaKx|SfKVy-t$xGOcaCc#k)Mn+u5?(u|}$f@d+>%~u` zzwJQFwnKbpYPEEN)IY~l5^2HS_IIv7Qgh0vqc3?}rYxF`kgUTNNe>mj-=8BHt4eq& z>)#)K(JSv&+!A+sQ(ynBadrL_UIpPvkkRi|^cnq!)iE)D9Nma&FRF&oEeNsWb;QHz z`$xC<`@Kx{bymc*ziqOsykNiHQndN8U}RlGJr%gsg~E*AS1vSjtl(OX{gULikX!(K zNyZ!u_a*QKY|Umv@s-ZzjEj!pMrp!k&C_D|VnT9PBRA_?k6_lTfipnKi9Ov#a1G%P z|6F%7{5O|$Hy3IPK4Z2)cQe4+&FYqyc=btPZNY^G-)9n3I3EG5bUww-ho;M6->go> za4KoH>fV<|lS)GzKnLX6Ko74DLg|DQKPT6C1N|k5aEo>Dx{&UNB7;0H=67IpzW$Uu zzPWc6_Gl*5?y)Vv<6Q1=)@yR*&>5`viliQ)Z@2Yr$heEM_Kxmte7e$b&M|e0%P7U- zhcz^-=hN0us5|UC#&PK^e(+IRBD5ga`aa8H^SCfAsw)!gpj#nk|GcG*Wg@G*IdjhK z<*_uf!%CBt!c{B@6VB~*1lrH-jqy8+oeQd~T2x1SLa}z`T#)56S8TR6bv>0E>k$-E}e z5524XBs{Kp?I)vbwy?}PHN)*m=h3-XQC}9b7#=0#iTGISTQ?ZSyQd2F?%L6mX*9-` zOw2O297cwM+?Q{RE$9DjN5sO9DyURzP`QudWSw$S&HrC3zZ+0xDJQk4encpZu}`9U z8Z$j$3$2`)&|S9B3!@QIFXE6Nieks~EGmCZe zS&ohnvlPm2p{uR=#8JmS_7L-9bML5m8+oBClL`O2v#l~I;bvV`8#n8UW9GKX%i%wG zmWe}{b#B$^Q2vSMvqQdv#e+iy$DR*ovsirQ`S~etI-7ZKBb2|d=Hy@+?AqAL!Tl{+ z!#;$6N5q~U;&lFK3;PQjCw#ljvtgSw;KrjZ?aiW(xJJ$Uc3U8BT*jO0{?aO|Mbmfi znc~57QVu)mWe*bY5FKcCu&E_;SQ9Z5?oqMW~^EpkF3WhL=wq*Qn-S17`KDxI0mYDQ+i(`hV z;C%b$WHhadM-uLYFf)LC5m#tlxGng;Yx3In%$$Q+)Tbm$SLd-_)mc1v_An&+Hp_LS zX3Aez<~J`_nSE7dMpl_s2hGOf!Oy6=q#|qH(>3{E@Qs#9QXRwIfOq8Rdkp_oC!ADC zO9p#jEzvqWQR$RAR24Xs3cN{IV6^sH?Aq&cw3a8=b=4iStB!PZRop)eJ78Db72BvM zJFij!6l<@%BJbGTd-OfZyP&20Erd(Y8O99dpcv2C*k-;ig-&s$G*a@R8I)ws2_eW9 z=rt2}84c^+LL4=P4>wgyj1+$QyK^!Qt#j*w?@{XSw;pE!nsLPzQsjB^IVnw*Iw&N) zjz(zK*W|QeTHQq~gx@QzWIB}7RO~(ap45+|m)t1YAdxDCEN_ptKpnkkBXIBwCC|KP z2PsQ`*LjbmaD3~xC56hYrm&5%a6K=as03@u2?d%IMPFK=swB;isp_|958F#QN-8PL zUqlO2D7aCVB4!gQ%HTyO6kMeW5!rc-J<9Qo%ax;9RVNf0D^<&l1>f$Yf0P;K5GlL( zwjXTAI6lx8?8pqn!LJfp&C7WR=kM?aVnX+E;Ack1kH6&i7|s6MdfZ*4_J4cazt!Wu zAk|EdD<$>6J#OHCe2=@EditN$p@MCpf~}!~9ix1yY!JS=plh-Jjb&;#z%9pm`HAe*eEdQZQb3yXkwu z3=s3E_1CZrt?XCbnwDAXnG|tMq+D>p+&{3x{5XWOOM{-Qf*$7CAZZ}ko(+$nX!Y?= zM-cLDBY2k3*ANiA!@eDx;<6=Be|yNc11m|vkZANsQM$I$9gTikH1a>%kyA9D4GDHU zPNf+C*Z@k#^VFZ2X-7-vYo}08Y3---C}EKVYTWZg3_glot#@M0tJ)#oPF=r!i~F@+ zk6E-TZ>A~}RaG9ru-M%?P@pd?a#ZEhtw-e4p>L~jhN%p0jW~5W{TOnr$02NCXEc`G+1Xr)BamRu(}Xv>^-&2oZuXR5N1SKlN;Hvd zCfgsA_^pw_QZ?z19E$V28u-^>L$mY4nv1zfY$0Bu>6SREPDHNWl=H8zPRBUn7WeLG z@qIi+syAm#=qfYkS>bkji*5U0NAjv`H~HGf#IB3ISXb+7H*!O4>or({d`ee{D?r@0?JGZ!7iebaE%tw@p;T z0)uoyJzt+fSTz@Sa!BiW@=nyRG|@u@-(M(upjV(@8~4i4T+WJrhuNrtB+te+)sBi- z9JT%@N<^{knNfd{TX;J{ffs@;eLWivVf;u_g4zpnO6x8f<*2yI{4iX#E1a_n{qxU1 z-(&QFSRMdP~d5pDLui- z#8AYu&Owg+j9s6-Cb~Ay6L*X5wfmKBwHxMw*89XEEXS!6+kFS2vh{?3wCSoQuKWb6 z_Q66df;xPMT9cGRgn>+K&vM%i`;D4|*t1dB`d=uHWlt;r_=1q{$xzjnP}Me=4rhl0 z`@$n8!XXDKAVEYmsI6uW-CnKdCBySG7Qow_Q!ZpoxxnH#XImw5Lo%sDUu|fLT)TG` zb;bl&Zsir>m$mFPA8a-`>*`wv$M11UFTyn6d4v-fwi&99#UJKs3TF8y@U{Mc{9B>y zoNcJr7MKMaxUhU&T}j;HY&+*W=I}elvpC_*tz|Hkb$I2@e!iWiZ)g3%Y2)s_dLp6yHdR9wVg@7yS6gUXjjL7-p}md5;$o4VWdW z9R}hNd~eDz*$C73PN+aBy*U3Jdzz%X;2nA0kayYhe>X9b!27Zx-|r$W+T1%VM zbD$^`I27?h0r>iy7eXT>qA&w5&=@xMr|Gkj^t_aVVS^bsP;Vth?na8!>*ihtzoX#= zj!241j_ie27so^rZ7XD8owZ)vkFv!UYsfLzAhny$ zZe*~d(7zzq;qyNn>?jIct2j-Q*$Nc4OI)Agm?bE;-h&;B{5J+Wvi#bK4%T*6#lRg& z>%paJTii%wk!vnv(d?3N^~LMpnLF4eD^8nDYR#rbUq>gs47bhG-(~aE`fL&TuS(k3 zxi-f9R9}aYGd&nu`&r+bMNd31k{F&8UwdOl)9NIvMoeyAfOv(m3mDu^(}RuD`gy z`eaLWeR-|BA=8NeDtmYS2u zG+7_qduw`KJo^W2fm_A#M0s-D9Op7Qz~uxtzDbyN**T0PQjQlX$4K9Xi7|JHqeBxz zIcMb_a)W~xPH2br3~7^5>mUdf0B2;Y`vpfEp0Di@Iw?#tV0`ef*LNX=5UI|`@+iuO zTq(Y!l*3RcdzeJ(d2PRSGuH%))8-U8VQa4oc8ppTfYkC@Wjr!n;0;QWHLB05L=s^9r=K3 z@fn;rCW*zUK;P=Uqid5R{VvwmB7W>*Ca(}YmMEmf%X2=lhX=X?5l@LkqO@DU$)yZSD3!pjokYh2^ z(X-`=I8C{$@3p=?pLV8X74(rq`qllcduFKCHHaxWo!Xv_N7l!fjlsHpqkIXpv}?px zwX~yiX=%R~YOWe&_`POMGM6TfAXq*(y)HOvIA!bHn`@n-;~^xtm*Ac=KMsx@9_Z8H z93HN8Y&`PV0C|mE2!$I-8wklXAWB{_8tEG(-uF1$Xrsi-q0`=B4G1G$eu4vYDz)l$ zRjEv2R)wdX?+M>~15+@%tz^N6O`#KX*D;g_LD|)XMn=7YeQKGyCI6h3+V} zp|9$U2jN4rXVUFje_<43Jm?y4zBf*fH|i{d2Fts82nCR?In%|N!Ud~Dj&9VAFHI;( zR)R{W@KQF-ffSyh0!7wRRpQ8kWdC)9%xe-zhD(ARMk{itFKTq9rO~S(jL7a$GhLic za|2+ewTs3xhqg3vP9(WhAzkz$w@6%l%#QZoN8IhlGdj2$ z!(9!l1Yfj&ULfOip5o?stV110DQOlWmAUIo?DI9JYi({`k6PQWN>kU`fa$`xXdRnP zdF+jp$Za96Y$aNsv9iN_w>HnymB+IyJt_~HkZNPzLDQgEsJH1t=m>%OfOEDU^+n4~ z*7YTowM>>F`;xhjbYX!U>is#hM`fjbj`VtDpgBr*?yd~LO-Q@2(P7DKo*%UKw z0|J^N{l*mf<^OZHzYJT%q{hEW+T5oh9%kv7W}xhm{)=UTtBE!-go z9Z-*u-ReQ6?yS;{%S{>U4XI0cK#=RbZcgAsWjO>(gv+f7 zI2pd^cQ;+xt6lM?&y|~+c+7|lemsAq@4}_o<7oTsKc7hceapFhS&zy(rt1ct5FL}I z>*PksOsjQAXJ=+^*!AU^xmK7IK-%*ca5)pUC#Oi|cOXxFrWFJ4tE^A!0x>)mBR@|2 z?Jji?T_`eSc1_EKK#`7kA=E#V=L+SyN98AYz9yXX>=@-IGP?l^$78I z9${fgvosHxRUOWA@og-LSP<=rKaZt($a%zhP8LaRA;hGdc6P>}4^_I5E&Np^*ddN3 zV~-qBp4P`(JdK1<$p*welsi~lAnDtoEh=5uAffN8yh_QK98MTWLNX3jF#6+wO{53t zo@@t+@X!R#5^vQR=eb~Eo8jyvB`RGMDDn3v1q9`J)d;~aP}KpmKsX^rLOei-Ov|Q z5RQjpmn=b2-j+t6U^cOQ3T;+Bk=yf9LRRpkEwnq@?&|HXT(cq~!XwB1gPpL9iEOlB zi_7NL;0~|tm^eI-ze5ic^<{X1|AMpjM*JC`jUrX1y+N!0 z0k!9q_hKrqF#7~sUEx)na)sBsFmhI2^|9x%D}$}>@G5ta=S@#|y}O-p8^)z2o>%_p z^aOv7y2w*D?QD3}S#W-0uoby4WfQ&pD@_ZpN(-JB{m~-NXwTaT2zeQdu0?cvL^mlB z?XN|9Mzm$#@cOi>m%^(Olr&!Krgel@btv&Lez8&8}YIimpevqU{QocPA3p_5avYMtLQMSCO;zlviTJ^1O2L^JRPTa%F3}!tS>b zbkw4&DKMbEH3k7U!Sn8l+dqE3GxghIyV-1fMJkS}sz~5YiL;4a=RwEumKshLdvGh- z&3Eu`Hs3nFl*9C)ePv!d$+2l-4B^&JlEqrEQH6f6D|E`b%dK+dphxC0dr89&yIgJ7 zZr}uy6gE1nq2^kA;5vh}5QYYI<3ogX* z=3S^y@VU@zn&?b9Tsz4b{Ie>(4^-*>yhqTIwy2x#y83fW@B>u~wLM&J?%|Fcki%%5 z{n;7(Kx)?WINexh4qeK+3xv0u?;u}`3Oiai6P=VmkGfELa@(UG$Qy37S?{{rmMTPm zaL-^1uef?P3df&$ICye>{ri3Wg;89iEn*h+CJ@mO5g)HrTw=vinYcd9(G{p8hTdx)yaX6VOBfo^m~K=Cr*-g8I=Fo z5N$N=5w5cze1$ccF|2vmf$5CX%16IV18c8QKI*MrVP*$Dp40jX!)On2kRlaf7BXXG z$nZS=8DYUtw|X@^h6(JQ3Wp(*z25oAQ~s{u8TjtYGIpfA!94nC%dqq5N9e~q$p1Q0 z)`66!Kr*;LrOETFdS@eN0bCR-e)|Ipy`a zwxbDq;Qf?nDXLM3oobY*`~y5MdSG#yKrnvqByAXokfc3?$B3|GQd?$ts;A8}u0HT5 z0@&3CA*VEoOfu4AMAOTqpU%#sr--~G<&!{C)HX9QSVtIdA#Bcn#?_ZGMA%Qbpt)389m;%hmiefNy%=b{JYFq*Sri8z zU{=te1lP#E#403$C9)s4asOj36ZvDzuw*}?pY??JxH)o=g=1cLzQf#$i|aOBv*8Hh zZ(cnz32_Z+57#DUPTshGeJimwFd5#z=IZME?^$<6#Mxk^Wmk_JacV~k;UZiw0+j@-nF#MR7xMGEUpxvrZMi$ZJMk=fxI z2aeL;WlxP2pZQ_^p9j>RijV)O{$zYSXM73l*T|GAe&e|{w;-~qzw?}Vpr%nKUNWuy zFzmWIVzUExZLv_!YBL_)H zYPc$RCLs)~;DzU(FM8hkk<5YuCqm7vgWMq`#EW>4+dIRz zTxh%CPi6Lfg^0?q{w+?HxJbzV4r_mQhiD=XWlIx@T*nGrq($|!!ni>SO{}#+Ip)5O zBHEfWwUBD}E`jGIcJ}sDcFLXP&uiL>^?SQ?<)=4NmFTH8LQQw+O|84O!U#RMjuc_nE4;FG%^nRmnyDaU) zuIxtN=FeV}L(ZHPdlGZx$*LW4>=>Kyr`>0q$hk#kC#)ANzfP&+#TL(N_3g()oPGLs z%lWOGv##~DAAe0wR)Zfbj2b6nYzA7)d`|W2>Z^7$d7KfddRm>So?$WFb*B18C}&I8 znd&}{e$lh^?aXPAGgVcurtf`DDLHYYrtk7?8zOj9U>oP$&c;yHv(r2;(M9Y;aHtvJ z+-w6U4BSr+6~OKJf+ske$X*s7MWb?_<%HT3oQO$LM%8A|6JxY$hn%lktv=DwlQF>f zxW>j=Th$AJ{&a61s6(HvzTY}r90jYMH39=C*{7>G>pES1QJ=1!ogdmLj1!^2&QRc4 zjyNmAftIjqV)!fW(8e{&qRtGEo;uGsd56vS{9) zB}e78p>Y==B{^m_m4AHltVFOiMh7=7lvBrP=+DWZ8Q5v6xaH_|T30eS_WL>;i5y75 zU(r$17*i(|)E4s96|qm5!9fNmuxHIT%_h!Z?GxB@aCMu$I%i!d&=y}$pU7;k8Ra6@AxeJtj&Mw4p=AV|P;j>;7_IWlfiZU8KkAEM^%7OxDTEboW4zCHHhm`fO zECgM(`!%8C6d1NUQr-ypHpq!phe|D_T$ItISqMzu24pvF^#s#}R27{ICl9J9oeO7y zyhrjk>HI3?h|6I#hU0c)NMN_uKsaMnv*G^*r^GpDi{Z)NIh$7}>R=(LYT@=pcnteo zn?qGQJTK96^!5C#nI~ajo(hji%;C+8RO}zG5A4m{ss~Y?hUy6@%Alga9@F zit~Tdw;kzIw1bh@I~$Dy2N* zKZGs=ihSY9*ka~yDK_R3&SP_n*ujZ*^-Zg&i28a()VnWB)aQK34m0OT)3=LbZNxJA@7*Fi^}FZ=?v%m7 zp^I;nuey7v%#wL-ZI-hk%av9;C$@D5GcUXp515_N@ff!Z2+FGeAhG6yi?oIGx?*>z zYAb1b-z=~eAUeE3?S;zb=eumuy&c~y4XeOfqK^ z!EW=w;Xl*coE%Jn#(&5RDH7lOAYKW8^q#~ogQ9Mt0m%}re@FN+7tx!HNsyZ7sV2gr z-!>0~tM-wE=VenCE^t`I*)r^mZ>C{~N3fia{}2Q701wC?k=biAlUd(BCb0=4Y0SLX zCflFabIHSeT{dRrLWo=`*tp+pra$mICYrt`X&#^8u%ps3(HS_%hF<r2E<1Y#khS-Ak(D>rKeQXVqKD6l*~QVaG7NWs`1lL1T=kcVQ%M{j&7 zrkvNPTUcXnB`9XTa=sWVKF{$TgdF~E&G$`fjbXW&{;pih%CkyIl#;@i!y-%MYsBuV zrl47pyk^kr$bI&h_{MYfE`RJ5hD!-~5EWAzxw(#trS7ZjzKfg%OmW;`9{weJ@Z4X>2 zp~3o~LXBZ&SNDn(!p1#19v6%C1QX@}cl(<<1jiq%Ky!PcKJY%h6~tJIE&ss_WUtHEFgAf?KUY=QdtMkHx$_yulq ztyGQxb6MY71*B!p9P*LCqTmbW<4001m&?j)Dl2bYCM$2L)CuWak`kdrh}sLMQDbBZQP$>SK;fj;q#2u>j}5;EdYbO*qRhPXOBa@dhL&|8OhyBrXD=% zN*5>Z2?vKLAHhQRYvMk7RX-9JY+_E;)81juw z;}=UayPS;pX{M3$GxoP}^S6F8iY6@v1^7d zy$@v>EAg?!C^IUILjJyFEHz5_<1x#!3g4O){@jYPC54p)Dq)v@lqn6wXa@U%LqEyt`>6;o-CsYOcwLMz+VY&2CoBK!0W->bD723)31@>L&m*E8h>{q8!FBi zPIyJ+ugq9v_>C1tsj&=`a`dA1qpmap=*6T$=}S?Gx%RV^GFqxaB6#()!ynldzU`xs z5X%T{8E5noZaHx-!M~(HLieJtz&1b~h+98yB#php9ra%bS3+Awjw*xPP!_=#iU9?@{;OBiYj{M-45s8u5iA1zWu}@^tOny^zunv zEQ;pc4)?yUa08^Fkoqp?{}NnED!k+>K$@fudxs|Vw8VG>7lp(jB~TF!xo5fFWpvlq z<-=c&|3$?4_rmF}9|=dwvIL)AQdP$PGL>pwhEf-mm}q;UB&j2zi0)c9qV#&VF`_H~ zU%Xrw5V|faDU~}_xk|~2PEus2?sDjVaV1-*JYL7qb-i7{%7FQ&co%xxm^E~Zrs&X-dU8Qw33z3p00PH#LI;w zsg*Q&4LjbIl!&f-u~|eqgodTgWo(EeZOaKsdIt$l>Pq?siA~HF@hyf%q)!!lFTJz$ zfV!`fUbUplho+z}b=9R^7Tx`{T?T)@AJuJMXjJkdG3y?7W0q+eNyN=iwWhxD1^W2Nc=)?&9*#*DM4j?KWKqEy#!{J*`ke5&!Mcz|NVb61$v+t8xq@>^U<2yho(yt0V>Hpn6ClG!KwY7+NOQF?E z+gNvhD)v(T5~`GfP_G{Qd-k4s{9C3%8?IbPPF4|q1!i`8?;f!y7!MMA7Nt84cb^}7 zgo>salP+sF1NwRpI?KC^t(_0ay`*vl6ecv-9fMa5Y!6*x?<1Xh^t*|68)xJy-7@|! zB81U|U~qa`-pf>%y$)YudxTGV$Wr6WDvmEvu3thW;n}|&QnW;cB4b+-DfAjMF%zoM zqsDk+7=K=Rw%$3IimSycJr!ye$9%FhP*QRmNQ^>rCD4og;}T6D!y#`!Ir|uWViH|G zpD$K^_oR_GenY(@b7%K;8~3H9&C2C`*z1+4s^MO^d?7!>SW~`+pBop*r*yjkH-Dlv zmMp0>@@GsOJ`%OyUH4A)p)~TRO&SmV}+=G-|3--%co97ip^6! z)L-wR-rPg|jUMW6_E3MThx&z>?l6DRLoM@p!jfgJnu|-@t)Seb1*bFH?ViaS#>A4! ztdf$lB{&uJ#EQZ~!AXVwnTtvSN}Xq`Czr19uUJ&F;?ctK6{wahD#RV%s zuP9vPFZ8V{EcN@=%wmn8^m&CV7nLtBt0+`v1TRxVa~#BOTYGAYY0yUC?RWfdzINx|Cb&Re^3aaqZVB~w?FJ~B(v zJfW~?QJ};>p`>KOiV7;BtYSr>N{g>FurhCLrN40H)G`7stdzPbTUoxSqA<^2v7&St zdg7cwWl@a8qV?Lb#H{sF1wHNaD+?>4acZa27OgClyicx#PF5`KWjJ+w&Z6?J*m~uk zI$E@>aPm?~!;BS69vNR2DD~evLb;i^qNK1#-p8%YUR1iYM3au>`LEc|GP3DgXYeiL zE8#1qcNuO>QEmTGM&aSiVWyGRN9cuy8xPRi7|a>Pe1-w38u|3DK1_Anm_Uy^6;s2= zVRcJt$CW|Qq&Ev%UsX73Md{MA)uNxes4{AnTUH(@S9y?fdT?QMpE z1at*s*QdJrYt=Q?bYRY}Re$aA>ZI6Mda#WfH`1}BrB&xv*U}pey>(>ntZMovI=9XB93rW-JdtuPcnaMScUj zrHfc3W3Lc5m7>61sa6@{zCsxi5B`)p{01tN3eK@brN+d<#YWDe3L~q$0$d9>St%bi z5m-jOl^YK(@f*|1Rv8ltmxz1X{aW!;p%jFR-zCdI{I8Jx1^g@8A`DW8d0<(flGaLC z(6w$igjpsk!nKVg{4RACTmrd#IXQPOU3%wQ70yzAcX)I7c}207pOKwCdgaQ|vWr3Z zY81kcngNh^^pcQS{9g*n3^xEyq&Hom+{?Vp_9tsq6;0HCw_b-+Nf_FN4o~{yZZjQr zMGq4@9^041Bk{?cx!Y_CW^@yzsgMy<(k`P!2VNAeW1_d3yjMsW3*73-U!#1(wTteyC@yh(pj#E{oieKiB^3!q4ELvi; z)1cQSva=xR*Xb!EPg<|jrRUJv#7<*xvn)zLez{T10q>-|mhyjXG=9;^-yO_6#9sbn zPOeSFm3Em;&oY`Bk<5u?1}{IE4-Qv7hHU(DXY^|Q%wz{Br>z062CKpT zU@e#gZUzT{Tfl+fvtTl~9lRFY3Ccd(E>QN_>Ok3NYXX_W7|o#Uw;cy%zpVxIf);oa z*apgeTL+i|8cA73D&Pi(fQjHOU@~|s=mllQHWa)aOaq63Bf&esOmH}u4c-ang7<>6 z!TZ34pv=LRgQLK5P-dQM!27{!FcYi=v%t;ZcyJ3i0elvm2yO=_fjhy;;4W|qSO-1; zHi0r$G=mR<$H82%1)K?5p!DZ$;KN`Cs3?3uma!ha8+1Sb31AGE3_8J7&;`o)6bq)I z?*onj`-0h^8=L|51Gl-L2XGiW=mkfDH-Qtto55T# z1)K+_g3G}npdY*itOjodp9F6Mw}7{U+rVMqPVf$JH#i(T2Hpv_fOmsuz!6{vcn|2h z208%~!TZ2L;7D*NI0_sAjs`Qq3~(y=WpFllKe!ak1k1rJa2+@vtOX~4&w@U12RISj z1x^C@fs?^za0++=d;qk-so+^~8fYX#M_>Y&3nqgPfvMn3Fb$jqjsYJAr-FsxJn#{) z1S|p9fi++)=%5368gzj&?~#Sdv*`PQJHR+_7nlI<0~5h!us?VLOad)%Ab1wM4m7T% zp21}B4lotW0LOq|2D8EO;0*8)ump6_QLO_-c4;lx7knD*2R;kNfjhtia2I$TxDU(# zPk@hr7U-Zu>i}J#>pH>#6TyDqATSOb3MPOf!0W(FFaw+lI_U7`fi7@4*cbGJ{lIE4 z4tx?!0JnhGf!n|ga5w0nV>}4<1CN7o;At=cYy+Sg`mR=U4i|;bzmG=EB4^iVxLMn#2(x!_TX-@2b;uxDCrS<@U+;2ZDM{0=@E0# zJqU9!33Q~ZR9pG!=F0cvA zr0I|o&fiQ7Whr@Ecgm&49+tC14sa00F%Kt!BnsrOamVP z$AEQUHh2`wB))#&4D^S=h2U?&67Vf>4R`?D0R95p4Az5N!DHZda2xmn_!F=W{5^OO z{1$i|YynS$zXaRBL*NDQBC?1b+%{0dv4@;EUi+a5pG( z2AQkuMqexD=w(jRgkI(hvk5l=JceH89_84}9H<4oAKZmKU5{}F{m;M-Q05*BF~0(I z-K6I#Bk?yBeIoi|Q08cZ!9nO3fy>cf2@XY1lT~v_4>$t-x4}$sI=BXRiQrW94}l8_ zcQ`m3eFa#J{w{DS`ZZt^`q5xH`p3l{|5t%BN4g(ehj|Em63hc@F~1w!f_^RNMLz`G zhJG2Cj6N0IiM|Nj4L$|tVm=IPLcbC`1_r?v%*P6%{~FkgJ_9_1egn7$eHz$-{vSct z%~|YRQchq190cZrwWRxMa47okfFr;eU?%tv;AZUmgHzFm!R>^5FE|_hW8fC-lfb3u zXM)e79{`r4p9QW1w}7?ali(Kc``~tPyCCidg1gYq26v*r2Hb~!4tSjS#(>S}H-aa? ze*rCU6?hih3fAH8TF^+zGUftq{M`&DpkE3mgRg=^!M)%}a3`1z?gD3nuY=3MMzD$S zt^?Pgp9f0c{s(Xa`aR%Q@K@jq;19ur;4@$|{;mg4qyH}01{Q#uNl!X>0sW(32l`CV zotlMU67r9JB$$l88mvP<3QR>`10E;bL0}sC`QR9^6l}r#1~41_0`OV<-2=`*zYgrc z-U}{7zZkU8-w2kVUkGl&{RnUk`hNm9gI@*HFuxVtj(!FB0$2vtflja)JO#FZo53^S z55Nxa=b&pymhodS5u6AP0?R?YQa4D8P(6Fin8?rGv&vSjMa-r5fv%$Va?&I}+0WG| zxfi{5SEN#D&~~J?QjbyhdiTP>R=bn4CHcvInfzpbOn#-&aAk*IesVUaQM;G31Nq4= zfc)gdUVfE&^aQ^W)eBY9U#i|!P`i^I4Ee24)ClWc)Ss;N%4fzRwhWzC8T%%6EoQ=qDWmmaq`;}4iWzqaec|U@?O5&3ekuy_WVwyIFQ~z0* z3w;b{CN&AQ(8zFR8F@-wiF&*m3xqa?v(kM)g;S{1KGZ@Z!}0kLYN3naP~c>xu25<* z7y1~E=~Sf-pw3qPq0ot#OI$)LqMm_T=wmn|mAEe@b)tR{wb03MMiw!b^JPi1gfH|m z9F@2cnh|wAYM~p6OWX+UNPZ>#LO;XFxr9?miHN!6Md(QOY_pYG{LWB*g`Ol{@hdbX z>70OC=t}l#bQww>$Eki)=u5(sawt^k^r`TL&W01~Ow5JWL@oIhdXq3EokDZNaWM_G z(4C|+2er_is2}G4RCNAmT?;MR^(FK;9M_Ugu^*0WN!uEgFRgx5xz}o;TicD$uGFKH zgV3+kqm-wdF-zJcJu6k3b5xq;yxFc7p>K&-#t@-#*#m!AsU`gnD7q3_7qx^h^e*Lg zX?Ydj6(y!x{_F$($+Jvo^cG0cYG$!ek_Fb7M2w%e4{vgF!sTM~-Yt^tMVT#i$vD0D1kq|1G!D${AIjHHj2P-R{u z{jRh(p+CJ3sM8_+u7oUOw)D9|XHt&3&1mrDLSq3caUx((=d z+mp`5tA3+|m?XcFHr-BieUDS+)w3>py0`Q1Q!6C9zws$r(Bn_GqEnq`JrB}()^jDD zXWb9!JdanjT&eP}^UyOsoj#ohJMLUnN_N~+RZZ!*^He%?+*4Iwq2tzZ=(s0E+oqJ6 zE@R!FjEkmYCF7di0_->@s5;egG*UF z*zx74wCJ=?R=L&T<|=btZaJz3bh%wVTxms`TI_IVsJhkRYMRyI3a5e4f~G-9WA8dx zsajRUxv z*M9RA?P>FIJ>2K^FrOZ+O9@B!8rpB3>QS^hJ6bQ|W*WVQl&h$76&-cY>ts~X^(3hK zdEsKS%V81zZ1rOLcw4KQmsS_6*^O2|qGm8!U83f^wt8(>m_ieF z_`QPRb-qJrxBnm2ED3Pu=Qn_e*=2 z>oY+ejxKktUZ%=atCy=f)9RIKe?Y5+m(31e&!Dxr@a}e-cgI_N$|6T|Kwf=b?J8W}8bNEi`SE>q>B=YNkVy3+G7ogRDsq;=v)=9rJDJj;4W)Ury| z^J2Xc)AMD$I@5C|O|N>MEB|FqD&?X>)uV!51p08-?@78O| zwbwU#Rjk)HdS$HVusU8n|IzfP)jFM8E&pXakh!^DMe8++a3PAh9=GlFxUJW|w7txA zdfJP=r@fSnj$ON#(&^UAdP_L+#LlKm=}l!_YR@mb&3pF2+P&OhxSV@!-rK#bvFvgX zwViiae+Z|Vq(R~p8yOY!`r4)y;S%Up%X(1nF6i}?u5a0A5Ob+ly=Kw%q1S`LxgcvC zaZ|2nRL_z1|DJj7Y2GsrdJVXW^0wD*I$k}#OIwuQ$KJ;gy--!RUi@jd%T@eZE&R5& zd8KM=+T5@DalJ0o@km(zH~+lGrGG=&_tt-x+FVYb&F=Q!`rE*+@uh#g-Pf=WY5zHl zFZS0ngvZ$@xBp@|JNhDjwtw&c%~c=G`{!%buK%H*qm9qI^)kb^OY`!0Y3+-?x62ww z_n+en{(6S+xTE_o#_`4eZ2yO$_a(WpL;j-p1v4CVmT2^L|HX3K?JCjeR%?~R zA%sv}^LTK>#;-k58`@+(8Gh>Po4@hRZ$16(@BG7-XTJNrt^fE>-+%T8&;9eZ=YRMw z+kf=qf8FtupZ;v;zy18*U--p~FYS8ym0#}u)vK@7)$iH6?>`zEoAw`g{ot<;z0rL5 z$kAiJdGoE~Z@=^IiQoS2_bn$+{o(X~{_#Dl_5H}1KmGZGwhur0`0QWKwRfEVgxVU0|s7`eC>7D54z#T!QPv0PDved%dJCiyM5Rl z!@qQA+Ff_2kGSXF`$mo$oiS$Ym+#M9w0OzV!lGr%R}?=|va++59UsPC~rpo%vrPN zJgm$AuiO8RD1XhnM7a~u!Un1w1+kTO06b?&6 zBt5dRQu3x{O%t3vP4?&o6LgdzZm@T^g4!+}NYN z6@{xXD_pR6g}>4}w}|X7SW#LKRh0$&1#*U8!9pA6@{*;cxB9(h<%OkQ|MEhwIQ9-f zXi`~)cSUJ=z%Nd_-L2#rLD>@JN-Q4I5iRu&S?XQ9)?ZkO;p)E|E-8oxJfW<#P{NV@ z;{Wn5le5`7^*Q51c@y#~-nuiZcFW6|-?+Qz$a$~pIq3+OjGh;O@4Rx}Vy*#H&Rbfx zB(Rdp29@(xt*8tvD)G{(EL~CNy*ur$^m#C$3wL_uyq={v@1eqy!bO#Zy$rupzEn0V z_{o{Fe3$;3Ii%hEWlX<*sg2enPo+~-$D(NK_pxg^KUt+&HBU6n3s{MDy zWs3D*wg1IKz3qFZPy98{)ApkNE^50TE=|X?1=^i$-*ibjzr3Kgy$F+&Fm3k@i+kH& z8veQ^z3oMY7v8)4XDsVZ+oT@qOWg&Qce~rrLw%{cV-urB&d3{v%x9#gU^tQh={M6ds_LtJd7_+zi zrS-l4X>D)t`}AAgW!|$K#LkPI{OoePG@f-^dfQ*>|2seX>-LThGL0MfW{gl%K#9h9 ze-!FArTpB#|CK2M-&9QWwvw-+qKr+EbZ2(qqH=ZB&$v^m^%cNe*F#*+llcTZKMwqG zacm`bu()+r2xpdaa^-}=#erqZ3M+Ce3M(05jFp&m-CLW;4ZuJ}p>6k^#2U4f_>hO` z@RcqpTUwY~wt{vgw0A8~SygHKLqW+<(IL~3u}{T7 zBiZMEKL_+9wNO!Tyrd%ppTdZUatVzT6^%wrG&H8vP)QkoDl0NFQZjUKLdC=)!z4wc zksK#&LdBeCe_YbaHS_X0P?I_db{R?8~#?cb|Q}caxgnyu}f0Q@hlV*jN+- z3oZR^Aq$+e&>>0j$syw+#@LQ^9t+>N_z^JKk@F_U$2v#GMK7=&_GnK=&g(dDE2r`z zQes;lVY7Yi;qvZtHA2kyC7}6nn2q3PTtiR`l>P@UkT%=a&SB2zv>&=g+H5boPfSUe zl=wK@zHAp;TQxCxUV;--3?rolLZH)%^Jex_&MN1U9 zFv)52aC5+Vr~K%_ds}N3y&xqui8`ZA#%~Q>o{eo)z1stlsSjHlD|*oD+x!$;{UqV0 zXM60&Z4hF^FY_m)Iu|SqcP?uBN z`p>4(9E#MMOQAW}z?)4a-^y;(ct_{3dC?ecE>BXI$Ls=(FPDGiNIFa~`?VEPeuPkF ztfUgghmNJ`H(aaG3)_J^Z2I?BCt<4G9gJ`?l!t?r8o_7#$otw+UmG(=AXRGYe z4H5A7#gFkx&vK+yMV5M7vR|z z(_=JG=ic<(-9Y;}Dwp0%kZIjy@1|rpvMOS^tb@fJc#egk8I1M;a&GkY&#y& z7Nhzu`BM?oXVWTDIdcI!kOcSwpsTC=v>voPnE);G(zbXRVp^Bw06m`tP&sRXp}^+0 z{1(Kte1!mg-VT6X_ZC3wbOfO1KL+UezGxKMKJ9I0i=s6{K-{{r(wcQOOk1)&qH^5Y zGd~g2^A!IL|80T4w*`D#f^B}lzk9fSmGj5BPCp2p+d_N2@w#v`lPZA!W1#obKyPm! zqwR6K$o71De5d1gI{&}DzFkgx+DB|D0id>osuBjksfx}>3{n_{~5$^>*Vg4KN`Mln5afATexgsTfb~S z-J00)Qfs{Q#rhVS3SOM)ii>dld)rQKv$vl9(O>+(09OcZi{Jb^uMcUvemAZ^y0u~J z&u!?wEjy^@NkZI%&7fJo)RB?ClpLxAb`JXZ2UHR=>FQPO8&K z0+DX;R|2L3Q9vvZ2P6PVKst~KU;}Vl9#8-j0VO~wPzIC(6+k6W1ylnyKrK)Q)B_Db z6VMFUI<;Q!iP#(H3)q1`fCqwsFd!U=1fqZxpxq8-BApH70{K7zPz3A(N`XqC7H9-o z0PoI_5Ac8vIDjx95||Fe0trA0kO5=?IY1sz2$TX90KL8%r~?{-X28px`r5-s4R8Qq zKqL?cGd^4BW)n%0#>r^DX*LO5ZQ-z!IP%6m$yiNLPA% zpT6eVkq+MI)sYT=zh_69qRuKPt#kzsyfnt|M79b z_Dh@JOnRW`tialj<`@l%0C!v#Xn~Us$|z z!pJS>)6Q0XJv1n5>HUrX?|XMPzv6xNK*cr3g&j4QzrFDJ!;97*4Ru!ier=EKuN3q< zyX~Rw&U?L5m#$1rJ2ZOilo1U(o>erV^!2YI#_l*b!#FTQTeW%Jw3{=wU)o|Xk9;Xd ziavH@)wET$LuNdE_0!oq*Ee7G-?z1L#;MH2utP6AXa7y7iBT0p=+7c;hpP_Lf0%@D z-I{N7`*>Rt{nKnsi`7n#U;SKS@8#cE?;j6z_B%7>-nWkZ*{be2IBQh@QN;&#T(p9> z?~YG+)#F`h?z!%;{H&M0R9^D`ib9;!Yy$&7iTOV!}W$Cuw+yVO{FP3^ZR zX2?jUyjUOj^f7tasOL&;H$K+tvZr|Vf35NC%I%%CgIS>?y6*mMs`Tk_m7DMT;owi% zi(_)9DchggTR!>ZOUt%zeUm*C_j<1#6JK;peaky=$e4x)MSgt5D@W!GSu@qWu>76P zzHVxAOdNK%OMY-}e9VG*$@6BVJQy=?t{R;@cX%4(Z^ycM{48g3$}ewy8bsOcddh;- zWY_j!ZPEt)4QbpU*L}D%*%_0Hz2_FT<#A+zb3QihN^!=9FNjaW(%Niia>vPAPlu-C zR=Q3ahHu&l_5}LZ@Q+R&lbAMdj&p&(JvBZghVJHV_|J+?NOt<$gF58sL3e(N9&}ro z^q`K);dVW!z1@(xy%T>rhgok5&U7dD6N_Y#zRVEDViK7Z%vPp|*~5IoG&4Qf0qn2X zcs7L{%x&T7go9Fqd|cL)`N~G+up+6Cs^`?_wOZ}CzTZ&HiRKJ5#!NMrnAv8vdBQwx z_Ok|9L#zj^HCDOxd+Ve{m9{Uq(wp=p1Bf3PhMy@Z34j6{%WB$~vN`D7tkL7pMc zlHZbT*h%bSb|t%p&1d(p-?3e| zew-gSlvB7+?qO~cHGY;?wvHel=gr@8_%d5BXEPP4EzU34H`XSS#!i z4hyFQUy&D0ahez{&K6U}C&XNFi}Pq=8bB^t_ZIm&w1E56eT9kxIBS zQ;AoeP=2GVRkkX-ly{W(m1^arVpAE_R7a_E)O@vvHbCRG2yL_WlJ<^vKMsahwWNsao&vC*GDOz$$@zPvrzLY90l;+FN$c^$7 z%5vp-rBu15%vPUP^VCD?C#t*FM;oR|nyx*k^)((g;*D&h&bY@MXa-u;kd{LJ&xkMK znaRu>%pVzdc0Ahaey)jgkl`kTgaqsh2zTsN={ zkm+eM=49|A!^||Zz>yp?*UU5X%`;}B*<@Zaub9&;rB5@q1a>R?A$u2hAK15# zYvH=^L-^hNKyc`Y*hT6g%|j1vmfn_*NvEVHsh1of7s{{5)$#?|UAYIXs4EXCVah~h zic+S$r%ceI(39J=FSN_rO|6ICPnYxv{ZTzi&(U}2Z|M8=5A<5StI^Z&H3k~G5n@Cc zdBz^&i1CThXnbun8=j`O*~c7W2AP8CFvppZ<}5SGOf%P-Tg;v2>*gQKQ|4LoOVsnK z`JL%*d0D-zd#(FmO@~>mC0T|QYCUX)TT`qVR*W^rO0v?d#ny7`X=|;u!P;mQTEDYi zwcfJIto_y>&`u|;Q`Q-)(Yj=PV_moC;(G$xtTXW>-ANzPp9~~J$#C?YPD02iGM+?Y z)IUaMler|7EFzic&(#?DFOUMVoxDQcAa9fR$U#y?YRD(#H2H!wk*~=$a+7#4-I?A@ zU&aot^#H>$GGj0gF^@14n5pQGxy%#H(@ZY2iP_HVW{yLbon@{vUhE+D0ajv1K%+g+ zzRuRO=h$xOsUh4-ZWXtQdxty9o#2-7Pw_eYHol3!%wOl{2uZ?1VTJG;p;|a6xQTD)Qa@>c+4* zzrf$*(}XHvrTDfuS9(J_Bz2Nw<;}_-rAVEwHJKB@jT6?NtY(XD$WV-;_>y7hk@3tl z^uk5vTjnOykCoZ6>|{2HUBo`cu4T8d@3LLFpK(Fl0xpX?!+p)!`3Qb0AI-nOpXIOe zUczwbC^Sn9RS_ppH3R=Gvbs|2nfHHZQOecw8 z;5v-#-J}v+yGrh6e!&DWNBJ85B>y>Y33cK(l1=`(%;Krzwsnl$8Q~h6dTyYHPcZUf}c|=nXq8*#@S8v9SZ$tDHao0beHU z7Y+&^2*-pI!l%M%uN-0U{r1nrZs)gzPuGFzyBs26nWD^uRO!!g~rCa;BUmXUjQquAC?5%Nyka z=%FHcm2t)xVnXXyQ(p`0=|PHwH-yVVe{raILb@z@$v>0(%XZlh?LI+%TwdRCXR*9X zE|E*+PKu}ErFbho$|7ZjQlRWmieV8;loQHLg;8ZySA$iDI$8}=!_`Q2Gs<727NZXL z>mQkTU_JI7L3EI0VeA$&hZzs{F?O?l!mNje8sySM^tUHrxW=3D!T9%u1_)#b!!y}T z4pYWdFjY(qQ-_vqVy-YPuy9_i59`bNv4Jel>a2qeVJpLE;__8F;bikE+>d7VuqL{=D@CQ z6bqqGO2jg;LaY*N#5!n}Ch>~cBDzan&@8@^AFM7f>5@YVlOm<*Qmm99rC=;%NjXxU zv{5RQilq{%Osat1sgdfW2I!qDQj6p+d&xesFZwJ{=4D-W$YFA%JRKuAK~9k~z=Irc zU?cj!7(G}fSIAXzja(-;$W8JUxdnE~3%%*9_$h%35BubReTr13E3ry~lA>fNSxSzQ zhxuS3db$Kwt3s(#YLq&R#U|y7(xSMlUaF7ktNN*dDv$nmpzkBq>1wQ+pr)u9YL=RV z*$ZWIv09>*sTFFKTBFvf4Qi8mMQy>H#!K_jd^JBU5Hl!Ub7)~&q&8iP)e^K6Ekn!F za6jX7z()~H?5nl+p5se9{vb-Ny*6J6GW_0f8`J_R;3PEXR) z^-Mim&(-tw0=-DzrI+gEdZk_st6Hx&>X-Cp-DY?i-bP=;ZUh*_kd0ttv`Z&P8F5CE zk#1ySj+JZV8wEy@vCAkm%8g2++Nd?^VSz8f0%Joz*xnHf@%t{ft9EZGXSM!W2BlobbS zoDThxjoDJZRbUlayR1^ov@5M@tJbP_Sz+j8Z#UOIZ8q3oZ_J_Wm_HHdyI?Y!gp(;G zio}s5lJ2s-xg;N!w+J(vQc?~bSWRk4J!yo#Y9=nF(e_GvUk>CW?t; zl9+TR6C*H}$!7}i_OOd7Wy+aKrkbf`>X}C766WeQ)|2&S`?7X6fF-a7!I-gyvr}NL z;$Rih*-SQ@&BYwPfGuKov88M|Tgg_lwXo8Stj+G``aE81_@E_V?FjzI6Lf$l>M|PT z&qkRmP~Jx3$poNWaZC!zvJ20@#CT)$%NX}jYzCfD0E<`-nKsVO1#?kcCRe}}bCp~p z=gIr=0X&2T<6RhY7Fwfb%;4fMPLnWF(=k>vF Date: Sun, 9 Mar 2025 20:39:24 +0100 Subject: [PATCH 04/12] Added core.json setting for Chip32 and the loader --- pkg/pocket/Cores/agg23.NES/core.json | 7 ++++--- pkg/pocket/Cores/agg23.NES/nes_loader.bin | Bin 0 -> 1080 bytes 2 files changed, 4 insertions(+), 3 deletions(-) create mode 100644 pkg/pocket/Cores/agg23.NES/nes_loader.bin diff --git a/pkg/pocket/Cores/agg23.NES/core.json b/pkg/pocket/Cores/agg23.NES/core.json index 86cf942..0aff4fb 100644 --- a/pkg/pocket/Cores/agg23.NES/core.json +++ b/pkg/pocket/Cores/agg23.NES/core.json @@ -5,13 +5,13 @@ "platform_ids": [ "nes", "analogizer" - ],, + ], "shortname": "NES", "description": "Nintendo Entertainment System and Famicom. Nintendo's first home console", "author": "agg23", "url": "https://github.com/agg23/openFPGA-NES", "version": "1.0.2", - "date_release": "2025-03-08" + "date_release": "2025-03-09" }, "framework": { "target_product": "Analogue Pocket", @@ -24,7 +24,8 @@ "hardware": { "link_port": false, "cartridge_adapter": 0 - } + }, + "chip32_vm": "nes_loader.bin" }, "cores": [ { diff --git a/pkg/pocket/Cores/agg23.NES/nes_loader.bin b/pkg/pocket/Cores/agg23.NES/nes_loader.bin new file mode 100644 index 0000000000000000000000000000000000000000..d001ebfce6295b1109f3d991f4bd5269bdd40531 GIT binary patch literal 1080 zcmZwG&r1|h902g|{BZrw?zqp&Acn9fsM!+3?z$EU!IZcZ^aGN#*aV+DPaT}y)tObY zlM7v>phHpjpiAJjj$MMFOVq8S|AEyp5Wh3(y1E|b4fFVZ-uJ!veqZP8`I!kR7x|p< ze4c#@52SGSK73i7uP;P%&;e(l2hM>77hoJ7!Y@z|pl?!nG`OBd9nwzU4W+1HH>e2K z>Bl*mJ+(gzQw)7zjx%$oCS2+A1BLnaF3?< zqix#;NK#H+?X-FZbVG$;o#9uCUpg9}x3fo$0!gNTz0B}0MQ_ZEEy(hsSDoLFDqWi{ zT^-F$^SP`z!_ti%(9-~~*HcJVFtiosd}y<|?DPR6m$V|Y*)+3czO1X*s;;ngca4RLq#h=wM$VQrl=0jXr23FO!(y$U(_Wp*IdcxY5_0%QdC>3R~$+h z+n~`iJa8qmOm+A~wsMkny`a9{RoJBcuhL3C z#NE9GypV^o&Tsm)@?xG3i51tY@x8?_#T{=__c8oPW=mu;8Q!c{xE9uBh0`2mi!#&g zpmY9dplVu3yMI1}h633CGGmsayQ)kmn2g6%JoeCtnAL8L0;&!?fg(&P#WeQnX(xL3 V$M5kVs0DDlx+;9n<%ic1U>Ei!^&9{I literal 0 HcmV?d00001 From c990826f059c21a6a985cd6429d96e2d4cb5b5c3 Mon Sep 17 00:00:00 2001 From: RndMnkIII Date: Mon, 10 Mar 2025 18:51:05 +0100 Subject: [PATCH 05/12] General resources usage reduction to fit all I have further reduced the resources consumed by the Analogizer framework by taking advantage of the fact that the video output is 18bits instead of 24bits. I have also added a TCL script and the Windows Powershell launcher file to generate the different bitstreams from the command line. The PLL used for NTSC/PAL timing is the same (nes_pll) but the clock speed settings are adjusted based on a parameter (USE_PAL_PLL). See the build_and_install_instructions.txt file for more information on this and the generate.tcl script. --- README.md | 26 ++- analogizer/openFPGA_Pocket_Analogizer.v | 82 ++++----- analogizer/vga_out_sw.v | 16 +- analogizer/yc_out.sv | 21 +-- build.ps1 | 4 + build.tcl | 32 ---- core_bitstreams/NTSC_SET1.rev | Bin 0 -> 1305604 bytes core_bitstreams/NTSC_SET2.rev | Bin 0 -> 1390072 bytes core_bitstreams/PAL_SET1.rev | Bin 0 -> 1306344 bytes core_bitstreams/PAL_SET2.rev | Bin 0 -> 1397324 bytes generate.tcl | 51 ++++++ pkg/pocket/Cores/agg23.NES/core.json | 2 +- projects/nes_pocket.qsf | 17 +- rtl/cart.sv | 15 +- rtl/mappers/FDS.sv | 157 +++++++++--------- target/pocket/core.qip | 2 +- target/pocket/{core_top.v => core_top.sv} | 19 ++- target/pocket/nes_pll.qip | 2 +- target/pocket/{nes_pll_01.v => nes_pll_01.sv} | 13 +- tools/gen_rbf.bat | 4 - 20 files changed, 258 insertions(+), 205 deletions(-) delete mode 100644 build.tcl create mode 100644 core_bitstreams/NTSC_SET1.rev create mode 100644 core_bitstreams/NTSC_SET2.rev create mode 100644 core_bitstreams/PAL_SET1.rev create mode 100644 core_bitstreams/PAL_SET2.rev create mode 100644 generate.tcl rename target/pocket/{core_top.v => core_top.sv} (99%) rename target/pocket/{nes_pll_01.v => nes_pll_01.sv} (95%) delete mode 100644 tools/gen_rbf.bat diff --git a/README.md b/README.md index 42928a9..4fc2baf 100644 --- a/README.md +++ b/README.md @@ -1,10 +1,10 @@ -# NES for Analogue Pocket +# NES for Analogue Pocket with Analogizer Support Ported from the core originally developed by [Ludvig Strigeus](https://github.com/strigeus/fpganes) and heavily developed by [@sorgelig](https://github.com/sorgelig), [@greyrogue](https://github.com/greyrogue), [@Kitrinx](https://github.com/Kitrinx), [@paulb-nl](https://github.com/paulb-nl), and many more. Core icon by [spiritualized1997](https://github.com/spiritualized1997). Latest upstream available at https://github.com/MiSTer-devel/NES_MiSTer Please report any issues encountered to this repo. Most likely any problems are a result of my port, not the original core. Issues will be upstreamed as necessary. -## Installation +## Installation (see also Analogizer settings section) ### Easy mode @@ -24,7 +24,7 @@ booted as NTSC. ### Dock Support -Core supports four players/controllers via the Analogue Dock. To enable four player mode, turn on `Use Multitap` setting. +Core supports four players/controllers via the Analogue Dock. To enable four player mode, turn on `Use Multitap` setting. This is also required to enable to use the Analogizer SNAC PCEngine Multitap. ### Mappers @@ -84,7 +84,7 @@ Core supports virtual lightguns by enabling the `Use Zapper > Emulated Zapper (S **NOTE:** Joystick support for aiming only appears to work when a controller is paired over Bluetooth and not connected to the Analogue Dock directly by USB. -### Analogizer +### Analogizer settings This Analogizer core use a configuration file to select Analogizer adapter options, not based on the Pocket's menu system. It is necessary to use [Pupdate](https://github.com/mattpannella/pupdate) release >= 4.3.1 or run an external [utility](https://github.com/RndMnkIII/AnalogizerConfigurator) to generate such a file. Once generated, you must copy the `analogizer.bin` file to the `/Assets/analogizer/common` folder on the Pocket SD card. If this folder does not exist, you must create it or if you have already extracted the Amiga core distribution file it will be created. Pupdate does all actions automatically after running this tool. Inside Pupdate navigate to: `Pocket Setup>Analogizer Config>Standard Analogizer Config`, choose Analogizer settings and exit to save to file. @@ -182,4 +182,22 @@ You will need to connect an active VGA to Y/C adapter to the VGA port (the 5V po Using another type of Y/C adapter not tested to be used with Analogizer will not receive official support. +### Build & Install instructions (Windows): + +1) Install Quartus 21.1 (x64) +2) Add to the system or user Path: \quartus\bin64 + clone the project files: git clone +3) Open a PowerShell terminal to project folder openfpga-NES + +4) Generate the four bitstreams files running the scripts: + .\build.ps1 NTSC_SET1 + .\build.ps1 NTSC_SET2 + .\build.ps1 PAL_SET1 + .\build.ps1 PAL_SET2 + + The generated *.rev bitstream files are stored in the 'core_bitstreams' folder +6) Copy the contents from 'pkg\pocket' folder to the root of Pocket SD Card. +5) Copy the bitstream files from 'core_bitstreams' to the Core folder 'Cores\agg23.NES' in the Pocket SD Card. + + diff --git a/analogizer/openFPGA_Pocket_Analogizer.v b/analogizer/openFPGA_Pocket_Analogizer.v index dddd667..bac4a80 100644 --- a/analogizer/openFPGA_Pocket_Analogizer.v +++ b/analogizer/openFPGA_Pocket_Analogizer.v @@ -146,46 +146,26 @@ module openFPGA_Pocket_Analogizer #(parameter MASTER_CLK_FREQ=50_000_000, parame //Configuration file dat //reg [31:0] analogizer_bridge_rd_data; reg [31:0] analogizer_config = 0; - wire [31:0] analogizer_config_s; - // reg [31:0] config_mem [16]; //configuration memory - - synch_3 #(.WIDTH(32)) analogizer_sync(analogizer_config, analogizer_config_s, i_clk); - - //wire [31:0] memory_out; - //reg [3:0] word_cnt; - // handle memory mapped I/O from pocket + wire [15:0] analogizer_config_s; + wire [15:0] R6,G6,B6; + assign R6 = R[7:2]; + assign G6 = G[7:2]; + assign B6 = B[7:2]; + + synch_3 #(.WIDTH(16)) analogizer_sync(analogizer_config[15:0], analogizer_config_s, i_clk); + always @(posedge clk_74a) begin - if(bridge_wr) begin - case(bridge_addr[31:24]) - ADDRESS_ANALOGIZER_CONFIG: begin - if (bridge_addr[3:0] == 4'h0) begin - //word_cnt <= 4'h1; - analogizer_config <= bridge_endian_little ? bridge_wr_data : {bridge_wr_data[7:0],bridge_wr_data[15:8],bridge_wr_data[23:16],bridge_wr_data[31:24]}; - end - // else begin - // word_cnt <= word_cnt + 4'h1; - // config_mem[bridge_addr[3:0]] <= bridge_endian_little ? bridge_wr_data : {bridge_wr_data[7:0],bridge_wr_data[15:8],bridge_wr_data[23:16],bridge_wr_data[31:24]}; - // end - end - endcase - end - if(bridge_rd) begin - case(bridge_addr[31:24]) - ADDRESS_ANALOGIZER_CONFIG: begin - if (bridge_addr[3:0] == 4'h0) analogizer_bridge_rd_data <= bridge_endian_little ? analogizer_config_s : {analogizer_config_s[7:0],analogizer_config_s[15:8],analogizer_config_s[23:16],analogizer_config_s[31:24]}; //invert byte order to writeback to the Sav folders - //else analogizer_bridge_rd_data <= bridge_endian_little ? config_mem[bridge_addr[3:0]] : {memory_out[7:0],memory_out[15:8],memory_out[23:16],memory_out[31:24]}; - end - endcase + if(bridge_wr && bridge_addr == {ADDRESS_ANALOGIZER_CONFIG,24'h0}) begin + analogizer_config <= {bridge_wr_data[23:16],bridge_wr_data[31:24]}; end end - //assign memory_out = config_mem[bridge_addr[3:0]]; always @(posedge i_clk) begin snac_game_cont_type <= analogizer_config_s[4:0]; snac_cont_assignment <= analogizer_config_s[9:6]; analogizer_video_type <= analogizer_config_s[13:10]; - //analogizer_ena <= analogizer_config_s[5]; - pocket_blank_screen <= analogizer_config_s[14]; + //analogizer_ena <= analogizer_config_s[5]; + pocket_blank_screen <= analogizer_config_s[14]; analogizer_osd_out2 <= analogizer_config_s[15]; end @@ -257,8 +237,8 @@ assign analogizer_osd_out = analogizer_osd_out2; //Choose type of analog video type of signal reg [5:0] Rout, Gout, Bout ; reg HsyncOut, VsyncOut, BLANKnOut ; - wire [7:0] Yout, PrOut, PbOut ; - wire [7:0] R_Sd, G_Sd, B_Sd ; + wire [5:0] Yout, PrOut, PbOut ; + wire [5:0] R_Sd, G_Sd, B_Sd ; wire Hsync_Sd, Vsync_Sd ; wire Hblank_Sd, Vblank_Sd ; wire BLANKn_SD = ~(Hblank_Sd || Vblank_Sd) ; @@ -266,33 +246,33 @@ assign analogizer_osd_out = analogizer_osd_out2; always @(*) begin case(analogizer_video_type) 4'h0: begin //RGBS - Rout = R[7:2]&{6{BLANKn}}; - Gout = G[7:2]&{6{BLANKn}}; - Bout = B[7:2]&{6{BLANKn}}; + Rout = R6&{6{BLANKn}}; + Gout = G6&{6{BLANKn}}; + Bout = B6&{6{BLANKn}}; HsyncOut = Csync; VsyncOut = 1'b1; BLANKnOut = BLANKn; end 4'h3, 4'h4: begin// Y/C Modes works for Analogizer R1, R2 Adapters - Rout = yc_o[23:18]; - Gout = yc_o[15:10]; - Bout = yc_o[7:2]; + Rout = yc_o[11:6]; //6bpp + Gout = yc_o[5:0]; //6bpp + Bout = 6'h0; HsyncOut = yc_cs; VsyncOut = 1'b1; BLANKnOut = 1'b1; end 4'h1: begin //RGsB - Rout = R[7:2]&{6{BLANKn}}; - Gout = G[7:2]&{6{BLANKn}}; - Bout = B[7:2]&{6{BLANKn}}; + Rout = R6&{6{BLANKn}}; + Gout = G6&{6{BLANKn}}; + Bout = B6&{6{BLANKn}}; HsyncOut = 1'b1; VsyncOut = Csync; //to DAC SYNC pin, SWITCH SOG ON BLANKnOut = BLANKn; end 4'h2: begin //YPbPr - Rout = PrOut[7:2]; - Gout = Yout[7:2]; - Bout = PbOut[7:2]; + Rout = PrOut; + Gout = Yout; + Bout = PbOut; HsyncOut = 1'b1; VsyncOut = YPbPr_sync; //to DAC SYNC pin, SWITCH SOG ON BLANKnOut = 1'b1; //ADV7123 needs this @@ -333,13 +313,13 @@ assign analogizer_osd_out = analogizer_osd_out2; .ypbpr_en(1'b1), .csync(Csync), .de(BLANKn), - .din({R&{8{BLANKn}},G&{8{BLANKn}},B&{8{BLANKn}}}), //NES specific override, because not zero color data while blanking period. - .dout({PrOut,Yout,PbOut}), + .din({R6&{6{BLANKn}},G6&{6{BLANKn}},B6&{6{BLANKn}}}), //NES specific override, because not zero color data while blanking period. 18 bits + .dout({PrOut,Yout,PbOut}), //18 bit .csync_o(YPbPr_sync), .de_o(YPbPr_blank) ); - wire [23:0] yc_o ; + wire [17:0] yc_o ; //wire yc_hs, yc_vs, wire yc_cs ; yc_out yc_out @@ -350,8 +330,8 @@ assign analogizer_osd_out = analogizer_osd_out2; .hsync(Hsync), .vsync(Vsync), .csync(Csync), - .din({R&{8{BLANKn}},G&{8{BLANKn}},B&{8{BLANKn}}}), - .dout(yc_o), + .din({R6&{6{BLANKn}},G6&{6{BLANKn}},B6&{6{BLANKn}}}), //18 bits + .dout(yc_o), //12 bits .hsync_o(), .vsync_o(), .csync_o(yc_cs) diff --git a/analogizer/vga_out_sw.v b/analogizer/vga_out_sw.v index 8fe2a53..612bfe2 100644 --- a/analogizer/vga_out_sw.v +++ b/analogizer/vga_out_sw.v @@ -8,8 +8,8 @@ module vga_out input wire csync, input wire de, - input wire [23:0] din, - output wire [23:0] dout, + input wire [17:0] din, + output wire [17:0] dout, output reg hsync_o, output reg vsync_o, @@ -17,9 +17,9 @@ module vga_out output reg de_o ); -wire [7:0] red = din[23:16]; -wire [7:0] green = din[15:8]; -wire [7:0] blue = din[7:0]; +wire [7:0] red = {din[17:12],2'b00}; +wire [7:0] green = {din[11:6],2'b00}; +wire [7:0] blue = {din[5:0],2'b00}; // http://marsee101.blog19.fc2.com/blog-entry-2311.html @@ -29,13 +29,13 @@ wire [7:0] blue = din[7:0]; // Pr = 128 + 0.500*R - 0.418*G - 0.082*B (Pr = 0.500*R - 0.419*G - 0.081*B) reg [7:0] y, pb, pr; -reg [23:0] rgb; +reg [17:0] rgb; always @(posedge clk) begin reg [18:0] y_1r, pb_1r, pr_1r; reg [18:0] y_1g, pb_1g, pr_1g; reg [18:0] y_1b, pb_1b, pr_1b; reg [18:0] y_2, pb_2, pr_2; - reg [23:0] din1, din2; + reg [17:0] din1, din2; reg hsync2, vsync2, csync2, de2; reg hsync1, vsync1, csync1, de1; @@ -67,6 +67,6 @@ always @(posedge clk) begin rgb <= din2; din2 <= din1; din1 <= din; end -assign dout = ypbpr_en ? {pr, y, pb} : rgb; +assign dout = ypbpr_en ? {pr[7:2], y[7:2], pb[7:2]} : rgb; //reduced to 6bits per component endmodule \ No newline at end of file diff --git a/analogizer/yc_out.sv b/analogizer/yc_out.sv index 7745f58..63c6a18 100644 --- a/analogizer/yc_out.sv +++ b/analogizer/yc_out.sv @@ -35,17 +35,17 @@ module yc_out input vsync, input csync, - input [23:0] din, - output [23:0] dout, + input [17:0] din, + output [11:0] dout, output reg hsync_o, output reg vsync_o, output reg csync_o ); -wire [7:0] red = din[23:16]; -wire [7:0] green = din[15:8]; -wire [7:0] blue = din[7:0]; +wire [7:0] red = {din[17:12],2'b00}; +wire [7:0] green = {din[11:6],2'b00}; +wire [7:0] blue = {din[5:0],2'b00}; logic [9:0] red_1, blue_1, green_1, red_2, blue_2, green_2; @@ -64,8 +64,8 @@ typedef struct { localparam MAX_PHASES = 7'd8; phase_t phase[MAX_PHASES]; -reg unsigned [7:0] Y, C, c, U, V; - +reg unsigned [7:0] c, U, V; +reg unsigned [5:0] Y, C; reg [10:0] cburst_phase, cburst_length, cburst_start; // colorburst counter reg unsigned [7:0] vref = 'd128; // Voltage reference point (Used for Chroma) @@ -265,10 +265,11 @@ always_ff @(posedge clk) begin phase[1].y <= phase[0].y; phase[2].y <= phase[1].y; phase[3].y <= phase[2].y; phase[4].y <= phase[3].y; phase[5].y <= phase[4].y; // Set Chroma / Luma output - C <= phase[4].c[7:0]; - Y <= phase[5].y[17:10]; + //reduce to 6 bits + C <= phase[4].c[7:2]; + Y <= phase[5].y[17:12]; end -assign dout = {C, Y, 8'd0}; +assign dout = {C, Y}; endmodule diff --git a/build.ps1 b/build.ps1 index 94b5f9d..1ed080a 100644 --- a/build.ps1 +++ b/build.ps1 @@ -5,6 +5,7 @@ if (($args.count -ne 1) -or ($args[0] -eq "")) { $build_type = $args[0] +# Tested with Quartus 21.1 quartus_sh -t generate.tcl $build_type $exitcode = $LASTEXITCODE @@ -24,3 +25,6 @@ if (($build_type -eq "NTSC_SET1")) { }elseif (($build_type -eq "PAL_SET2")) { $output_file = "PAL_SET2.rev" } + +.\tools\reverse_bits.exe .\projects\output_files\nes_pocket.rbf ".\core_bitstreams\$output_file"; + diff --git a/build.tcl b/build.tcl deleted file mode 100644 index b53ff3a..0000000 --- a/build.tcl +++ /dev/null @@ -1,32 +0,0 @@ -# Run with quartus_sh -t generate.tcl - -# Load Quartus II Tcl Project package -package require ::quartus::project - -# Required for compilation -package require ::quartus::flow - -if { $argc != 1 } { - puts "Exactly 1 argument required" - exit -} - -project_open projects/nes_pocket.qpf - -if { [lindex $argv 0] == "NTSC_SET1" } { - puts "NTSC_SET1" - set_parameter -name USE_MMAPPER_SET1 -entity core_top '1 - set_parameter -name USE_MMAPPER_SET2 -entity core_top '0 -} elseif { [lindex $argv 0] == "MMAPPER_SET2" } { - puts "MMAPPER_SET2" - set_parameter -name USE_MMAPPER_SET1 -entity core_top '0 - set_parameter -name USE_MMAPPER_SET2 -entity core_top '1 -} else { - puts "Unknown bitstream type [lindex $argv 0]" - project_close - exit -} - -execute_flow -compile - -project_close \ No newline at end of file diff --git a/core_bitstreams/NTSC_SET1.rev b/core_bitstreams/NTSC_SET1.rev new file mode 100644 index 0000000000000000000000000000000000000000..9fb3031bb343787044e0fea9e248fe087acbbd5c GIT binary patch literal 1305604 zcmeFa4}29@nKwT7a)C=gxHB2TB&0AinS@D5fdr~)?b?~)0z;tuiCAx2wY2$T3?T&)(-zylmP;?1YS)^YD*EmpNNG*0uWhlbTX)~pbZNyuy9$O%t1j>N znL871Qs}DdzWctvU!L-v=Q+=L&N-)~1Z}>SlaOZQ7A3J~k z#--=aZvoo&oj-paz;P~8lk~@m05?gK5eOpC|7Vkdn2bO^0+VB5awJ3%m>dgHBqsUf zSeP6GQ3NK(LKKNfJ~4xMPPC)M3I=}lVf3W3`7x_91Bq- zCi&!8m>dI91SZEq6p2YbITj|zKoo(=u@FUKl249>$uST`U~()(k(lI@V_|X(L=l)A z3sEE{`Q%ub90O4VCdWb)iAg>=7AD6)6oJXH5Jh5=|6ev1Sa?T>Pn0L7VG`Joox@NtNA#QzXtC`2G)6AcK*S~>(h)Bp19AjX zPn1dbI}w8wfrz0V0>p?z{5R_+`*Fm=6!D4j#57Ezb_;FC+J`;Kg?SwJaSxjDI(CtC zWP`k*4y1`slqaUCSY-Dfl1B}Ij1VMm4P^tI$Othev%GFBO#;Xuu!6ionesw_jh9(I zEkhpxVnADQm02LZ=^~Sc<;##r@bo2zAYY2eZj1`$G3BKN`O;bA`eDG15D*J_AtN1NfDy^THP0hCR9%u8 zVU7$w1{T%@ekqFjFT)^`3vG=sK|GQ})rEGTkV8ay#El8qiZu081|y6Sg9I30_}kQV z0mH=z5v7UC7Xj%bG9VOUE7C+Vf#EWNj4*AmsC_6P6Ui3==_4{A6k;pVL~;m{3&{u* z#HqbPl93?)0+0+8l7U1awjxa=hakC-j4(kwl7oJ+H@73hrjW?D08kR&3Qi=4Ai0o? zFhM+$$J&BUo&Y4r$beLctw>W@8Q{2;H_$bZ8A%t&2u0!s!-YV^-rSx{`T{Z_7h)^Y zK{=K$OUXhq!UXY14psTSvAmCR$fG>sMj;qt2@nOgd2!s;2vfu(IaG~r3rac42)O}X zh^j;-97~CVWA!5Px_rFj%KnA-Q855LpVVWUPh{C)= zIkF)g$^Qmzy;MFMpJ9woUOL5)RftPjMmCgBGROer5MXafGI9y|vg*H*_`8xE88Qe2 zB31xO7mxv&FlH0U;Bp9386ilXNHP>M!v!$F2!9k}7iuve5-vtElmsFp1jzxhkb}t~ z#x!h9x)7@Z)gK}nC`7mzQHatTpc68|ld3k?_&g(%DmWdqz`xDbd~a1Aapt^^_@ z1j!RghC*hz00tQ0k3#H1Ee1rwc>2?>!nBM8fyf9!@hLx@kdM>sEhC>V)?eC z_6-;q&{6Crk_<&;cd`62dp0JG^*_?YA|d3gAWeBjh>3PG^bw^XYyyl(QNSs$uu%g^ z0+B3pTnZp!fJ2;wkVtj_1!=O2Y>DrOj)(yUT|x@l3uOi_hcd_A^L!*mKE)yhc#P%i zzf;aDBO6MMv&(0X^&v>gp%SdH{XomYJmR5jKrAF#zK~)NqxPPMem_7&x;o%Z^+U&i zfLH;KARfvoIlM>UER@Ors1F>7Y&HSJBPtLB zm4hn-j)t5eLG3EwQCpD4h(V%!;#77bQOtPYLhPotjTWf_!CDE|;s z2Kf~W4H$SK=y?i=I3c9SH>#h?jsPTIipYjwgq+GV$m2i>A{K(=0y+kn>R`Zr8JA0t z0lgdoETA4-BRG(w7|IN`Ktxo3)JDV^baDue`{T05Kv4!2yU9j^bi~ zk0~Hh9EfD#AV72!I0nQD05KpLR|1g%Y?d$h>mbb{kU+#jkX%44lqrrRQ(F-kESw|3 zCzh9?IvA>tg1;c6k1X^RJg^6oLx2VSF~KAM3fn4-Uy8wqP(TcF1S;Qy1dUfx8o(wQ zaslm!O!)^xkZb^(0Fn!d(h9H)i1H$CkdB3V@MQ$j0YrH|a4pIE=>m%Smrub)j-k7lGo-dJ`d zM4Y=g83k$vSuRME7`0&(=pD!kr5Rl&5JMS&C@Pyzg;y5p!7obCN9DE_7(g9RR5v9e zJ0r-!h2>pJBsnhOhf3&(NOv(Zpp5c7*k}{%riSTsf!;wGh06K)vQ&Ny&_!{HbkGDu zX_~JBeOQ(^yU_LF9NHC;(Zcz?*r&I5?Zj?zStoe|397tPC*PjdA9ilIHsxP%_& zLr3{@!3jjvMwCx!$`?a!fNRK?EWh8OIU~9!iGJ8X53?~MKcoD)VMYSEQ9sBq zRF9kcNFNZ(hnDJy=6wS?!yjJ<*;4;y@)P+K0|UCvkjcluPZ!|rbZx_8tz^^JU^@j-3%0sEmNzayR^TY&PZ4B7J`g1Gv5Uk!## z5R>x=61X7AX$%*@kO7hlh7h)OXxJtSlabUWlkGLN9Ya=u-v zC-4boluzV^oI#EOQ8|bK#ZUq1xx}0E@)r4P5_U4g9O@$;5t`(xPNDyyg81f8mce7} zg8eku5wYNkJhUlCGI8om0kKGi9oG^iF0^$)lb14J*P)F~;4H5i8$YB7`Wfnpk)4A! z1AnQFixAlmMg7mG;5WFfnK?Uj|_T34Q$BI9RT@x9Ra?@Lj-dXvX9NQN&|mdX)GrZE{&)PEK!z{!T%q`9CCTn6-z zNA)8)Mid{Sg8-GiGwAwDY0{G&QH%vFXlpv`h!_i4&}QE5g?|n;d6pWNy5JW1*m5C{+LnQ+ zttf}q0Ez?kk3b{?n;0&HiG=ooaj+j5SQ~>)H2DxhhDelTx&E@H$}eY&4POpt%*K#REG{+s{fQvcGM8b z#G|+o4`lerc>wW?U^diMEtms=>F_ZC{CYPqCGs!gu%SI(uqJ|cpzPlP`B>iYRfuD8 zB{?Dnfsh1zfM^y#bF2&=%@GhU>Ld9r1J469VdA3P6kz%}j28m@i-(BreZXNuYdE!) z1V{0}hh)gX0v&N8Ik;Z1q4;HBJQZbwSZ)msh*4z)C>#e2`e0o_IofN`xCwwF6W1E@ zGez|S9`YSI1KJw$2`pL@g1#WnNg$5kdr^-3d#g2( zs-pS{46+CQJUHDG8JrLh`NFM?92iU{E^{F{Cb3Y8 z_*h0LL~CR8&Ju&35E0c&&{KJTS(FiS@`d6+Z3lMXGB8GLObYBM<%GHv`ZbQwMq%4d zm>Kxia>0_?oJiC*`A`L4WN-$I5raekksUz}aj`XleBc4Nlec3SDwGI`@`;F(FVz3Y zC*n~)#IbfsBs*&RG@}&gBK^;2d~9!?=j~sJ60o26z9@%z1~`F80;nw_7F_fF2ojMZ z)JN=MaUfrb#(0E_`UyL#pY{pVz6Vb89D(YRfnc1_^BA_zAkuS6=OfQU4(wX6S3%h% z(z8N4vZpj5qD`Sd&vZ0){3vq*C%@^8W^8On`Js;LBFG^e@UfdpHX#+-RiVx?o5&#K zJ>UeYC#WmbNBs_x@OxaE`lyH^)uQ#lWNRgl#T4B z{j9*Ru>Z&o{Cy1NWhyw43Stmt1${%oh>!0}UQhS_PLxfCg@_Zz#RTcd&(Q2mOePK5 z3hcm1o{oM5g!-vZ)OQ(*!nS7QEyk{7Q%K|o)k!1+R5k$Wk2tkO&+RTM3!VjH5Q)f! zh}Hy>BR%OR66HNVQHk^}%vBCJ%~!OSXQ-d!`oB%rpgu|my1b9n7E$0II0IrWxBwBC zAxHf}&YLLOBNFcfqWX!;VO!)=^jt-4QAG*VP0&J4azqzvv#_l|M*V>uwG*~Q<&$A0 z#3PydREW3g7x_!EB&q`c9uUmAqzKz0n@jbJ>qq89P}xfmwLwIEdaHg#W03kpAPU~~ zS|Ai6$jSRhV&p4M&psGt<=a7m+FnELNAbHv|8$uAU0ApSZPC3dsDUuaKY^5-5g2-!IXY4if@V)R#g|`Y3iJ7gE#~@jyl!|fw7^5hU;V^r8bI-jR~n2cQN z+t}EI7}a0cR$;%Q_AV7iR53R83u8(8pdGYFe$slQ12RC*UDR$=Kk+E8WTOBvAfl-K zs9)1hVNM1N)K7K_@ld98^sSBL2vgC<+kirz3>zRD^7GCDds>r7AGH<5EsA^a9)o-$ zC(pub-$zN1;ZFi}tOC*i?VUtmBIyMRYLkd~BjB842KUJD`Xc+KtNy?F#o7EYg8f{|nE~sExw>$W(?v-yDfpaJ_Jee4zdj zvEYge`cFh{ycJ!l|Ktnxi#Sol^QrOI->3hDCG);D{)BWiwj;V!TRIHh)K*mJQap?U z_a6|4ph|XOJiN)biET!{Oz<^`!@sBh~qV^(0?=Dfgz!!>l6myDkkY*4-G_Int z7btL{`N;>Udn8We8Ah3JfoR>Ja)FNxBrIlh9rGDJ26G6wOb9ab{@rvcpP+gI zf5SWtTn=So4p5j+GLamD@&merue1-Ne#|y7-?ZSJQU`Afv5Di_;a41>^A1AbCv0fG zo`o{INd`}%8bSux1jr%A+DAIFfyhZM`MHqt4N^oj!OzIQDAx2+PV-`PmO%TH!t?cU ze!{K;penhLCm|9dKeLc!Afgxq0@)Bf)1RNagM^XKqcIWr!zTC}>1Q+eg|ZaSUEl$r zqaQ5aRmM%CWRyy zB$AK|5oDncaVY8jPum)D$fS$T6X>4a85pDMu$hH?s)*#ELa@lsm+&k)1n6k|5+4WT zJ%zGQoyyzYv-J{oD3>iL3vnD3=8^n8sxP!4elf^l{{LMp{?BLT4YLbAc}babRW2@r zM-*qAZYPEZ?mDeRb>3n%9u?u_9jEE)M!4;UyZFfPG@ioiJ#>?mJT zCD~FS<54HZaW!{ilYe=>^GjzJ{^CE^3v3C0{oJ_^ z&e?qaKidy(G9VLf!DK*wr+{EePy<8EF|G_rj~hDXmIT8yUntX@f{9eY)R322Qb`L7 z4b2;A{411nF77c*9jIYU3TCjltYD5wC1lJn7evlZ82AVCytMJVkNxuJ&%b^dto{%Z z{*Xc!FZ1igfAz@0-@f#vi|78I(@st^6Jk9XkUwTXV)BIA{vFBLpZ@v#N9XK)bwU+M zBbaG|9Y`?YV49(sCSiG)DYQ7l6hK9iDVJW=UE0PdbPe_*xYF(ga|AX$mKs?JHX+1nS?BjGB+hzgH!5mcIS8C!baS1GY?&N57*# zr(d|{V|(U&y76~3|Bo}9d=r@v+sS}T24q46CM5sg7$FHZ!RX(`^@KpTYwi8867NA1s8R~)wnI#o3<#Ly^2Tg6Dhh! zP;VCHgoqo-_^H7=4Ru}3_a2`S+BJWAnr(UP+1Fm#JN;XX3n=dBs_Upo>G7l1EF2l5 zt)yc^;oH7FmD-ORh*(5QlKFMA5|b0{mN3O#p~`3ltyfyY7Fj_65V|pdq4Q9JAZT3N;5g3Y5ua#wItAj^TTNACtMHZ`y0CdSpR}sYa1G zP4O`ffVyOhrruEGkaliJ>ISa82Z};MPM~aUPc{0Qrl{Ppxl&9k@e=z*S4emr zzVC5GY0ZgQMocy00y~x}Qj;m%V433;OqLEm)tlO(xtc4@u<6uTGp4{fO^7o-CgWd% zFE@D}#`q`1ibYF75EY&;&Ix8pX_5sco3&G1(yVl8?&T31j*D;X|M=M}j*fokhe$-! ziv`gTQMtn5pvZ{SS|B84XNDU0l^Qj#4{m{vniwraWbCM}Bwkh~~mY7WI9Nl?59Rk;ao418gI#@@85kV{4oZPC{GI#!_{vZtVl)n+# zR5sO}WN})N^B%@cte2>gC|Rtcc@P>D@}os}WG;p_@$}-JxfrLjEXa9BIXjl(J<+9= zFfQ{`^3B6MPjNa-i!li|-oP(a7_zk@Q3%#tSh#$BsyC&@H(vhQ$a`l#81xkCI>Sub z%gk*X>O5JZzt=dU_&nR9m!WOTju@tA{yibYe5eM zX|wD!F=w~xi*eC0vf^CZarCmOQmxQmW@#R+!Lp8r(&KH;awKPlwBqRWK9*4JRFgb^ zis+Qbg`;|MrM5U;yh4>?##9>lv3Dz5QkV?V@K)!YA}P()Nrr$!M>L+5SFk>ZB~5>s z!*8kb%3^HJi6d|PDZBYjm=g^Pj&Z>4MpraM=dkM^O)RVtICG24Wm%aea7oq0rpZ8c zTHH2bx}nF6YK#P&KFY~dgX~PP@E9e^Oip(&WhF4JB%~C)agr>?Ob!ED)?Ms&JWu93 zY`w)c4Q$PlB8%Z$OVVP=^|q)bx-jD1OoxbP=9nuBPJIhTKHXVo8lDCoJ36jUj{B+l z6eeZdNs*hHZdt1*Ryy45%&)$A^jG51pg$a^I-fOY2x&>4HSFaLM>-%|doka_&1ssW zOIU4WU-P)BKIUi#Hw~jA(JATpzAV^DKP9M~j#XjXm(XW!Y8U5Om{>4*TgI)Pox(je zyLl{234_P@tiuAL^~zfeZiXF~3{J%4&EkTbY_yZ2!Ob$WDaGt{O_ockW?YU5vL5TO z9X_UZq!si6KfbYSE%qKhZn79l)|6{@{E$4&<=^<&zVF>O^EJ2-kjS|rm)tEVx`L-t z0}w>5Xc(DEY}>Hc#lYT*sB=k7@=zLh3LIlwiW4;~bx5pAo9P+l=+j9P`Tc2zdz(u8 z+;NXj7rR`{gZDgVPV2EWRJB?hVo5n+b(fpa(r0%ruF4MX5>ZS|#WY6KNFvoN$Z1Zk zlkwca4csMH>)WcG{coRqsH^dmqe(G*Vv}j+=2~2M3KwlJk&5GJ9OG3% z7vru>4#Jv?xhgHcamO-^c&gdpS`0P8Xp)UFE`cU6VZ^pAdz+m)W~ekQxqp51ljm-k z`U;f~$ZBG>7I$E^z8kZ*EHr4#wh6~%ozwLe7Prx$MoSRpGSf9ougKM$D|Lfw@a5PB zbFbjKjH}Q%+7mc28J&T%aRbj1R#Bakrg|n)GFLCy#-OBd>6nLMhSwe=!_qU*7e(Ty zh~%V{5UPA7)+qJLs-A9@xXk(nnkCZ-tf2=v2oGBrznX1l%}sGbil=wm)8=X|%oNqE z;Ys|V{wwV{#lL#t*|*L6AK=aWjN?3C+sb zO~ovSDd=YxkKW=**o4K*OyjO=CCz1c=wdqhRBt3YTrR=l<>@%<(-HfaZ_vQ3kz&iZ z-d;u%u&VT6oZ5|3vAl?JS&Ut!+VK?M%*)(%B^8(G!v>zZB&~J2jrB&uhma|Hwq3(5 z8>At@VP7tsUH8z{9drD(ND*1?kl^xiLdH6ql0!R8*PWkg7-a?*5a z8wY#2vgXu`u0xF&{I19lXW?*)J6`5zQ<$x>Z;P`cofD=xLflZ$QHERM!6}oI;^;E= zAo#W;>9Iy(hCQV?;j);_^=`%ZK`WJg+vi)Uc(JCtJ*ni%2F}J7&rji*`6&`o!yMLJr;LUnqzth zCBm%eQ(Rq1ux;wvVrt3Z=my2DDhz@OJeDhY+tIM5t63SwG3?9K^xCZaw852OAk#KX zIL0(g7M;6ynps6&VK{C6R6Jbjv0lTT>cupMeU0h;s`>m+zdUE~2V6*adWr-W36C3+ zQIC6+JH+r#r|CL|KH{w%khz!)5v}N$EA_a^YykttYjNb5tf(fHjp;JFrzAEgEG~0X z#Q1)0YBxqyLVXf<3xUIv38yj9XAl%6D|1{%Wi-6dN$k%>Jn(#qn~UU0kBb|e+Q;HX zQgrBJ-86D=mc+gG*MB<9etX4ME>E#)i~`Us*LS$uV2$hKW~jq*QQF6v8OK;q{1n2N zEXU}Zf~hOE%}Dx^q2LKi%Q2PRb2KTq#?&>5+?pxwwpO5ND^jkowlZ!9C0suosDyk z9Qo0l&-@4_h_Joj+#%-aT!Y5jHHztDagS?4iSnp!!MlVqgq0=f8&=+)ZVbbug{_Q@ zcRx>LaU;Gg$xMl}MN^H*af3#kiH!n>X@*8$+$zHm#2XJ@eGso47GF%A7*}{A49-4= z9SxR8g?+i2=4&h1V5__c%3%hORXm5OI>Bd9TuY!`O zNO{q74t(D&^U+ZC_CTo;E+%6vOuw7d#dzt6XnlBcsFG@m7N`KiJ71yrH&R_X3#QbjEk0(% zzhG_l%k04wEvds&sa`+Kf2DY;U(tNEo&Ecj*!h&rO*@$`Yf1y3SXY<2%4t!{7L+)B zOZ0c7CI0329xoFI)9Uhvct=wQv}4}t%LYuXejlHGIX&Wg$?DQwFN^%?PygV*t8=dY zpZREV<`+Js_B1c9ZIlvap4)J;;ozXye8b%SE~&C?q@m|w>qz4Zs-WF}xu7!Z*~Qgn zmDlfEN0r(9>Wb!e-#f6L_ul5OE5BT*D(8;WW=nl+in3yAR%7#Uxl_KmRvMAc`A7XJ zTKlN;XO~r~`he#Yt-9(~Yf!CRaCPPC+!||pN9P$)E}B0hed~JLQxZpS(;l){RKH+r zCw;wsiBs$<>wi*y+FjO@8dS`aU2E^zr0aD?{ip0E>nTNhyjmMo*7$prmv0hMO6|+; zq5GU#e&7nTzNw-_&+Ij?GW7w$S#O?j)iX|wzf-++?}y%bpj6RcSl{aOUVYazQ5^AS z*?h~YcIT;1uTL#jj$sOI*{`=PFyC(J>r1O7b>vgF)U!{rg{l+&%+-~C+u}A~XsM_< zQJQ(X)T6Pp@4e=!?%7w~nU8CcKiG9Fr4N=5Ek01&GflteQC{kQw*28e?Z>a&W<7en zst>E3rJq}tyU9JUK#RW;4#8c{I@%k&w&|4=hh?!>$N zZl}Tj^xozhN)JC^tLuBSH`xMfzE{`!+y%DO{%Cf0y48B8+bs+id(HDrmi70TwdRO@ zoWI3NPqq42@26B;7F%1=ShlOn zTUOS!C|4^z>&jBi=51v!w6~aPXYuOG)<3Tve$TgVeZ(Bt{GqDywyRqHWXpTK(oCzr z;saePHkdcJR17@Qrrlavmwo4owAij6-oTqB{%ge_Y`V`|_>lEqZl6>BfpFZm&HahE zQ$K63>UcxkW$nqV>DZXHcUC{)wTW+R*=0RYx~lUW`2D4s4IOL1ZrISV(W}nhlRLHL zoOS>89~0k?WUlXTD(!QP-(P#%WtF=czkhAOZnBLzDqin z*;PYA=Jm`j^+s*Yi~H{JcT^q%?*l(0t~tK%#qOq?s#n>+v7f4Z`JSEnXya3rKMD96 z<>p5+JN2Ep9pW2vcUk*H65#X$(i&ysVK_bD?GS&m1-!3m<6&#(Bh@=f-q^Cp>bpKCz zdE1uEQsecBwbtw&i^__ruGMRYQ+_PQ9u6z3IhWYXZKgaaHA+dls!; z)c9Wg=0}=7vTgLXyr7=F?LC!m+_R`@X;W@T`X_TgqMof;qi@KrX<8}_?&$l8_keQ0F|TRq6Z)2KJi1E# zb<;iR(MQdt1NwCv8`EV!7KjNyE^7+en%pYu$7MUj+g4eRd`{U=vvKo({Z8-fzuc6+ z=ke>i5-Y_MnNzFdmf4*i@{9p*R*vgkEu6EK#Z7lbubk~v(&jY&gmli5BqrtN`boFT zIb}B-l{W9Pgr_q4EUTq4vsz65U0Uo+OUsMX_1Jaq@U|^ew^xWm3q(yR@k*0|G{1C> zA~`AXgtRlOpmJfqu5T;v_G0Umot3t-qT0@xTjQO|snt!E0VCzmY9+D6Dz;p!cia5l zy0z+5slKF7;grl0-pi%>mG#*)pPAYthU9wQ&GX*l7x+-FnzECmNH@r=J8N0>=1r4>o>O}i5^zDeNSSmN*xBsLok zjzS!nUYX-vQryHcobd3mB7WXOMv_1}BGg4f2 zBBjS^3CB@Qm4KJiv?I@LN#UiqS?1y;UXj#uU57fL;Ls0Sm1%u~GtHY>mWmZCw#iLB z;2>FqwEkAQZ_BhS(~r9r>MifCDklxl2-cmtfBWT z_SD4>%D&>`NNP~HTJ0(?8E~h#NtTegCf6_9lI@auaJIncd&V>AEX!IIL*lgdn3ft& zHK)u5Y#z%ruD;exKWdem+sjQ+kGowS4vLI^+qjBtuaX+JIUkT5-Koq9O#&}0wEjfu z^`xruq0Vw!%L-{%P{k^KniT(*q`lz1Otu~Lz)kh}!nS?;{jS8{rfR6L?BpX>0)eZ#EV)rVtjzidIKYHzWc zQ7f!BK7URBkLRqZ4&#H5KK%>Y{Uz=GXQk7+R;Cw8u^P|s7pm%{TM(i0nU|~UM0Jm} z#65asNcAP38(a|IRbJs;t*A`!XAD!|%1?^AmUV5n-9;3;9q+hUCF#v;)3t4yRKLv-qwnCT725V5pbXF00tKIQfsT9N0?NjKn$ENOPCB&V5G zc4$hDXLDQq@)=s|@o5Mcm#r7nxk~f?X2fB&XwMWXju zwy8LND*nv88T`^M#m)7?6@JAQf30QqecL?tf$}LMRqsggqwc5bW|?(I#a6#(O*vaq zUvW%O-(I2AU2Z?O?)5qEj{d)8K85OG3RRWVvq9Ns9d|Uus=ubMzpAdTv+iDYr8obs zm%l01ln)GjZAd*blyoJBUAcAe{?p}Z`%sDC4_L`|X}aQjy3-};p00n*&Dwsud+rp}oyy4GEy5Kx z##`U^()A+`Iw#9Z-XScJUcRNSt-8Lr`Zx}}q|@d8yaWC__Rek3K3P#;EU0aN{#Tu^ z9r)od@AzSU)M1J_B0Vdu*4?3nO`fhfx-0Bflb*0Zoqx!iUurzwh-Xf#uQ@QqQhmHj z5BB*&w%uEy=&2Pt%OR?kFLiJ^KQ+@g>h#@yPONpjY^wQM%bts!$`oPuHr*IbRZGVf z3VnLn3{eyO3N1Y>q|)YKo_S39H0mJuzdf$A%BP5n>q&R`GX*2Q$P$VrwPS~?7F4JmWXE&}bO4syv;2~`jhEuted0NM@Q;+TRyQ*31C>lj4 zt?Pb~Z)?eJTidR$TWjppb8MJD#7sxZm95I^D@CQg%kDKD*V`pBNy%CIYJ|y~DsfY# z9@CXJij_F4U1XJAFV!#Wb}$9-sd!Bt?+%R zVWTQNJO9`hhUVoS8@8URdEYkSGksN;7fCOb9r51aFVD71zu^})HvZY!r!KFfX_2_N;tlpP4Y_D*Sq|XSCW$hPL z>`t3nttY&@tS#&L+*hhkHSWynwI>doE8lA^$X;u!>&iCT*5UgzgAFH3&spbJWizj0 zk3zXhrfJ>v8||hix}WfrlhPa3!t^6&yj}0>@LPvp%?>TFtF-0o(o2PVp6GkLZqVPU zjLyH$TXa*0*XnO8S4RKoI%Pl{tUtZ(#v3;%OU)yL$~zyj8$a?$-ztAl9O)ZXJ|W!b zHm)8xe0DElIa=lv>urr6sm`e8hoya1@wWfv?f8*>v$W#OiBAn?x+-?wh6cQirqy@* z_0Khx-13ioRZ9o9pWd~wT7Tz_A9zTq8}0n6a9_t`X5&5Uq`m6AyZ3pOCm(zKmVxHG z)?Kx+`rT)Q`<$hpYW>%Rn|}G@W%*l;$ye0(R_yj)Zs^;q?8$r^6Y#UnKxcMc`R%=B z?vJ%;bzQgc-uzmvxIFvK^2Ozg#l;V*H4i3z+vzq3{p0h^J2qRwS+T+!u>7p=@3m47 z`qx_i(QKpiis)o_yV>DXozG74P2Eo4-pzk+Z`|za*(X&TjBGyQo|Qb=T%IyPLZiRfx~69 z-e0Q?`sJ;|cI$B5syA};lrpno(4S)-m?};2U+`w~#_9Glt0Gso(CqJRZ0PPQ zdrLZ(m!2S}+QeQm1ccEmBbYh8XTRsIN@{aHP)9i0-EeLaG!-IP( zoV`ki-n=Kx8d^$Aeq1@*-K4m;NV(D~^U(bAYN1v;GBoo+Rd;;1+^nlL>o%AaV0~a{ z$`HxR6VT(OR5{>*H6wcNqdR?KTp@XBEA6!o}NZTIdf_jZ+c z>za+7LWz-<9OyEOHf*|q zZxlH9!`U~EQz7GrFF46FC0dVgB8yYYxQNp)gTrz~=a2aQfsI=DSAk2ip$lzQs0Ir!}s@%J^)X zq?MWOq4WX^+hDP>$cIt4udM3t63&&&{)_r>x$y1M^_@?+XUm->$Bu0q+9UR}ZOUHv z(d|vccUa!x-CIs>8SrM5jHCzMBDZKLS6^nUa6s zt*KTa`w-9ftaJzVHq7VMW9rKKlcIg{vRURw{gb7i=*~IrF5g+@ddbeiN5pLnjrO3p z{R0gRYWw;Tv3=xfr{zYz>hK|Ht(jZ6?TXd|(dL*x%+0lz->tQ`xtpC`;@#r6bl!epP5rW8b`~q6Lc9K&aB#Du zJgnaOOmXc%#nPSyr9T=H>h3Mh%7d62-cjz0rNTAy%NI(oXDjOJ`7V2vXAT}{9rivl z+_Tzkt2*6ynXNABtWaLu_49^b|7z2BD)QmyEPq&~E%za3pW?oto)88%XbxulntS~I zrmDKH;}zvY%IV90ro2{JbM?T}j}MjfvqxOLL-(7yn+NwkJ>T57*)4gcyrHJNNc3k9 z$rYV1YMq$w8yeFCUUsdkH^^#H`$=BGM7+28#J<+1zQ*#*EmpnUCQNs0o4r?grByh# zsI*#aP)4dtwBWVHUMBBM4{z| z%`NNrGBdf(`kv&hk(?*L<+ctBT1IW;mF4c->eHq4E2Gw7r`672o71$$tTDHgnNu3P z<-7G(|DLC$K5zFtyLg~kJ?*7N1vV-;j#DX>v^-Nh;`Nj*(wYZ%i>J$XYXi-v-D{-mBzt3e5PADtvsr{cKJ_hD>@H7xw_w7 zd_eXse}{x=SGuHW7k{~cvEJ2C;ZoSE#A#w;;cDN! zZU~bqUKVvhIoXWB=N6>zH@Ei{yUotG`L&3=&~l?t((wfE_1$j{{z-$gOF}H|6YkYa z>rppK#Y$c8yo!f-X%6pCFTYz_Q{Lfer=PUe@;R~5+%m6tcgu3t>Mv;Z@AY=`_Pl}S znI$yNoqeW0RVs;M|FJSYdva)n^>%Bfd2+s4Ro=6q^qG>j&247OYwq&mTPxbuni%HN zU08Ja;ZLnAndiN8!9wYl`r;k+^V+ZZv&?^8GiR_q4E+&rXs-ITzVGv+UX}iJ{Y39s zWuuo}@kOibK&w4kS>c@#+#3(1=XF%+_jmT4uHKka+8R$*pR?C#k6FSI?}RiZ=aQW? z>Mgc6RwDP6>T`{&#Zg7SOzG4PtXD>r3h&TT+xlDWapdM6^0e8){>`6N`tMfSg@z5; zrFPRu_2zlr=gm{rnZCtldR5nsCS>k0sr5bS#ii?&HmiF3qQ1+8)s1DN%F;gXjCi-D zU_-cKv9w-Uq0h5+^)1rZSbQh8uABE+f_~zFa=MY)t|?8AdNtSYR5I>Eo`OCp`1M-L zjb-msW|pqh;dEw=b)V<%%T=z}Yl*A6wym8zG~Zk-ty4eMgu_DNKCcGnWv%uOal6)8 zIZ!#YpmDx*Z6%qk5gxKFo zX;m9qUR-vqvVW&{XpvXZx4ps|6hDH1Y(qerH#Q0SnZC}$^*1S$`FQn;n$4}$>vPt7 z#l6COVP4-Cts8}fN_AUP!$w6pzvlFX7nV zQLX#&v&V`?^K|t(t-{Lgkqq?_7Q-I2Tq*Mg`8S@SHHnPtv2Q7AI;Y)I=&tGHUn3miM)w%T|X)avm;Z%TD`KYs4G3%{Uh5rS8^ z)J~yK6S@-qK>q1C=et0CpZyu%9n$k9lS-`X4y=0b)S=U@Tp75 ze%4d!_tRTkk=bUG(i>0)vMc2JVx_I=*PmSUm%sS+eR4iZt%}Yi?lzJ z7O($_7;f!va8D`fDdn%FQ%Y~Qau##_3pHQ1239{lH1PD-+@b?2W*+~f@^o=8=ARFn z6`h#e7mK=UvvU>fFB@pSf3Y_AO{p=13ICgty61p=zyor;c^N99%H+V9)KP&xj*?N8EQ5n{({7%jd7Y zN2=?P`Zh|#p8~{zkuTrUHqy4Tex9=&_vDQB+?p@UdGX8PV8+R*HLc-5XM@-34sK|5 zM{6;dx2+RzcW-ciThzK7RI*6v>168T8O6g{`ll1}oug{>zBz1Mi0mbIzb zC#$Bq)7zIc=kEqyy)L&LX2ZDMilycXPpU(w6M z^dWD)sm(Y4g+I3X!Jdj%4Ezy%-pXx#aknXM-ec~=da$N@x7g5W$tPKwG&F;{Nxc<}hVYS%gB zxSs2EIorxQu=}~H%N(_zmKu&1;|aj_$7y+YUCX-77>rls=3Db5*5EI~YZ`5UDzp}L zp4!Vl#QQTb_-&`58wcNxc6w!_xg7hbB`Dr=pC^(Qb>k#k_rC5iZfsqk+;Pim09B;2{Q_MQN7Gv`x-ZebEYrb05HK6jD zWo_2kcip$}=x@(&%11lNhU8{@weD}O#RT-vLa>c9T z@k>AjzfR_IN6nnH$~R9|$6V&cz4k3>yiCl)Ph33wzUUUbH&64vtZ-8*JO!`MT5ASB z+-R1$Qe~=NR2uVpv6Erk_8L=ZZHcF(<2KI*looTb%9nW4dk^9yPIWLfHlu`5__BhiUre6A;vS+d?78i7YM{;^$A4!1ttpLsamMiLOL*zPIMJ zYkv6JP!mJFJQ5x|RmfA*NI^lYpQ@`FW!f%ARCcWS2 zk#~q4)0|`n{k;=@dTew!Q_Ywp8Z&e$W*c72O!3@QwU_m>Xfs0@=osogKMAjS6yfNp8x!v zLEq_uU&v4-qR8j<`Ot6<#uX^RaEZyd1aTuij!-tis0Lg?IBJN=biF_cgZ=^vM0X(g zuotw)(=}nHxL<$vtBbe3@#SUt60@5g`1(ex_kTR9KYrUI`}Es}7dAGX5(ll(E0&(< z!{y$-AL;+2|JCZRb>oLMjrtkyi`g}wTjDgX8LeFW(gy3M6Mro{(7)Gupr*0^w70is zkN8DpW24Y&omG|&HJzJ9o zbLiXivX3^8I*(PSpXhwGZ?yV$={Yg?%H5|M8%sZ4{m8D)Zso<#4=uFHUKP()Z(MAj ztzO+-|B1{GR#XmnkK_CNnW2XiX*64_jAkFZ)jq3isNN`u122AAJN$zyDo6Z}FK*cd z3;kol%e^O^SMPbE{LDRjUU;_jv~|Pvr>zr@=@r?>^qMoh`_jUhdl#-3PTuo`cvt4M z*?8rbFV8)+qVg*Kon_*_>XtTSUt6pZ051*meG!D zlv~ewRUKznHm*9ma$V^z>-%>750oqp!|VFntTXpMlxaFsvM)1~TPJL=Zx*jOyf0mS z(*8>InX-M=8-7{#(5)NJee36sJvs9$N238S|G+w_M$PWi_1$e&*KO}pPG*}oSPQKA z2R1a#zrRs>T+iOE`}cYMpUYK=C$lS-Aa7x>_lk1l(ZhQVbx9fjt6r^=sjyCF2d#g` zlKr*8!}Hz7jPyu{|KwbE=rXCodL^6NAbj0Cvf^-=`xj2vfy}q+G89X71MT+*26JV- z9qM27botWIWp=Idw};xS)4SJri*cBw9xk!^9lxW0=(5H~@$#_3+MPy(XoX-BykvK$Gc0Ova9u@fAVCH?;Wp=v0|X>d6^ zhC;lL1GmPWZ#;|0#a<{=plq1^KIX2SnLGRzP+gr$M%?ifydbsb_^82pBk<%}mXT z8e=hE5yTB`IyeJPz;A6Tk=@{O&C0?(c%dAo?z4wrT5wU0DA|)Ywka0&+3Nt>C>k(3 z<*=E^V?wsENMv6Fh?-PHv@;Hk0l20D$VkGbz{8h)`HBACg2IPy+y#;Xv;SbTsy7l1 z@XZs1RS^N6@%L}=eh#~S74NxG3x{3Lvqz2$leF7kdGNf8Uifh`aCKP0SA%~Fw zXj9+sq9r0nqj@{dh9+?zgCwi0+KO>%G5J!oumQZ05ym+SUj?cAI;2z+(Lqc`buP?n zxQ~?lR2Y8IOt{@Y5UssTK7jJ93hU-%WL1^548o2c-FnK(dGvaCPas%4MPag8zqnYwpEj^-}{_kC~swSqhL+$i?{{QU3g-29)X|JxC(D*v}{ zH~xfL|L>a}l3{Ob*^c%vT_obU>#`e*Q1_5>(N4o<{5o_O;G9Z&Qp08n) ze@>Y4BJ2Ljy+~gnAU4A0EFzh8k&kYI2}j;xH93dxga^cy9u_YjgWCG6OOemO#F)Nx32WKYwvdYQl2L?4fgd1tIYQ zW#~i>zAllWF7y#MT z3{g$f033k4^bs#d=NSM=l^S?CkW@i}$d)K1AaTnm!>fZ`98nnyYi;0of|w8iD`iwF z3g@5XL}ZO=62(%arJiO0`NqivCrfsi_@Q$m7E$Mk=@cy)*uPP-h_qc@EJ7BUVuOVK zgiat_G{F*@Nm$FKgI7KgzqoVF;!hS6s(?99o9oSf2NA0Lpb(#dTT;FVozd;TKdu@FM8@QIWSwf=Dp!P(%)f(80aS zah?n{LV1a4?4qhn-03pqWaZvOGeYlC>Ee%1`z`@!igX-zILB5e$Fb zA`YuQcnpByLG)V1E=NG@fJr2*>$*q)GF~MJ!omXn-=eVaa~8gUFodzwB@$UR=Cqum zppyz5IA*Nng{(6Hpg2cVC1A2lWa42>g!&U{P(NJ`z&64FhI@&GUjaw}q{iFDgadNU zAQ4Jt0t^=OWS@h8Uv{wy)5YfS77>)lumc`wxy$B|C=GJ@iGm>Ww{vU$+I=wlG}tT% zUJkSgm3=&bLKY9g+Y`A0=o;3-!eg?>EG&E&%N6*M2!Fu=S(4<*>kYjRDkrt)4+nKZ#q(pReRm zrL>IuO{HTbxS2b z1*pwQ(@8E1^^&O(saKmtQZ9X7ux_X)U|8s8qWu(=!gf&dvQ-Y>i7CyI@? zf^;wE>LzUauQPh!1^Waqe%#1%{QzD0Fl2K|5v|D} zb!~OT2|{53RWl?pRY(Tna`?1iIY<%fG`Fdxs-<+%u7|_53IrMqfpe2g{ayp5D!sGD z1f>)jhVOtBm-zN7+)M{t3Y~7(7NnK*kgj;1nUYJUq`e(nmuMq9D2e6$Y5P_7My=?fnDRQ@&;)LW{$-x@xK6Xn-sdQI=l9 z=LrZ9uQt}s>d>_jz)ab(H3XNd6v`u9TtgiV6j2mbEk#)GWC8QW^Hz1aXSvTpB}*8Q z9%Y>rw}e_wj?o@I&(yq{IYui-F&_{MtzU=HGAzo3a~Y$F%#4`_K&Ej+wiIF;rAxhN z0tt}8&vNw&h4)9bF1KKn`($JeuRtYhQmjxc8zzD zd6*E!F-d&!)IG5^SAP1V8)aVt^WFHQVKKN($cd~X1t708JdO)A5gMi$Q;kB*rk|m? zd3q00Bk^)b0RWH_n@qCSxK8j2p^Z^Ac8rJ#aS1jc{DUYPjB}o+Iz1H8%j-#&lVpHq zbA&}YrfWV4sffTBL=H(iCdnWO7Qdm&#^k{wL+U_)aSx(h%*j$BU*WYw4Vsc=t5xELSSGKY%0naM3t+!O${1nc*>;k2Bdbe82xRI~hH*@2 zoK*L(cm8esh0o8ZH%e~fSc|}d__3;j#uJDiqak@X&q!(_nAiD+fU~$W!U7OR*5
W~=jFlyR8a};WWrf%2<6MDPcxtQ4^Jxve6Os`HB2Y7uA>U4xvR9~YUG*Tkb zCG~>`RXCouY{65d(EAZ2)h{t~&qzMKyflhgAt^Z{j1Tg02DBv*N2e?KAvXXu1wUQ) zAE&PjoO1Xl`CA<=8)rfbFL3E$5=O|K83CenxX)X7WUE=bgaSYa$Jf>2; z0LKJ)Ct#s@a~yiVP2Ct~wP*tgxje&$i!-=fonoYzXq8#bhD5uFbNLD80AdM3(DE@= z58!OVAbS)@Ao2_YQwmw6a27zN2@pwy+jVvTj1p4r;PmuwfM*n`PqyA!c>h%BIeI zn*91--@4g;jEm4!SsioA_B%oiC1_yZgvBLNI# zh24lq&`O-mgy0kN3`kUHR%@K1XR<<911mPK9fC=^3I}yUNf_Ya!U-xR+M`e)n`6G= z=Z`lOJU<2pvzv|g=sIVwCOP4m25>rJa3#2pZ&hl32S(OLBn_!%hs0HG=mlW5LRD}Q zR85Hl5hyU4p@-;vN@O(pJVoSSs2b=Kc9m$huZbC?DnYyGgjIYOFe1k>_#GT6sf3*r zb4gxH^qE=!V_ro8RmpV)_Hs)}lC72qpsvvZy7(JXBRV5!D-@*H(u;^Qii50Y$?_Ow zuGuA#Lk)0e2prK-FBwot!fh{PY>pmbj8lj1LOI~P5jkEV$+W%t+_f6V_=d&OLPdb5 zZ)a3WiDl93ro%v$#3-H+ISwW_tfvU*V5AC(bYRlJVAO-Mg=?T~kP8UiGs1E?@^YD| z=ZYcPMc1If@n$CN%UJ9n$#_p`}zJty@K# z3N{ynwMKC^IUblwdM8H=s3uOD-GdG zLg-={(+c{+Dh6ncC3uo#lD)D2wHj_ko3!u&ryDUDk|{B31!oKA%g_fn zjx1E065YjuMs^kX~mGTU8fxs3AQRh+dvsvG=X$* z5w1a|Cv+^@G!bClJ2lM~H}V@OatS8#%7-`}dX`X55@rs~>m*@ivvSXZUa*QJg@z0b zVEWI*pc<&&VaMJgLgEN|s2*om?fL9y|IY^Ti%oxl^1)`2i%HtxIY4@=D$RNOT%_6>y5fKKVIO+{i#YB%m1~xR3L(AUBR;&^2E^;vlO%SsT zW#!?{MD$?7&l=o>g9QD}s}Qpl2JB>Gb!%#9UF zM6V!g3J{&e6sDqB>0}9QR8p6?b=uJNAu*~-_DX@9BcmHBjUTS*S1}D*9GZw2Zo)NQ zk>HjIjj~{OYRmMELLT3Vds8bQ4hcdPYMkK}sTI-oN|LY{dOa9-kN9~_a}X1rs zc9j^G)l^pGE5LzaZzM;82yxzon1sH{N+=B+1@s=vT|TwQ4is4gG-MZR4Gt3qXC?14 zZlQr)i${VTtV696Iy>>{Q)L}p1$tq&sIb|AWXvFJ5C+j5lP(1<;Upr(k`M@^MoBM* zB_6B}P-6-Q`pHTOlw+8IyGV8zl6EdjYY2`C^m8b%pX8*y68LVi%Jj$)mXHlQqf;!r zlIW8-U4-WV;R$a!1&k&R_>$GLL%d3NnvNVD;)(>Q4@;#2I(cE?}z$^1#m8Veo;)CR$5*J960i8D9fqrKuvI{27Ia5X{%>wEW=QF@ww?fL&S}vEk<3In?iv^#3o_zz@(mI}UZ5-}z z8QM<&PB46x8in}H4agmRsP#0aq1$JssjLp{wb zH@c}wRKW;mkQAaB;Tz}!Y6fVVx_cMg!A^$yAP_#mkDl!!otHgpz8<`p4%4?6hWzSMRMA?cO+YVTu8IK;VqZio_}ApND3VT-Ryh4|sGG5;!2cokXn zkASh#DakSA-Zm>9R1R}atMv0lWKZ&Vecr1RtErNr$zE-`SbMCAS;aAKZT+3q zjI_4*L*0`C-UIF0tN(k)U#|6UU954!x^H~zaXDs~2Lv}Wvcfy7C#LHlmB8wZ88gA^QIa$2EN%otB+k8lWGt zx4tG?NnT)P=r4xc`iD%nanWq@!Ta8AkWB%^O0K0K!U{^yrabCH79~F}4$6seYHj=M#g-qan zbjUN5DZZPz)>Y^`H?{f?uJ!K(t4d#HzT@QH7lZ~g@SR{UuHV1+>Ct-jBiuYQGE~~I zK`0zOCrd-m3-1iyj_+hTlKUI9AUxoprP&`fJO-?Vyk^>^xC{%HaAjT>!2OuCqz+*CQa$6u$nM#^f-UpjWC zdsxOj2WC9xGpjN6o}eG2%L_+e{YmfSmIIu>5smcf=ljO?Pd-{+x1qki)U{B)u`)R@ zysfGCt>Vj_@Ai#3x!td<`aPLwPRzPMiOna;3_6K&5+iIkD3?Mg2 z%b$(*gV#2qM4#?V`IPrFct!e1=Kr_mRZZH$z-EG zIY?^1ZqHCvYCYdz62W+K<4O@k1@gmG<8@%g2OW)#C{~ z{Cy0l&5xSD#gEg>he~$0!Z_rC&%_}QE&oQ@S!COo*m+3$ab{@(Y}Ob#K!&6O0|_>SawT}bz)nDbvkA115VA9t((HJ`f+PvlJ!i+I9Qe=_4St9WNU^)Z zI?N1P8flIQa2(96*;QJiD*5da$BAI;0Gp(ggL@Prz(a(WL%`9=Gd5j>pn+9oh=7MN z0Nn*|MpBNj1C-!$nAGgLtKI<=cLaeXtFCwGEX;%u0QQ2}Ji+fE{Em$LJ>#zh|JHJ& zfW##(*F9Ftd6=DJP|9iQoRG$WAkHu5Zl%gO<`Oqc=0x;#@sU#-y!1@mTh9?9} zQ;Lu6a&AL&IvV|f>>X->M1{S@d`B%4#HqWY?JbfRmRlGcGNc zlfY9m+_$<1&#dNqP-kObEF?88CHFCJ)6qB`-G(!+JqCL#suQFrB89-`8?@ za-+VpezJ(4BHMg2{X1Gq&tAgmxv?PHQHajC5IS8XoTGDi*hq6A{bt`(Y9CQbtW9JowBV(j`LCuqosT(49H!*OOV5pKpw z>AOt2ccza#5hbI(L22Cz)P$;0{paVa-UQGoP*B2KpxO*-Ar9Dl1pyjS^`yc%Rr@mEHR+ZMa}u>K=eZOX|hd{Z|N5A zxVJAocWfJ)f?kfMR%2H*azHX`yH6!wE_bJfSXkLkd}fst>7fPD} zN*1Vrmv7|1{PpxbDNyUAe-j#%Qo8idiSVW^d~a{Ex#VJBdx>5ot!-8o3d#MbrK1Kx zo+p47SJksKgCt}R-RkqZxT%%R!1pyn4^?lWGJBZfKFz%@+X&|w<2*A?&$({tK63|i zspLRWklRIa=(`V(pIx#3|NF0zp!ARC)=Y-00Up$E9pirq-k~{GjwV5u=b~I1#NQ5} zAK=Uw?NN-##$=v+izcqeL1pX++Tl3EK&-ERpVG|F()rHgIEP;vXI;QIz}}{m7t{4Y zOej5(Bs-^}847!s16)lEypZO*nhX}r#chtnCf;z+P9fI_xDBO)&Gi6E1|J-LS;38T zPO7WXko_e*%oR28k~D4h6ebqY{*u6A2sBOm~O)`n%S#WQx?;#3mGH z4oRE5Y?0E=Ay=0i6Ln`D@XORU^@HuBAa!Iy4|W^9gewbVfJ5{1WJ6;dv0iEd2^`*f-r z=n0_uAO$v*ivpae&GFn=YCpA!?;qV!49Ukhs+7w4@-cU+OQBbC#c+$T*@PPDWr~Dx zReuXF44D-kK}9i23PKJF@D&(>I@udJL)R*hxPFdL9n9fR8a;~1%^E#8dsvhr$^*Qv zjo3RpuHEvUi0j5No!$(qGGRzXjS`~+ZhnlFp@^Awqt#1?X7QwMQGLB~y zI4(EKHnP{~hF6q(BdG>?N=@_arR~#zFtTj&@}+<}5~TYT*)OdOOMR3@;>#il=;4*p z(1Xfd>m?`fHgPeU91AA4H&dxXV=g`sMEPX9&b2!XA5^HbnVvJwNtG1%+$(46c73Iw z?~$8T>aRN7Hk_JVojfpF*Nd)?S9GkZf6Y4>bDm=sUakeoq`Ki~I(4=7p`>uBa+chQ zzBqJL`ef)ep>5l}Z-g#-7HVn}t;2iFHvQx4)B*kVT2PQzF234OVhlFp3&QVcNFrU= zny91ZPId+!db4Z&gIo!)zp5?8FL*bNRVZzOaxyhv^y=RJhW~tecjU8@s?xTusdM}sWDU1P z5?4?EE)?8&)oAmEuF(UbKOEYLKZBL{pENDpp~9o}*se|ql&LX-2J#Ovg1@C&c^;)^}*4NXQJIhOkV&iBKEM{5=$ z|D0(0mq+Q>ON}c>q1#=4%){-zdmLwgU}W-Z;Wpm8;JnB9;e#M>W?T!NIziO-(%?8Q#{GDBtnF=r+dp zLC5V6@ycxBy4NL0;(ekyly?1&nL!J#!35gzIe!Q9A4R>XS?D;s$lLGv;i7%a4*{7n%O*%?52;N`hcd>k3d^LS}y24@&5kE zfh4u^w(a~UMN-h1?9Gll{Xx@By;hF?^XZsVImdgmntEf}=;4kv>c2MvwR_uZC)yvT zp+z^AgPsTtweWQ#dJl$7?30mLnTh9SfFb6VN4^|xE}tOJlCcalod*=TJJtk@e@bIF zT8Nw_jcM0qCQi>d=Z(737vI2CPlU=m#y$dUjb2+X(dYQtQo6oLf0U70M}l~)LBCYg_@^Qq-qhG8(RahT6984^D@XW~ zTo1mgm1`sA(Ocy8((%L;`72UtCEYk$VU+7TzN-7hZ`P+ucAjRYUFm^vTj?a<{E30; zn8{^DEJBT7V*z5>1>7mgcjc~NV;7d=k|L*m6szB~|CkvQN?mluYv#tIDlhi?WG+=3R zuJ*4@bsj-EsD0HNcYgZQg4VwWPdO_jaM@Fg2s1hz9Lwh*g!?6*=oGA?kjtB#5~JAV zFuM~KE3KkUFTpB(hIY_8u&_}u=cn4LwPnp>H>T@Fn2uAyPt<^)$nN0Gp(IpiWpZ-`19+re>JC1a66G19!XiqF#F!yF_e$HUXX5wJS~ zEaX-K55$-_jNBT}b0jZ!LyjlVrQtlfOI#10P^pz+6JkEhxIvM)?3-A?UA4ZDtAP7+ z{l)Ly`PG8wCvJ8$NQsy0#ZjJJfsWC99z-d4`@sdYk(cJsu*9#%han>gEBg)u=Y(!d zu0rZKJt_`KLA=Zw5 zVZ;RTF3l>2A*m*;uauNA2hFDu_^l>_d>Kd(w-o`wA0L%iPZ#4r2n_733QhAp_(`mB z0d6Cmax75}DM%fP1Qh|U6!JB0nIaN&hlc?O8#pB55LqfODNT`3j|qiMsva(_Sy$?s z0}@iAVzSrp>;hOW@Qgr|Jyw{7CPR7P)5%-isNhfW&!0Rvub;Y6L$~OU8>{s%1@}~L zY8vGJguy@5r=8~>I}Y9oZf`NzKlA2JdUo3t|5JP^|4B^^dUWM!mv-crROrC(^=lM6 zh6c2A!n-BpdniLTxqdf8+Fwq3qhZJ2D$b5Btla&f9*4&O*{*8~I2kQL(Cx!Q~klu9=+E+RY|%pK@k! zi=k&+o8Qa09vYeqxF-X3#`_gx)R&}xz?Epe{$xr`ZOA!i=len0dB7RJJRb&UCtc zUwInXg{JO7`=tZ;dGd5>Fz`P35^vqnM!)mpZT=Emh<5SUHVnSGW7*EH-)Oshz4H$J z4x=#jp)g;$Ny_qR@YJsl1UyDEnEDgHEWyOrU6OY4A4j2(!_)MYnwN!2NY-i5`F+oE zwYsOvT+oa%L{F9l>3LT(xLZo$#jdK=A%okk_?=VJfP~F@w^EyLO0Qo#o8D8;KFIF6 z_hVpr09t~g2KtjyXt+JlaLl)r3caKkQBT2zF+jE_cACP;0VqLveekiaU@VF2q;NrV z7@v2&R3_2aqrKT?JFcYP;(LHZtLs}cV~sQU+t7S-1CVWImK8(bSbeQO0@DSeAEb}^Srl`2K4BOAu2GOm9MpAJ-P za^nqLg+$#djlWVL1*Vzs??xJ2^QMuWk56>6w=>GdJH=f!Kh<3D&a z(t5hcGaIF^e7OcSmF}yrq-|q=6$~on!_IamHMW zJ)Onc+zguCj^?+uf$>4rH#jq{Z$OCVDW=hQ{GRw75AH14iOZU*Gt8!&L#-ANU9Kj> zjnfgJQcIOY!D$(k5(VJ|jI# z8yc?bVJQP%ZK6dQlsw}Mz2Hi=1^=}-Q0iZ{3fk>y&i#eI*LRfzlCb`%mD1y6ux}<& z|JA`_oPqyq%Oqv7@fKjL4PsZu728++$NHv$^>k+7P98j5AJ=*3KjN#u`KP}k zEC6L)YI-E#PK{L@<>HVUwv+x!G4Oq~8nvhuuXH{HkE3p;XMXWdwf6faw)h6 zvvjObo2P*bq%zzK)D#|JAzn-U0H9)N<5FZc;cKJg$3qLAS|k30g}6I4PYo)ObYhm; zjlH{s?JZ1GsL6OOQkLMKR2FzIy?8#OY(gcxSH2Fk9_7Gb8+eU+&ZtPdjw5MpdZeq2 zHYQ7w+;cp@>q?vODlfc=fx1I;C>`&HSKkG!Bs(I{M4%OgrbtO*AJb-dx|KO#1ZfjO zu@-3OK^zgL6>uDY;iJT;YHq^C^n$mIKH80UM(P*OS5Ak0Ri#014^Ffp@0OS0NnaVx zpsL}oi~y^MbGlT?C~}z+88=G1TJiVsJ&9MS4;zLL;Cq?r(sl0CXdTA4wI#C@BhBy@ z#w!%iq9n2;Ai#@qbQeEQt(4t~$%hnt5p{dB^>r0LghDgkbh10NJJMc;1O)Yo{#Nw- zk;?_Y{rSxiipQLKXN9myJAimD-VXsfp3>Gg^5)(p{Io*GO8I#@RqP$bAx4XEl_Lsn zH~W&4MNBT5g&3Y;4GpK`UE+sK?okjkDril58Pm)MxR;sxcy^bt)FZGc=7iYk0LoR; z8VE1WqxD24>2fV=38aS1l~mB^327VzHasMri_221RQ^aws`T+tT7w1%use$5a!vwu zLVB+_?Suz5P*m>Go`}4JN8bceb+9MG`<&pDgF&(MDA!5@&xoUa-xg6?#@s`f)8mG+ z&W+pKb;=__h+_|>(px;vw9zGjNc1Rjl1)e|8g8OrSnvjMKp;0`E}3*(r}SD(msUcg zW4k028eNSzjfk)GqEtI+wqbgneu)7NOrwT&Is@!3#G!?vpC1@nG4rDvwY#XRYC+i; zpsdz!dJCB72BP~ze*oc^48Hh#-n_;$KvB&zk%#7(Y0xWL+qUT=@6vDR$+ls=U|7GT zU*eu(&eUyWCQEz+=!$O;B;Y45Wu@!Ekw@tVN48!)q?P@$W19H}sE-8%XNOw>LjTV3 z(eyhT*r#?cZFmh8qfxrn_bb!_vM?Q=KU2jNzXw9F2X#HwT#i5%f<3Ww;N#LXNWz}S zv(oT=rpe&sL6CyY;l}gybr4n{4SPWfX8p9xz?Y0VrZ-S-%+0JTkD`TbxY!GQ{Ldf_ zi!;pk7@T3gZB&3D{I*ek6fNwWEZI3o4othUYV2(3$Kb}5p3ds9)sx_>8Q)?+AP#~k zyj&_SO2Y29$4`S{a}Nx>d%E0YSGly{JX0rCgFywt(6jn7_$}!QaNB@G1hp6UwXekY zN{Jvd4AOA8O?n>!{o5%reS`zAs1RLu>$U&BLHhA?Q!Asjr9qe$Eza~6nm4+3?!6b4fIo%L0&?^J_ETk;1K zIe@5@Uw)WgDB6Ttn7hwFbH0a)_jHu>R>oqhn{P!BP(B+4ohGCoOB?NlZTzZHMSsbt zXt-5JKnt)?_$%l})q42PT{>7JP0)Pbp{uCEn{GF?nK@6x0CfF=Us(4?+TB=>?py75 zXIt>t&&h>`xmTs%|Y~J_eh% zB3OvH&({xre7)eG12=`;*sZ~=MKWJ0*KyE(lSRN*^eMS*_zUXaGfBsGE619%_QPY5Hw#Lx=ig&(d|!UXUuYlgSz( zQLV*wd*x!AJyp4M_7%Vu(RJN-Pt!ksCfve2#Ovw!EQ~)tG+OQUa`fV@Xn|>A&Ps{w z`15ZwGI}cvKbXo`Y_sh6`vY1aTaA@4j|9UH*MG^Va<0XfwAjix_~wmkjwfzd8Zi38 z5VFY7_rTyQf2RjmpoPtoC7Tz=UOiZl&%s2}vyTS2C#bi$OoI>vhIr*Nqf~XA%8t9q z8{=+Q6O6kcG?>!4vIU0ShU~EW)O>h#PhT4Q?}U&DN~3mXaeJBMNo8$F7{!{!wGCUS&LMMxIGG!W>brXn_-I*zr8h#N0aQjQK4Mn*JrrhYR{<8#dlxcdsaRZS=! z=YrBqcX%mqZ{I*!r{9ed;xgPEhyqOuk#R!aBdO)<#&{fHiB>V~wPIKT>I}0HXBkh1 z8=OE&nhHV$+E0qyb?LX~*L>kqZ}xTA0Y(G;*SG9nSpWwCd5DX96H*V&#RE6~$d@47 z?(Y}CA=t9H#n~e^$U20{SJ)O29Ry_0E#7;{jq3r#xp;E%WAW7DkKxMf{;!Y!d32^= z-M4Q3#F)W8aR`U>+3YI8fN{+4{4N{yUXv6(_UXr)GB2bjQnO4jsxlebT zM8Lg1sS?VVn*#Pb(#q<29Fd~cbQY!rs*7QUIYafanxI)A-f!qO70?BxumWB&Wo7tY z3J9{aunI}*kjh*tC=39G4GCZ#grr*>`P7tYhM~Fm-pNBFm;##asotR!{@G1ehw$LyZ*23Os z#v7f4BGX1OPjh7EMj9jO_f3XgAEzH#g@n_z) zVC47FPrYr2sy`LlPQ3Ew=zQYkpMd>;sd%^ldU083Cj`td7&|+zRY64mqx&y&L4X4$sqdq3Q16xfjQGW?FzZ<@T1}fRTK{ zxE>kQj$TRBA>+Q+eR$#6R}*#j-rXZ*Fx)6%{A~RC=6(0I#=k^8RE4io4?XGxpCfW% zKJhwr;c~ogAa(TLT&XutT#xK?w${`|g)1jsPogX1uOoTE`^0uI^B=vxF41{;f15Ft zJ~2Q24~NUDqw`eBiPunF%e_|;gE8Yus?MJ{F`WtB_dr|V#dK=^!aq36Y95_0{60)H zco&XlnN)6TgIoDp9;gd=PF$G3_aWx!{Dq%0$@vRELxb-|=7*ohkt_7e%GvE5>1R(2 zU%U6dj<)fd^wHxj>&v{`=BcucSE6CjQRm&h&{wD2dm^zAA8Z`ISbDYNx8Q2M`bP4NPzHV!0#=sL=J{t{k3@PRpH)Hq z+?_Zv^3emy=RGx_fK7eo6X!k97>_O_>%7~JUWi|dJ)}ggJ$m1kwgw^7-1e~djiXR+ zkkZFT@bIods7$`M2fG4Ld3W^hrEf)-esIYrv0dZdLA} zD<>}DH;w6zpTw`w2ag(o&3b8S_Q_5vsGDbyfkqg?}Iz+JvvVhBo|Vzdcn`@ z0w2?;8fooVO;?SS8Nx}hyhjGT%!lNHr`mfNRTvN#0iQILJYc+Je$#t0Fg-#(sQ|wY zHJRmG38fR`jlc=`eM}AVsOU+B0~!<($j-tL0aJi9eH@r{lY@LRIp{(Dd$R0~HR!oe z$xy#Ej!z`Ui~q{tK@XhudefdKcle=Bz5UYmR=BnE&BO^h(-EqlcOGV@f8c*e!1Frz z=7|HL663W-r)xI-xkg`}&vg&72fa0ns3 zj?k0%NwgcXbuJ!-^b~KZ%osNy&I;l(Jup22En?Kog)?s-{Nx`_-H;lvq%bRG*#xqA z!da#i9sZOF%>s-8I_MLF;NYm9P3=yC@N;PS92~cq4no!lMo%f6+`p(}ILK(4-EQfCOqTn=@_^DWr9ygH=D~%L9#i_3SevsJL_j@G+Az(;hGqp63vr5Bv}cQykm~DDh?*atN8{I zD*|#AnVtb;>J%V!+L4NZ`eO*absAvHIWPJ|8!f;>CJa1wJx!^((K*s01?36qX)IY~ z70${E)|z>mimK%SnB=hbNmCvs)c~}W1b414g{8izz@_d2kjfU=u9_|xx}1RN5XnMS1xYR>X{1yyk+qCc3~6@7fQi-51Q{C{ zgryyFDLD$Jn=ZNylBjPwRQB!G0@qFJ1Ty%A8@00dX;GnH{QqngHmgnl=a#+4&EqJ0 z)~3S8jm^p~?m5uli?;?vp0#`a>oEoNm#)6{^=l7{H-1m>5KDqtlfvprfgPjQqr5Sg z4wchq06@K-mRT-UNDFp}`~_uM!%H>;QeKp)I4mLmf|gGbuvW;rSvNJx+NczaC_5zB zk!JFs1^Ie)Cl_FC5LDr?Dj1O#sff?x@&WB+jZk2JS|A}$i<#^a%__@rP9T?h{gCO5 z-*)mYE7n$DQW<^$)d;F(>p5eIVHwhOH?nbCyBs!6VL9vVxQHZu%?coA?+U0->#CqE zH}nrD>`|~gg0PfQfZIAla{3&y$!gRqR1X!?L%zExV4~OwjDIlaB`F2nkDO>K_{sX4 zHPLN+wiDG%iN_Tn)aWOq4{4NJ4E0H4)7C=XYwA9g%cpn=U{D?|W{U~hEC2<-^@v;cU0s-<8!86eyNdwl^Z8V9LV_L|gE#SS1Mv=j- zFQ#r2!&eH&2b2j8dYhVbLct0q;*b$Z@#uf>ZAb#CeIN)CcNF`7VEboE(K)_Ogq-?4yL54*E zM(`R0ve!bwFBeC&MXZFcYL*8n3$w@8gZ$r~dtys@LFZd=@9ak3R!veiQs#LF-mF@X z3fA+6AO#Rag12s@1T=0&C^5kLg(if`g7t;lfKUgO(>XGL=&h89e4|6U_a)QJtF($% z>MjB)%T0I_i^Q7Wz-Wr9;Hxxvyh+UI#kvhB9SB&MI6#wRzY(-JVvbIzXse?hW>}?O zspALO;(=~W7R!Qc<+v)QkmL+s?}9TGbDI`Zf|b+j9k&5}BeE;BBN5k_oJAy^sh}>7 zEGl18?sEXWi8$40PSprS5Up@nVYFJ+rTPm!+%;75(p`TYzw1WPZ4Lr*df`=Bo5O-c zi)hur%g|wQb=Fdh2&={#C=Za+79ND32rAUBW?@wp7Fq}T1Cgf#7>>;YP>zLTsT9XT z5;pWZ@H9*j#vUw=o^1s1T~;awiR=arn+2Y2aRT^}3}$8PqW=icDLbXGq|ad{*=)mE zvWlN#6~zofG(!t4awB|<_TvTTyH4l$`B zaF7J6sY-rEC8jt{1dM{rSxRU%`gII~M9<@`SS80KmkA!A!b^}QGE~J0vaqNL%671NHHI}{Fz4m6*CJqxjafWEU6L0e5YOLHSAA2_= zk8SyDecx(mXV-h5eeQq0_j$S=i9>%?*Lmwb@9+Kn&Z$BrW2(?2x1`ycrDeIM=R8~h zO%xR8dRT}_cbY3q@vQ;ftM6C-3|9aP=R7wx&U0>xb&X69NPiK^Ck%zM!93&rTntE^ z_C4Hfq>OKT^_NdQdhgm}I~b7IjENF=u1APtn6ftrj#P10<7CCO5{w0#D#0JuAY{tG z`7j9XQRkDi!I~qZE#beDmOEudA>8=f_BSQ#?Ktg&F-urqap@W^ zjK(fQPaCQm$HGwPy;&QlEj7buBa8zuN+%lu2FkpbQnB!z=r#UkEF8VYB3Uat$<^9! z#UZ$mS=1v|;0DceB5e%`T12jII=W8A-Jri&uwL8+MR~s0AuWT827#E-9$YJ08jir& zC`Dsjx^;`EaE~C?=qbTRXQQ#F(WSicU~G43WDDb6z6jdBh*caqjkWZAEStV^@6~tx zAK!Rxm%kV@01-$00TULO)W)fCGiVsOwG7}$8u$9h;Et{JdX(lvo|#VmGBioKoXeR( z568e_T!)&D98PejC)}(eWeVR>oJqr~?QA84^{Mq3WE?9=)70tVDbKML&q)TCMcPRN zt`Ut0j6AM!#gLxG3=AU(wl%JnF(9maCIgz93zdHS5g4unriMqFm9cf-4G1Z^CTd<# z^NFne^`H6v)E_pDJxF%8MMEFsJk%C|JRyn=wS(w-C*;c5@dezn#j6pi7&V?~(YMuQ z=O(L3w4Q{$E@VoOT3kU3Qn~IVrz$CWYRc&g4fNw+6tpO~M^-rAf&1MW$q4~PNoJ5v z1R)}QhS{2vaZ0h81)@duEL*oten48h)ArZ3c!(CS6a!p#8{kjWNIL}?O|IUbQ0*Fi zBU;g%^($$nw^I`;f&tX5IQY{=ty(&A+`Aw8ub=#I)5ME7%w*G(J6D0>g5b_K1n%vBOWT~0N&)Y&0Q9?|+#?+w~L7U=hLdJSfYxh1M)j)o6rv=2&wByxK+;3p%exlf> zZOCb37~e>>UhEn~dV(gHuIm#0@s#)mB1f0%`&Db?=o#RG2ZL75#q**j@ryBGEFDUn? zH7nrhVDCpiG!{2~=TdSU*n|RoG3z4}muDwu0Xt52StR8~Y7Ct47=Qhfpa4h}EFcP! z+c<;?VZeWExEDn#pvet8dtIb^1eOM4LnI~#2lZOv;647qfB5n>6W*C+_zC+IQb!lmR09ysW&sEO2M^o9^3AimZp9k@f!DZbEg-JEO|{aq8&quL~HtE<79^}6)+Lte}BIo?04uhYxo&`8!RcF6q5>gs}7JSu-*G)Hy`6u=&%Ynrt< z+HqA*9(1!}tVM3i$(DHS0PmKY_r;y@T{DiRe0Ds7b$RU|41RN5*hsz_82sI*m1RFSA4P-&~2 zs3K88pwd=3QAMJHK&7p6qKZTXfl6EDL=}k&0+qJPi7FBm1S)Nn6ICQC2vpiCC#pzP z5U8|OPE?VoAW&(moTwsEL7>uBIZ;KTf6Ryk2cqJluBt#YD@LDiRe0Ds7b$RU|41RN5*hsz_82sI*m1RFSA4P-&~2 zs3K88pwd=3QAMJHK&7p6qKZTXfl6EDL=}k&0+qJPi7FBm1S)Nn6ICQC2vpiCC#pzP z5U8|OPE?VoAW&(moTwsEL7>uBIZ;KTfU7#ya>)0d{I8$=iKg*)R9;R#=vy}o5-ycLswXeRja-xrB8XxT zlG0V;h7q1tjEqBULIxW<@JJ&pnx~9DLmeyU65`=?I!8z*z>C-vbxb8=4r4?KlE-=m zUr=M#n52BLR;^pNAQd%I@(#_2Am!jak)*ON*6>mK3FASo=@} zO*yF`*%zT?!XY{tPREv-JO)hcYtQ`V`_Jqjem;4G?-(#`l2)6T?XI@!dvy_XTis=N zt+iln#`QHn-Nyr=$k5jYH@&Nt@9yVT5R)24^i?$^HBm9JZIKxgbB*qrnr@LBX7|Oo z{}gxqHBVI>y%xtTg(PnijE{06(gD7hT(!spD+h(jOd>N54%q?7VSw{t5K8NmCbU z1COSiMRVR{?+u;`BZDkEWYh7;Sm2U6iC)c%mt;oWGW<-i8OZujUI#5FRo5gW9a!l( zmNwk90S+ac7U?J)vNxX=Vp-L|f=z?Co<`1jS-hx|$3xlg;5UziY4wJhQEQ^V;Py5r zVf5Wo-_h>>&cjdR)tzn3(M_H9I<8IJp5&>F7ONy}T5Xj0tLPcoFj5ZXroU*aAQ5$M z@vsf=P6flv)31E}3VMMJryL_@gsfVj(H~&=ak8p%qDX5UUr!_S2Cr}2 zpHaaagVb?iHc%xD!F?wg@YqGh=yOc6=w#Zt-JqMR4&G>{98y~hWthS6@fF8RF>32} z3onm3&vz(kO~)GbLMPb=$M7d<9C2EAz&|`lnQEd1ex}t8n_a)&P7V~Knh^IJAAR$? z|Dh@VCf=27laCBvwoAr@lT!IU&L-L9QO&VZM!(^aTDGif7keAfA$wUkt?$m)gIz+4wY=S8uX8gErMdgCw%q)`Im9@J5c8Z$xjGecf@|xYoOEN3zBbt@K4n!{^D@Uir{}d_&W3Oz*%z?2>iRH#oUrwlAe*dzcDpr~))S_fee@W>ad} zG^3_nJ(!crwvpj>u;sR4bh?74f|MD=0DO62fIPb(>?Ymb&kd5X-T3p|ORLj-h^B;- zHq!Sa9&EF)!3xu+13uW`__X^8UJO?CV;IAJD(>RzE_1@PVG`XnYqffQbq*(QkEv#I zT)AwjT(RjK_pWIq(nxQh7&Y?jQ2fw@?C`ZUhr7ZG75Au6JGrY(HZvea?Up_N`%y(>?tbcRlFU*&k_J zkHUPs!>0$@~k&OUjXq}on z$u`wuE42S1sY;7{?>$HU!>`x<)9%S_j2gAY;H93B=&8_H>7Gw@Mt9uQTjLD%)WyK6 znN|!!Yw|TLWg(v0DMwqQK5OfZ8tj-*9|okWX2pJQkP4r-tip6o#FNueUd;P*jedRP zM?6jhOmB3pR4kqcK4d8n=?=HfZ(!rmz=}PXR(ZVC){K;R8ejVK z4fV!}51je>m+!y(o=@y{`ZkSk3vn@Ht8i2bzUoTaq9zU$mHROCu98gV;)t57td11cj8)2@#V#xVFUUtS;yw@zV?3 zbvX`i?c-W}zeT>i`Jqq!m!^*#!ETc+WlSCC@u-Wy*KWN9(|k$OaL zv0ikU&QflIFwY1efkg**-*3XCuEo`>m^O1Xh_2>WYMi~eK-e(@oXZ=!-D+p;6 z)7^0f@o)9{8QOqhIv)62Mx!kn#WL@vDRmX|kNB}tChJ8WGiR<(&C*31!VzQ>w1Cq~sWFmCDOkaqA%dKAyo>72=ugGdKTsLe&n(~WM%8DE|$`9wzP8!cgNBvWc8Fd;jA z-ct<0E!DmXDu#aliTN*m`>l`N+xWHJ4yO!d)gVy0k#OBmc>ES>WnSinpnv4lY@GAz zO+7_jEeO-$C2rK|=n3JxHcpQPd?~c&bYiB|Xua9Xw5%Zp(2}9k)GrS|?GlrSv96v5 z(f4S^3H#d2lHK>p*~N>oEo24DaT8B%*meB{QP62G5@%;*Pe>i4eey4 z8E4(Jw4KF#NgXJtDdCVCSI(O2hCSu_I^-{ zi77w?LQj-6ZjvrK`0JradKDP_}c}K_l$Ypq9Sk?0zEV=bI|)R$WZc~R3` zgg_E~_?YiRB+rFmL8ia;hd(iEeEr*>DEAzK4+i1Oh@NJFGLQY)^--*)vb2qO_Ox1K zr5;_$>d2x}^63cG0h=OcoYjd(3;eW)03~Fc2_R#k+Ystx(nxDg%$SQkld)6otXY$M z)(nk;QY-A=XWiqjj~dgPF1q}Rq8!isia#F-RKK?O~<_v z_G`n8q?|!l4@2pvo)4)_V!swaP`Glr(;~h(X+Q6V87tUqrRiW+p~Iu#WS!YR6E`x3 zvzm)wwQvfR*+@iMNzEzTck)Idywd2&_{M9r{kpnr250>IYw1=%d<@CcTotW-?xBl@ zjnpeDP^32{bwb@@x){|IWApGA-|W7>sr}M!*OA~#qza*JWjyOXE>$AOT$N*h$UB-< zipEt^Yu0#a%c-?4a!`2L?Y7O$xz{L0CR8aC{t64yHdpCruiNRL(d}ha0J=3MW1IAe zPCG(GZ8u%TNyc4DHDAK{Cw_m{BkMRkO-&6Cr772p8?6(B@J!bv*hQ8N4#Uvp*4n;K z8>n=3rStP-+2QtcK3RIi#VO~$_4q;8NLvA_QIQ-oKq~H1GRXq}Os^NwUTHpI8i<`A z`qqE@?w6kb?BU%Wr+r;bA9lgGbiEGM$I&q9fn4v^dOncmQ;LS)r*wO}k z#Z%LQ2I)m8l%5HS4&MrKCXy7;?hRI~=j-&eeOXgUPZ}9iYplB-o5P7y>mWVwhz^v( zKm}$K3S>R_u!~yJIaXuBXJg0_X1UPBy$tve;*VKck^>PaKTQRSA1K2d2a*cbo+qxE z(MCMU;8ec##3K*7O>g=)yWNt)UJBKHrcrOc$}ROFhuD+O4H~;G!^;X5bS9Mrw>GS?^9T-_)Uy)>1S3G8^Eqj!9!tem{0Prw%%a*W+Qn7wkwz~{MF(_x z;XPk^;FYHLes8zu=|FKEB-k1Pl%(!5f)g|w5ydA&d8`g}Lg0$suGK(a2_~1|O~1&T z4iYuUP^0x;)G8BW=8__dQ*s z$#m!tvM3Nz(@{_eRWhOOn|kEbPc{vHlA>vB(D`VV&~4sDGOm8O(A}#zg=bu9CZ#GbWO+wdK4uOqA^w;dq{bOHyP{y= znadYKZrE|JGr^3>8LyEt(x_z+?NtI-H z^A5}T!6zT;Sb3EVeQx_$VPInVC#m%p9(!*5&f}l5-^uiB*x#hq1k82mBYvJ9#9y7k(dspV-Hym;4^=~e<`pX|HHm|Z*KYDWNNiF*_yUBj_ z2)%LjV$XY`&13RO_X{7;M%nt@hbLaRRa<(+ex$g#_R|ZRmp{rs-C@>$bnHN%c4(A#bf){+T^K@=L^TRzl!e017dw-_!|fQ=5Jf~lpftVFEy**T)s~{ zc>64UF^XPu!j#vh=I*W@%^&8i+V39x!eIVp^96TnM3c)4Qx|ID7d|fDH28!z7dLhn zhjo6wc&qv4!O6eA`N#RrP=Cccsz3PRn*P3vizlLy^HRIz7vBEziuK0$$6sSE&~Nik zFZOp2-fKQ&iJu+1?#;ba=(}&$U3ihYOS!qLV;gj4?U_e*Sw5DZk;zI@3{p~k;BYh(DU-P6pe@%Z;yLP?*-_vJDuD`SGIs22@^;y09CJ%3K zG_StdqVJ@|4`wedesbv6@K~{9;T8AD*z(x3KjEIy&zZS9+UAK~RFiKmY0=n0sr?+g zHs1TzXI`9LJj8$1od1u#Y%lM8{f|#|boXwI#`#n6!}=pHh`a0;*l$~-)6acz?YMhH zA6Pm)IkWi>(KdVK%p;t?GSX)MoORe6F~7{4to6xD^vFAZ{yU#~{$C&69XPj<4#=C@ zm-VZ2{R^&p^^H$`wWa@(8$Pz=J}JfK1LMy<@gjX^czvk% zJ~P;Rs_=OHvbVqW68*K6_Rg-GPj!8=Wo+C0iYWYi$Ls&?;^~m){H`}e~GrDKKX8cUon;s4air4e+e(gKwRtARu)AF6Jz7N0e zs=mH(@n{P5Bx&zQ}(s!jlbFZ^U*-*7`t|WKeqnM@gH|Q zOPkj_tZ&%I*x|72;QHmPcGg;J*_=AseNr3x{M1qVr?qq9-1^zs$pb@2{gcVV z;yHPC_T`;c-fccAI=r)+clDzpXTAQBXT|sfR{tZ%MjPwU&X!@p^*J+f+!Ulx}++H(uHZRZd4ZC2xjJ%+nm}%>64=1 zdw!Nr{7T1>|Etin@xm@BM2S_*ln{dIyb%%;J~kr^ zHBKEL$xsx52#l_eX(qDZV@9nWjwK|S2<{XH4)bUPeq1H%D!CC5e3)Su4LS=`NTEsQ zF(i)}1HU!8B+ZywubIiT6$%)5I{DU=s`zTubn`#|-Gfb~pCdaPy(+KDl4@$_#Y=8F zYG${2kp)*}{uGabJ@P)O&q?L7XyIG_fYi5Pb)xtqyD4JKN5>t_m(`%?uhs{Z{qP^*?LJk#{R^9j|lq?ESQHkts!39etQxq?q#PY zPtJ8S?flUGxz31t*w@y5z43tD&iB8L`3Iyi^^?r46HP+9UtUQsQ4aYz<|{He)D#@V-K?*ICKyRysUA6fH1tsAm1Md)BW z8c45_(NAFZp#TJ=U6F6?j=sIR%yLmNIM=RR~lqOEr6xxOey-s@_9coz!6> zM{WdDY9Y985hX0DmcHVcTMpeYtk>hvLw(CwRSUDwK)n#$;tig@VXV%&g^;V(qVIJx zJfpdICT&o;=oz7g{;h_ViXNX~4XnWp$yKRgn&qa68I%!imKxJ zow>Bu9ije9MMfL68nn0&njH)Y@hzTuLC@`Fu7-{)r(9^^UeD1Q*g5V>#RyB5p`rAO zH(0u9jPa9NU~B8TiYKlJla3io8Q_YWb+IC)hSPPXZXRQU8s;-mirv=IMuT=$vRYSb z(xlrcq8E1nXw)c<_WSovd}N{NEAQV0fJR)`EITSOhrZg&om2p+@3&F4Ae&v%+O$yu zuofLl6x3pZ&(aX)%w5IQf@0PxpZUQ1a9=2#2DJ?nw`H|Q)J;gMv zyo)L@)wk@N=9L*hL{Ys4UCyP#W=2W9&Nj^}{T$0bwv*GpZ0p9NOV#uUE9{iy9`#tPBbpM6cr&7&`H#dB-i@kJ>;X(9wB9#s(Rm>cE^P>Nt8Q zPkn)n%wUSYV35VZG7h%t+INKxig5doDRW*8B@I9Vd^js=n6Ue(o*=Qyht!iA%$C-# zxnY!@OtrE89+3wlVzWjPoI0@)`B}sVKAzf z^Uu3*cpPVBN$N&jua4b)PQ^)#jdgW;h(m!UqpYQvfmN&dd|8OBtm6u%MKf+po4tNB z!siMqLV8LI_|*LgC+fg^%_thT63j&MR^Nb2O{e)=+~i% z7ton&JD9Auv5gK3bVy)gN|$KN6|+)1i?ud0@;TELefmNfmwFCd8ragJ%<<_*1Pbs_ z%#{J&uQ>&2PImG|;lW!mkc#F#Dh|;51?t9E<Fk0D0@0tIxP6N-rOFNj* zYR&Kr6X7|&-ipsB%Cbiurprsh7J!n{E<&ys616XM3=qI?(nJmH0@YCZ}*$5 zOC)d&V+cZU?1jk`)D()N$L{L=I^F270oEACy0bFKx~7b=V^K3xI$-HVgLhT->SegD z(Ub$Y*6Gp?Tz3T^47Dz?FE__u@4U**fB`W}&+#T9!d_`S$JaGs9%I8c6MZf6Rc;VF z5##pcw1jiKbH6yq;2iQNSqtAVjr=ILibr^kio8gq2wC7kGohGOJhUrDG&cHR?Dgo? z@11ye-1N=UyDV!&@DZlZFyoTr)YVzN9$|$X7L{?cjxP=fFWtgZIic71up&iFWn=$( zk($ON>{T82XbsRY3+lOrsGq5@DX!zf8vA_?rjGiHx@$n~TZBvdSWc{l7@=WeK5E&v zsnv+k-A}3MjzpP564+`MG^*IfQog3H&hs?aj76`Lug>rW1}hQ3oQROsQy8#g%+pn+ z!%P8+VU1{r%8^@CCye>b!$^cgS&{k!%@^dBiHKdpHUAbPm?BGo%5BVrR&%od!(VE@ z)%5PS?4n_pM6=%49IY-OjS+oW@v6oh2^VAy;0O{-rcVomBx#pg_&B^~f6$kJg7pmr z&oJvSf5_4~i}JjCIch;{xGqbY>QV$P!fRsM=Nn3h>!1x-_uoxXU#v!)?1rXE-3$pI|Hr@}^&C`o-7pLPVq6yfDDwYL?;wjv^$fk)?J4ia^XMHO{gX2lEe@ zkXan%{lOXTL$~1{ts>3w{^McH6MJ(&xQ1QFVQ)J9Qr2zcvWTLJ9;ft^Y!Sw88V*Ny zb7h>TtX6TINBud;gqSig#|LCDnXt8q&FMeJL_R0S!Jd>D#>z24udMrOYM#4e0i~h9 zI3M84HVmHQDq5$t8p^JgyMj4jc_A@7V@5yFO@chk&g(Gf0JfDGVf}WJ!kT@+7l!9P zu36o&b<4CF@5{pT1ePcv(6W$`zeD!VLdGWFDxL0kWSE87M3qjt z30&7ih>(v8P9jQSsgu8cfP+hj<4esL1=qi90&SpGydqzAsUv7GdR~zf}EPbJQMv?Z^J$ z!aMez{qtRld0?0@hxMfpnzSEkzL)uVPZ+jx(KQik+53d&z#jC8oUjvJ(q)I&pyeUw zrnx_i4U&+@jDkDh+TQq2dH7ll^G)~b*THG;Ozf|CS`UL?f;e554VsBb4ZfYHVIdyk z8OFl6?3z-;G_g$^_Av6M@LrbL&Kf*SS3m=3!W$6e{-Cj5b0)39lXF}CJ1M7rR z4V-mwHS#2aQZm?7&4P+sJX~Z>pLP%eRfyCH?782#DqqIn)le5oA?%sH&@)LOfBrgO z(&r#p+gjKVA8^4)jr?l3<=jkcl~qP8?tyNV*pUTZxS%DFA^5dvcLefE_o{^R zrka)$;dZ`=_}DI<37dVr>=?`ms~foG@3Wv-S~uHnh2LtCXK9VE4EG{01JfLgPLsk> z^jkajifcLPTKq0G;ICE@40=$ug6KlZwzWm$j#$goQ4%lf6a32D3s5k>PtNduGqGOg9#F5*TfNNXQ6WI} zQr4G~Mp1J+aY*nHI#h9T0YM1{?3_gt0|q=onuqGtybPBXZzg>A^(g#=2RppMM1Q z1wup5CMuVkyDL<^FAK|1W*@4l08pAw_VS`uIw}!_7?gJ}8xWg0c_!p$ANW_Ir(y7W zEv#4y^QU;}fXHHzmx__Ot94j{)$F(S>!vG@8qLw`o;53sk?%R{EV-7cm~ z;}zy)23sxp)2^YTd;o*m?q);8#ZA*7Fz5-LbJjNOJmO@Lg*o{FAY>{z9x2Z9aiOjb z>n~XitsB-M)8x^^>@8S>b=*h3$fK*$bjK2mnwgxw(q9bXtBL=R%5fi|JOODnI0xCM zCACIK6dl0zbDZDE@@YP(F}SyPQoDa4s^MZI%nsxoU&)FhyRx2@dD<2!Ik_|&*CIiV z8?t4r26cvH@fF$anpPL#UTxIAbos-7^V~O^yuvP6b|eZTQ723=Dg(Tu4|pA8BeZx# z#@9Iwx0%~ulJ_~cT^nYthFc-wXLw3?R*_}&5YtMmap9tVo1cZ#faOo>E$2{p+Gf^m z=&iniIRJ2KZ#3*@v{`T}8jxj9C3Th=vFMET2s1=*e}ciqj+vI4e+%0@PT2(sgLeOF zEDs5TWm^69!|eUhHIa9=T6Gu`u5_XpsV=%$LZ!XOx3|ln6#*?@y=1kyA&M;j!Yy;Y zw@Ab083^`p>ZCj&FcZ>mX5|th6NX{of`s8OG6&0if}zR@`c=_z0gZuUi}m9BO2jVB__DC1@=&&!4~aC#rs=o>(e z7TZ0mm|!Q&1iaLUTUa_Rnk|dh+eQ79rt!_}z&;+G7SyPhm{n;JFSEVTAPC3CI{DUs z$PaI_B8mfjhdA7tJ7znp?kT)s_5G2%ZJLE>V6GrLd3<}}s(gTTqe}0-0SF#)FS^qo zl*c?Xz{ospb+C0!1Mx6HbJas-b1giu8C~MglNv0cV1=lS-4$&v$YbGR-urwz4 z3-(`r>@zRzyAza=B#^UB16TH;Jhh!vPjB|+2mDRrtMoQMx2tLKh}3jC|{6zTtwIy6)tL1)*-?506a`eJ-3m@#W^|Zq7bXih;WmQvXy4j*0!?p zWzSs>CamBMK~LWiT&a^9VHA!Pg%p7bMlm~A8Wk_rk>Y`~vZHsWc$=Ouv61twQLYTz-Wgo;G{7NFb>VHGHhox zPpTTb8VD02cVK*uZ{DX3@Cg$hX^sQ5UNahv!mE65d?V>hTISp`l0!T{5Q>e&+HJG* zKB^cziLJMIe%Ru1WEF<(Mo~Jbm$`VQL{U7@e0}NiAN=OvLerr+YhrC`NZT!<7ht)V~5*e3{8Uq-9ANvpl{Jd153B6VQ%4tVP~}e!8u12)M^ z2s>A`E$@(-zv0Bj6?8jJ$h)9i@kXNMq^SqY)Mh6 z%ugnUq0S;u^cii zDClLO+n0gu!y&+hgaSO4X?NxHhZ1n@#xS2q=ryLL11Q#JGW?;S-j0H5{oUT`TmtWN zyaMkw{GUdLco|-ZXl_9}Kai-X=*OxG#3RJZow^)XG4wCUIbP_6kJ?WkfZ^Ry(sEEO z1ANDp3)3|11>5}~H&j$bD><{QWy5kMIY-#oVNl#3kPIVY@VjLRO25Y8!?%)IfhC z8n!ddiCb)!4eXj^H#$Y(Pkjgi50Ywfrd2N*wpX}RH2l46GFYWY;TQXKc4(oQmCaCM z825T3tT9{-Qd)C#TZWjM)4Of$yuUibODO(Yww4%1V$#(x2}NbnVDVWmt~5@B)b+(4 ziB$`U6M|8kNJjreJe->_S#TqVD~u4)ZI)u)xB96pqHzUaKV*vU7l-6ahja-;0n>nSkJ}82I+GyFjNpbd(b!#cRbI z1#D!Wp9{gfFJn&aVIYTu94@lA)QV$-xF#ZsxfP4bw zt8H9@ZQ1ZdiIK!&n`u~YJklnTU>-*cy{WQ~oRB;V?VfLN^?VEJ_OMA4!8WBlPS}^y zebdu|^+Q;ACghZ{y6AAzRbH^a^Q$NFuif*XcgruSxRd|DN`iQaNPAuSSE)FMfeq%B zJrO<2-sem))+0Q#ze_JA@aa)PJyeZK$SV7fYjIBOc*=KS9zsnt6Q=SG>U{zof^sDp zvI{!{{%OZ{_y-ub#DLu8-wUE_j$khBArQD|CqNc1nYajPj)~Q>w;V{2M0P(5czx}n zdux6JgErV|VUJmH~85*PDQ?_p7Pmk8f0 z!b&Plu$M4J!yJVquo3<;9?XJ`rPCA+6Qeeo7PHI}+EuaMK8F&N!{Z&T{15%#yP#hG z!0u4a_gD$0=ZhGZ2;<-+jXe7>w>o`5iuF-&=Lmd>%?#ns$5GhAbtxFkNr4?U^A!Jl zQg8sK3wV2TD>UY;l~NO}9ECKy{bihx)!eV^$$$nkFZYxk|JR_&DpEFJ7YU9dboo8CGnb= z*|8FSnya%YI6?$aqV~6P@^clhIe`d|N*FjTFvgSgdB2M{3=SS_~@hyr-J zet6DUh1+b45~jZTE5Gp6KmWUr{Xig5j7QS5dWm1+JM{-xTVX$ApM+uRx3#crHo;Ue z^%g9ST@HtB zdIOovV_Qym4X&Qjj`o{TQasG)tr&S^amZwKsP35Q0FEiC9wNON4qg4F8-)uno{_JY zeP<^as`(ZLL|DQ#2H)9iJ`>Urb|$wJ)X6dXfHueT??7hK*AlIU2t zbMrTl19{DHIi|#Iqj`e)WjZmjzsYCoQ^G8wbOw+>>f{(6zz4Jq_ zKGhUgE_4M+v7hU_6OJDtonlfmfipj1Zek6>hKbvv$hD(M(iK}AC7sLSfDlPVB(jpZ zA`lf3uAF@TJ?di0(x`Zs)xhkf%Mt1&381gl;6c|h>h-)E4*}2jCPjh7gFY71hAqH} z!hYgGbAj$IL#|N+zGI@elMH%cOq8>5zGFgB9@8F43XBP;!@4W|m)xjCcxc9K41lk=!S?pRS&c?zf}njxw@MXXXgJ^-f1;nye|KtLCEZM+lD z!~V{)7fsU3|H+CrqU$ae&TJzsvQLAkS6tK{50%0F?ms^Hj*m9|+wuR7tIbK82{XDw z*0VrY^3%ON6 z?k8Rpk?CJ_6_%;21M?KM#WH6h1J>fh{wZFDxg6SaNZdUgLM)V%CQ6WqQl&&&k>uRy zj;0@G13>u{CgxC7j(oVLTPTAc65R>R!pg#tg1iNg_mHqPIv^AB!qr=LVoB$=T?vT$ zkR&Hrla^RgB%W90*XZG0Q(Bmfm1KG|kpsA*e~LC;{>s0|ElO{R-hyA{$|TZ}on$JZ@{Z&J?Ii78fk6eC z7es>hF%;$#z{_lYE!%D{0&#kJF{w}{qWdl@wRDMJ2H=<>2BXVjT4wahAd`??z@7L3 zy}6v?H*9UQTUd*t)0IQOI`OEO_|Wf}qdb2YCRHS=`xc1!u0=)7GLhX1+S5?NnSlQ*UtI*gw)X9S5Y>fY~lG|wfJVoZt;@s&{`w{e2ks$J)U5G ze!vFiq90>B32n$*E-=`GAEW}?*`x-%YgO-vvJB%)>}37Ly{&*8$5cwh<5zy{Z-4F8 zaMyDYxHW7k71Nx_MNR}O@6txnisZ-6mb{w0UVfWm;CDQu7+6z+Uw5~ZnyeYxd1tZ{ zY)U{l{^J*1M43E=winM4EVdJ24#k3PnJB>D|wtmnbyg1KaazlIZ|K{sm zz}mRZwb7BlHol@6#xTe-XhtI#0Y(8UiJUlzW;DPcE(!`s6*r9|87H!HaUrxN*=ag6 zEWsGdC{V>At`kRCIOO8GIAqspb9Rl49qKyHHg&yex_7%FI3aD?9%R|YiM#WE*!iFT zJkL4L89&x#G)OaR&06cbyzl!Ft{lI-N*Y%I{g)pmJ7Ion8l;$N1)Jb`trqnevRH$< zMv`Q#Uz4;-7twJ~`ur{F*UdLt03P%JX%ud}gP0e*0RW^5j|`{~K^#y|n<3whWW8&# z#TOqJ(UTZ}o8cwkA!UF#!Uk|kotc8x!DwiBjJnZDHx3c8O!y2ymoC^3NXl=v#iMR) zi}*?!VAhL|&}%?2{88cCmTV$%<5LW~VzUpGji~efy8T|;&iBHp*wJ`x+FDE;^avO3 zxU!?>R=XOTw|R$#uh_irMt&Jj#oihyq&;7fw-4Es9izUsE!TH?g)RRKH2Pi{I`d#^ zi+5xwMV21lYV)MXU-^8g+#{aSD*FSYZN7z&cQW>+?;|3X@or_I;xZJG?_2{GOFdZTS=L`D)8|Y#ZobZMoR=^9^>7?^>PL<7*%N=i~7C zl^u;kc6ul^dQ>@bk$^9gF2VzGael}iL+K$qLRU(+4sq8?77yEydiQ92!&UOA&2wdg z*XC)PoPXOMV=oQ0C$5!lJ;KeGZlwVXapb0II5AqThzuL0ZckwIFx2dfg9*66H;8!*}JycA*WVM%iu5sSSeQBGQ*!R_z zKlx%``Mz!R+8&1=Bz|Svk&fGKwr7R(yGQrMp7zb!7CU4EeDBDScZO22UmCw-J80Vm z?PuHZtS`+TolNZ9dXa>KhTedO_|Rpem-f6fG&zvk_p2>LYTr>om>v4(;}3hbPL93{ z-SQL4Yqh=uy>hf-=o!y5JFXmoZ@My6Ce1(ouvED3-7B@;unkg(zIAu}X@_Ut_`8AY z@pbjDrGFvcyp>i9rTfo3_?ImsvngV?(h(fU3)^XKHa?60HIQcC8mJFK`}w^zH!?Um zFp!6AyPplt$PEU&(h{udoIE)$#?sQ0Glj z3ql>$5Z|-kOKTtF9cNO+OGhliC9uu=4+sl88&4OEmkfMNU6Z_l`mczCoTuraRNY@r zywp|ta-&dy%9FPTdt-YK?dkc*B7Kaf(UFR%N0>);=smQ~xOm8h$wK5jKAOV!s9P=6 z6qQbFpreAX1L7wglk-}=Z|=V>d($)=uj|8GafS!W?~dt`VZjwoJ1)qO4A)lXz55f*XSdAiT(V7@Q`?2_(^_4U=If^ zog(tku9}y>zU#!*kN*AUy|7$3j$r0mT#b-|7U>~=Gb~4l%aUP!Q(?E3wVTRPz6Obek4_A|J;A$?-n2Q z5(Ob2Oy{EGi*qi_ny>)ER}l()%iO@~(*R z8NbMk0X2&(k#O;l>H+Bqn3A&$f}(@#0k$l#uBj!9suytZqEZW%0eCY&?ZH7DzNmNv zFDS;KE*>Cg@J?L;T7#sF!Ksx#S@c^mF<=_hcPu4S#5xo#1+v<$*zK^egCEv(B~gwp`_bOw0Wee%=! zOcB{47zA3!^iYFFoaM#a0GI1i0b{pKW0JX)aGnzw35PtW1qUt^$CpqU!ZZn|(k-xz zP(?ySBFL7Kv_V16J$x>N1#ve@RpwGH#1=}|(ob{;Nt=pi0D}V(B=k~-7!e_D&WN-= z%*~q`X^P8bB%&hEUPMx}8B=V-+92$f;0 zr7RN&8t7`M##f^`k<~xA(Zq|5Bxbr6pD-P;6*A3mufT2a|JZKWPM}ldy0LGuhk@br ze?I?aKdjBzqT#go3>)0Oi#IF0`v2JY8~b#NGI)!(4ZZj_JJxsozYPDZ`0kC*une{> z;rt7I#!qw1JaZcWQ`9g5t=&ZD z!0dg44~kd8i6nz6Qmc64Ifw%Yx1+s+MG3kb!Wm{MwqIPrLhzKe#0n^YZgaql#C2rcGj^Y9zCirvzg#|V|DRM+L- zAGOtF|MlF>=EEpan^r-W$~+H|u!Xg{=^W1*5W*B(o6Jg#s#^D@$h7YnBkhTAHQRj9Yw2kTV6!a(Z< znHM>htX7DHOVJ1al>OeD-!wpF5wJ$g6hCIbig29)#5UzjT!CyyzZr%wjm2pXy_}Fl z?mRDHxP{?I03n|B!l0<-Dp?!|wK90w#^vo9A~x5h2c8HmofK5C+B41m`VNeW$^0N` z9E8hHPyiJtlKG6Xg6uD)xgNSr1$B=>yq=D;Dyc-Qp5*-+w-f?7MsgCEWEEx-h4{4y z8&p8C0VhDx7M2sWU)He-us&Vjvh)`vGv%>d`aCXV;#5x#e+c6ASWsc)m7IA{0l)_X zr;_CYeJeJn1cbaU|LW+>aJKgTHy2L|YZwFOIH6?wsXz~1F0EJ(;vIn#JYbELRX{~X z#U{F{gkrJ2PElbq2>n_D%Uml#lz16T=Qg#qU#rv%(|D^yVZ5}8h7~N6G1~+VR>TM_ z+*IEa)M_eB40?Ei2UZSa5|{EU$p-N*N(qEDWi|0gi#c--gbPt$BVOw0#dA4jP$hav z106H><1}ld+dRsk>zDw7eUyV2PTmU5%dAjChTtC7ShJBoLRA8qc{lJ-DZalN z>7^zmuSmx#bNKLs3A}r0YeGoAF~wc5uGb(XmY{06o_>d zd^NFXnCJkx+u084W{&8Kzy8Mt!{0`3oH2&YI?`jO*cRK%e(5Eulj6opdfpkCr|s4B zFQkzoh6!0sGfYKe=Y#k~1llf!eL!fM?i`HajhvU^o24#hg}d@CQdV-Dd>$=?IeJ<> zwqYexfa5#*bFpTjmJ|BTp;wv;>lo!eTg`M^yGZ)1REuCID1V)$Tc~5#szsdm+yMXU zM$N7be~w-*VOQoVJNfZuyE0o*Ca$rpZ+d`#=mEapL<}74SNUPO%kx`xDt6MhTIEiyXWf;`i`MC)JEJ1|K!m~P2YUI&LHvWacKgM(FENgNYLEuXXF1#H!N#+q7)QtTSa zTjXg4x!iU!Luw1B#bccL%RqThC>m|!Mm5P6f)H(KiCB@lh&{rjTZ;tsx`X*vwfrS* zIOAEDKL{MJpM=za zHnyCn+ni<1eA1M2dT$P(QAA_)5Bx1MH^`9cUdV~QU5JZU5ihgzr-C+43*j{qq7O64 zY7)pgClo#_A>!tgX3;Ex{qbX5Wf8xE#WKpK!PpGF1_++&;VLzAJ#9Onsms_f5fDjN z$a;Y|Y@B$VScl9I8C@X+D8@Aafbl3lHd`wV2YjyZOi{3iqt#~mo}5^$NK01MkVK$F zQkQO)lKZr*^#Me1VX|LvOP9z|4V%|omcI_@7V*(51fQGt7cyN5%0d!aWkqAYP3s(< z#8tP%;{j_Cew$*)>jxhPx(HFFIoA@I-Vz0H;N8qE>HXh&=#eYGmTxv<)-Yvb`fL<` zoqY|M8YD&2@(SV(MKrK;cqqw8CscBYw2W7b67JkB3=6k#_6!XoUl|ngE4e}}m(fdZ zQ7yp&ouP#4a>_wPeJx<~Q{!Pu>sJ`pF@m>gPFI;Q#{vkQmLBOZ73p%+C!A=Okxuq$ z)=-AJ%m|dBSwSPTzM^FC1EHn}8Td?)SX20Lu3t7iD07sAU^SB&J#7@&N(kGm1ViV?onc!6Jf^fV`S0*`?VkQF2_Z*62MWrlL*57hL z9V_KKJ2TCr0+GoxJXi4MuSWhgJ9X@4!(dn|$7Q=QeBPI#J`nePICyF90>--f_jMW)-Pw2a_ccE9-_B@#VRPQ&fz$A%MZU?E5Fjy2? z+0BwF3;p;&7-399<{xka&c!XzCz`A&fR=2d6p{6v5p{(Exr~=DF!_C&x!*uadY*|H z2pg}b&|V}_RuIsmNkH!r%UGF;i>$zkgc3$Lr|NlVl2IpxG91|8+iJ-ipcQoT>yX1# zVmtAm&7v5YHEZ+zwEBPv)VPfL!FsOjoa)Lt(QF>!GApAwfmup@y$5g7=-n#HSNhg6 z#|Ziu0A1enU_KQXLITl1t&QUvrfAEN=YR{tmf&K%$qU(;c)&2(5ZD$hGb#E`X@<*Y zR94l=%Qyp_{`(Jq``r|E%gvU_v_ku-S-VNap)n^}T`^O|)h`uz2jXjJfaU_@niTak z1Rrsf&Id}knvUdu^I+{ht5+!oG6dn6GEvN}aHH}7Tz%*Ts)LfjQI#~9ag zTnFM=3T4LlY{!RR7s%&3zPTl4ncaejCUT_<6dAfmTtbPYIbK}$5JR@e7r7T3t-Cqr zUOs}W*d@^k9;OneX9$7soPh+fTIr(nZhm7?**JAt|B42h=RksjZ|>2lu+ z5{i~bYp%F_3`WXYrh}dsAAfDQNZZD)W6^EoYSzk-j_R6=omRNk(g6>J;<7rKaNu;2 zl12tSsmUE68Qa!|?hBmpD?AtHuML8gI=$LSU?ZGIjH8-P+ zqvzEw)aT(@G+s@PiT8-)ko2;sg`RlV?_zF4ouR8Xuk-q{U;q2f7R>4b9A-Njp`eHY zwt|bBvER{vYRanTcT$95`-v>lvu|7$hgnsH7y*jOWTL8CUr$P;4(yDI2L8WS)AhK8 zPlY)z$tAkbqAaPR2@xu@`y?QU%~XQ#!Do~KSCiUZ;$m~u6{250F0Q6MNNpGTx@b;k zux4$bxk!uD=E!W$FY9(!;z!sLvU541a4pEpqFEH{W)%KKVOG_*42_Z4erNEx6rd21L ztiHFb=%>m~i%1UQl9?AkFEd%CXn3~o*{_Gbd;QsO1{gM@CPmgv4Z5TWSB6v#X4+=N z4ad!j5GP3&{^?>+pGzQDLMq_aBSQ&Hw>t7(?1D5XGslrr<`qG2Pzi3iBDN!qrWK~; z7EQ}wMFA67-LN66K%~SHq%&(11}^rR(ri#1wCs?C6C;d*mf#wJI>CDpRW6)m)>2TV z15^~{6;hn%szGjmz<$g*1jFp`3VMMK=f)**Ck5yVgar(to=RPpm@v$fWj&y^5R&@@ z1EF`(ir(sh^oL*8xiZK^J)ymBb$J&w0lyL`cWR;^4-$^V1P;Ob+*j30oDgYXQL=RhGD!^UKaY&OFVLdz--7{<#u zb(kT{n9i+Y%qkiLdNRNx(PY8_5E2TQ+xqU18B+<2)3L0|yEwupXPN`Ifa8Q^2o#?M z)ies|zqg-X^}oTR;3=x$aAVqHon1~fB z!bELV6}G0?WdwU3(=l+3dNZMuax?+veobd-)=3nu%6gYRS7k7DDXfc_s%Ond_WnENtDH{nKBRThS)?qAbGa;-3KQ9bFOT{TW5g3;h9-AwI_$fXj_V4EU44Lu@e7hlo+s>Lz z%9uef83HcM$-3Aq!6ofTXex-LW5N=Rop^-G;^kxF5`wN&l$@d!;2kn6lq6wi8H|U3 z#tEjASVBmuqn9zSVXIV+g1r*qFmCYPRUE^OZ6fH9;rHMcVS@xT1(vHy!>%=WZA9;( znKkY%5^P$RfPt$euuHfA2ou)h)70|uQ4y;!XXP+y}w&SZBtvOr#FP?Q#7J3M^oZ&H$?^HU-f=0ThfXU29Z16QomH60*h>K~{0GbCRI2 zNOR4q0U5Kzdj(MLgk?{`T3no_uQ&eK8diW?Ltx3s8z2NJaKL&773XTqSRuLG8HAca z>Rn^3QOXp{_}x&60>l@^EVIK5+8yTprlZ+ z3gS)`n!@L-2Yx-JPWmG-NyjQET7bY>^e zu)wI0bOz2}#8g*++AIcg!?}(pWGpTq?rzDfuUCRE5Fi+_j057Jkvs;{vhqkA)AVSa z>WXm$Pi!Mq6&Mp%n2|H2oaI*$G}Lh*0yeGBjG>sTvP$JE6&YOX zfSi?-6QXM1mH^L@G-wEJFzEDWn29O|L&*pN7%k5)C6~ZrD1rnq{5-it@yl;ZE}e&^ zu`z0~>ERPFU0_)eQV+Qbbd2L*7Fml) zI=GAR`W0NW;_`#QiOOfOybDer#0_Mib#Q$e|00dBbn znGd}J%*B)PO3EQCS=!njxLZkA7|_5=Y49ExodB&Q=pbR?5>_B`IVEK&hFF@N z+_4lYDfyA?U_=?iV3CqeYvxR3hG0pSEAs^`gkX9_vZ(HsvZvm=^7DV6r%%9fuw^KH zq6^c(p=i*h-%Y8Ryh55Wia*DGlAtxqp(g0H-d@)Mh`<2YVmf%X&Ldb9-3il z$smPz;#wI}#9eo$vfYAD&vM$5wuXknEfbt1kl6eKvAwd>0 zcq)tJRhJ$aBADY5F$+pMEUnLUX*$Cya)#HAvz78v7(Vq1^^hT9&MHGl!gTVlA?N}%wjjU zM|*_HwL84T-Vgv?f6axd;$~y4m3$CY;+l8x4y}o_6H02ljy2C)?jF#jdSW)By2o8p zH2ojevBLs+f5Bm+G}af*C#O9ZrFPWcK)*QQlPbH>Ys2NO2P?K*CQKC+@pKWNbZch| z`zNLwc>SI0JPC?;haGhyzWu^`OoyaYRyF(YNkm#6!854q+)s_(?b_g4W)csSON&%I z`}0I!9r-VPW!&jKPj}T4REnx2URNp$P41Gwt<9si^(@3p{OdMTK-@#-&S>py8`Q2EiXBLQVQwuqSu$9J zu$kOX$UiGwj4gar>f5lplt0(mGUAm6-n*OM-c5Xl*9-4SLb6B=tiSKc>G19)>Y1MH zBkHxuk7}#4?>zoZhx9#ViIAU2-;YhJzooL-Q}nysTnPNWXR7Ik{)MoSNc)+ZuCAHk zb$<;;x!}x>;r)DjaM;9kh^HU>epMGar%o8jM@vz}KURkXZ)g2>o0h0)>t@SyN|9Y@ z`s{S&fGPiY&oSk{)P=Iff&BRIg!BII8Rx0Ss^YPOs9=U~l~ViohE$|Pn*z)^9-O)nyD&LeRnEd8D&px-$-53#EV?3P1(L%nqr>% zMSn_C6i=9JIYMjeggq1I@NV&(=~3HZt&4Bo8klS6KM^Jhq(br9yi~6ob3Xk=+}ZoY z2h4AZJf;ryO;2tcB~fquq{AJ8+B`K)-Qk{Jpmg{Hp}gXr)6_%1Q(NSz#P780%@en& z>GV;&in@L#I+=_WCY;y4-9yf?*W-KhKXlkTAF3QSUiq!WK0{pZJj(8yR>ls-xdrZ^ zpO{n!&YqrCNBY&vxLTatzVq1OY`_0EQPDFEVgRH4YG3_Bza`PL3)jXDN4{)1!b`@6 zzty{mXK?KTJ563K3+^M@#a7}NbA|O%6EBsJ9ps#L*H1X>;51S{;ZAi`r7d1ndqkCRJ{ z?^fqBsb53vQ|KN3smJTfov&zMx_T~=cRj(3-C3LLnQvuwc)m5ybszsi6J{UkNUCi; zd-`hVb9epe`E^U4{br;A(4~*=6~pKmBb9g^)!^|SPlq_Ug_D^lu$z74i7E94IlSZ&2xES%i>dRxYZ*r zzAGlf{QPiU-;L)t@)WzWvpMgLZ@pOBHN-y~>+rqDiSR_cXA{$JRH=P2uZQ%%k>3*C zNlvPL{YQgjvU4{x$tFLL`pcM^@pSs*H#<(x%OZI{m`!v<42do- zCQ6KrtyIi9z%%8>fH@4oLvXlMj#6l?8eyE)a!3O$e70GI@+2sMc+rbk5-pdOqJF3~ z#EvoMrNSyj5oi_|X9~Rn$F)8c_!%vO29`>ICN4H{#*b0W1_W;f7l{>3bL?tb4v91i zW-9Oir`$B?B7tTBu&5P&H8N6KJ(VFfX$UF?SR(X*j4uHdUe*EdUpVQwYEYpdPZ)yN znbv;b-_vifxFNsU1S&_%=(TDeu#R#R3Ze|5h!xoGqzWfpRYi6+)2jKazkd76NB(2_ zo7)($B{aGh?D9;R6PEBuMc5~c$Tiil;?P=iTt zZZhj|_FfvpdK7@e7$L|2f6+!lJcV59$q)z)0R(835|=?E)Ibo;lpF9XbS4Ku3M_+Q z0RX(zmI?lTz$Fpzm$n-#E2q6t?E^Tf8*pY-s_%HD1A$}V$C0Cl1Eo#TPXcs5OC zZyNy5#-bI~?*R;25H8|4WoiYIQJqz^rzu~zMN!U>lob|Vjp>G%S?5x^ zUoAU=`pvl=C|g3g9T&AwWMGxXOuPb>;rI*Wv*I!&ba$CMs#Qi~j!R#6|7rAZ+5b3n zvpF$w#h5tPN=-FW{CF{Sf@R|M)T7`1Jvv-ELlyeyff+lkYkp0t72xUKD!?|iz3>5_s*DQN?U=( z?-58})J?UX%?30^$LYatR4g*($kjnz8?E|0`_%202E(@lS7><*9e5ReT)ksXcnjq6(eb?du90<6_EgDUEj`E^Xp#YMZ+oJ-IEn ztG(!UQkz{L=p<7PH5x=*WnB+bS6PlML^gW%dTS>&%O?u?hr*YgC%ATIgdc&(eX~t@ z&UbyACO$xqnvQfr2Gm(gIU6bN$3ooAjPK;*4lM`w6*uVBSR$m8n=|F zvxCDM+a{6x09p6dv9Bs+w6l1o7pd#f$<)#vj6JooQ6yJZy;6HQ_NGAoZv z0targv|DfZo(%pH6+WttTpx2a+tz8I3m}&M4DCT z`w@t2_eg%;BD{F7XHLimooFEz5qN@ILJ;lYP<2>rUN4yk0H01YDeB8iEeA>&tX64Q zjk@AIzf5uQBnZhmc>~i@G&Gz`2yG;^+Y!P&9^gTMLj<7GkXDJxgasiCoD|Zb_D}&g zQzC^Vpcs`U^f92r!=?3E5^RTOSS@1dfe3)bt0-r$0EL}6?iiQ~^O7rgZ#Mm5n)umQ zM{l{=f@=gQy67chlT^}Qr#09j^f)8-;0(Q*B+7~P)@~o-_R=u5U~v${Y2gg>G=S!t zH8CGrG?!eN??$uM7CSk_#`An(KJgtI8Gxgz_T-b}MZ$Xte;Hf})8R|zL$j{8Xi97Es;@Jqp*RS%VIj9L316JhYM0RsimKEmS|xlnXAyp3TQWE& z_z|%Gg-*08zSyw!wmv&+8}F4M6*Nne&7Ej9t#kr2#^#~=iAJd+LL+sIWkHNrYJ z&^EH4s1r-6YI-<_Z2{2sKrhW&;3p=D^DN802%oT!J?Lvd-2}3*#zl@GU*cC1(jKE2 zA>c|p0s6JzOQem6ccM{YrBU$U18TWYMN!!T+3Jb+61&+^;d3ee7BGIL$}a2mONDp+ zD*JH=U)?pKxA9Lvkj#k;Oz7mD1eNlWIp!z;E z=b863kY4iL)Ws-@UA;eQt$6O)tHeR+f&Yp96gO_ZZ1c8CbDoh4my@Nr-te0X9`Dez zDIyy2U2OuG_w_5K4@Nhhg(=AYS7GG5HeKHi%yaLCEx=n4izlOS#Ak2)zGnS7-yS}C zakwq^mt=clB-A0Mh$C-A1z}-yV{aKx=oPVdc$_Bm5FZ@4ApDa55OwR>pKi!7* zed_Cn{&MP(Yoht)9RefL)FuAe&wu$$Z74;Jze0bwvxgk(A(`_5X5lfST*<@tcAJWt zdfjWO(~L&Aeji{ye^Oisn7D~2d1U08q5#`sLL!fkx)F7iI+2Rine4W()WJ`S zeiPUU6M${ITEX{j%jYhWrKG*0rlY#UJt8=6k31!8MhW7M$FsK`_?SyU>S#O#go9qn zJ>FMN_2jN1v^4%}t+gz<-4qN{ga1i!@sq+B*GtTzazqrx$8+iNJlih+=@B(;vUH7n ze;UOnERRgPU=|Jr=-Hvw^2gT4sR zfA)_TSkZbqQkSC6f*nXkRj|uwaiIy!-C|VWCXIYtF3Zjx3zhFv?FgUgXV3-eFeU9Y z^?Cd&DbY)gwy@PTQ@nWY4*v8lpO}8!&?@Yqyk$(ht_v)K5@t$0cklQn>FTW$-=Sr0 zg^3m0eG5WP@OrX`f9j60G&S+F|KgO&x2U?@pGMrldWXHvk0xFfb1Tk>cY{u}!{Z#0 zp6hg!4%5Xfb?VSWy)axv59|r?M=KD~(J5ViI7euw&(@4P1#%~ULAuDUww$PRrGVh+ zH$`$iU?~+ zOXrM5d6NLb)lCxx)QK_GOQ_kzAlSVZX+Jb`J>UP4blO=Q*^wKc`te2BFHb~xvZ<5$ z-C=0|L@hf~t&Su$#-Wy>g@(d+zLUN2$(w@(n{Z*td%0CKxJ?+4h%?PighAvz8Yccn;q3DndEklj*csZX!H zrfcAa4U&TuSa}8Sg9tdu#x+1icrrPK5{xR!qCss2DsUJfrNtv~y_Nt34lSmEf`%mC zMK6PrZ4Qe7nJ5hC+pKVftTRp90YB_Asa1G2EVBA1mb-u5b zVdFBrCYDf1FX9rE83kqKMWK^mrll1MaS z@SHF*#L{|}mdDM{NXqZnWvGl)q!ntWJ(~FXRe2iX>NmD8wp-@-jnUT+oZeb|0m9F{ zJ3Q?3QfCLNoFBio&EY-VDlXi2(8B#7=K_~B(v!q&|^o8FaHXwJH|MUC(E7tQgr)&=*zC(iu~|DgrE!vDB?6#e%3<+%&uF0$x- zHM1V2(XMglcrg&->z;}DF0YeEl#%gx@!uM#9B{QBu>Z9pVcHCl%v}UIlG88cyg8yA zoBaH2Wf|4syB?2dU%WTVr}4Au?4~cKe~!3!P)hsgc(=p8aFTeInLCy4Ix_~oRqO)$ zi}Yul5eBa3@_?NaQeWOl7Jp!u+Mdrj^nH4~Kv-WK-39^lN#|tl<$dD8P2W3I-PNXs z?0L!Acx4Yi=bLm)QCA1V!V1wJ-E{Je8dQ9$2wmfQJ>Q)@R2kj&{>0X8b4^h?;i+jngeM#PhyiTO9VLgS%jmTvl`%DpCS z7v0}=zA$~@q|ObZ+`!fIk-&`YBK5R3GCqQ?m|P>1MeUO< z#qL74f5DyGe%8)Y7oF66*$(n5`3C4|J(s-Aj*@f2ZHbyO`zgv7t%8)zJ5$O()}QDr zBxgtXj?)XtoJtr*A5SF;A9c>rn_yn%k1)J@Cf-x>IdYVd9ZdSS5ws9c3;2S4>)pMF zjNFKJC`zBc+;cm!A3sS%dst7M__)gsB3{U&w&h6Ss=NVf?>nXJGtZIaGk`{?<7mz41U-dgJdkYSVU; z<1Y?N*9@qPO#f8dX>Q~GOt*0lLst^&)307K_1W{Qopx&a^XmEThsoe($0wxu}m>h^-Jb^PuS z+}hNqo8CoS89857RNbD6??M%6y4#)C9+_$SaIhQysMQ1Np-i^x5sz8b+0>IcELGHr8ob@>AkP<80wh$DpF2)V*)dGXbt+& ze{lbN@!l{0dE#c*X2;NsFHM)`Hw+z=gtl1aUakvDx}{LWcbsjNX5;ULK@Vpui57eZ zJ-*jZf=6I~vJPo$}B6vDhE}bZS*_(i;lG+8Kn|zC^@wh#~0(8_SU0_}Y84wQ$`=C8l zB~5d_Rv`%I+Uf}&M2X3PwAI!JA{fNH)S&!?FDJT$Q4cs}R@(noEg0h9@%e~$IGGOL z9pb~%mi+s{VY+1do^6NZO;~4Qmu%u0vx#!ypkkXGXp6OnTcvrrbbk%mE37jX0)cwynp8u)1V_Ar zUW)C>ui`s|Y1=iajs;qB{D`d!9S7*fvqBp>5HZfdlW646W2B^>I zV@dXy5U**-O)l(!j{|u>?uH39!);7O*J2O&O5=&mav+TVHNF}|>-W5J#G`rbh> z?*GWOny#8ko!)@Q>HhSqbCyTv8s~(CZdl&BkG>9bY3~QXKfijH>uTA=ePhoZ-kewe z1bVIw^iqWX+1Q&b{LDE^r}O`wilp(QM8xTJ{+YY`O%&RR*tDZ}ys*>%1t=>vd3;ZMNc-BEY1Fr52<&1 z?srz~bw>qL+5r=)``kO|HkeEA-gP|5EYzs>Ugtk%|N6fCkB@(LvwznliQ57#_RiQv z>IVaAt9B)(^gb?t&BIoD_qDbZKStO)ohiJ#wct@mx7-Ka`zds9ypCmRp3GGjp3D{6 z@YMNeSN?3y={#<4pm$qg(>OP40*$)M^_xnF1$Tkhji+ymY>Rz36XmHzvnRcI2YK7B zx0BANOn*bg-Q~!xm0WG@6$(E918Cb>CB;tU@bf*}!tI|n=c(n0dHyldKIHs@9__!# zZu)zFBp!9nkj}$mM{w9JEZo|~r`JYMx?=BRQMc)QC=RBNvyR%MRWPrkVy0xQ@~3C- z5~AY!ZPayJQhpa1zXx49|L_lxrEVH*GP^8}rc3PA!0d zcMEGrTpP)cZ>Hx-5In$ZCe@Iayx_RUq|REz;2oWdhR@UQ!zx#5I!%4@eur?kTbS-0 zz0spJ8>gcDrjJ8SAC#SF zZO@ywUH<&E+%3~2aT@j&2sbeY&UFnUTmlk#q zV8H&+xxl}=J)fJUyDo50Bce`pjr3={Q-2(P^kI~nNZ!L!=kDY`diqSCcU!Ns&bVuM z5N6IsWK}1;8k1^`2-o3%$}v$GJP>x)oY{72^Ao7$TLSS6H%I^N{4CwN|J9Fp;`e3B zJ8vp?92P@QMFjgvf1=Q{iiT2uLSl`b=(<>o0Ev+kWdS~oyU|%ZljNnj+g%W zHyr<_-`M(D$h&qXRO7xQ=eBb34*pxJiBCDhri;#|kMJRO-dK&;`6rmi-Yy3n4{p3z z*t<>4t7xG2kd+_dq9jejy7k=Ttc97i&{sF2LihVq)MD6YBG9wBLnt|~PItjb9IcqB z*?6IN<5bwx_CV8z#NRl+(OGAzDPg0;;4&sW(dK-XI-OVXL}0QYePCNx`Tz{W&pxld z`}s)l9*MGe?o-#h_wY5NbA{{9Dx^5_>07E@4KZ^wm+$vJbtKz4`OW+)GW43bD>zU_ zkGXcZDkT(k0G}f}TuKK?aUCJis{9Lqz2+yoKzDmTp2!u@IA{L95XUMbZ%7p!Sv~wV zRHx(X<4wI6ip2*&@!xHd}*Q~vDa=t@?@tC(dTJ}+E8ZoZ_f8iLmJ$898; zQicHnK%5oIJ^!xJ*Xf3`Ff|5vQ!WNnGSn$*;Kgafk8F}?aLLn)VTxv@q_UDA*7^_# zlv5X2GGQ1=uxvoJ4lWhO&B+%ou>34Jyqi>4lSpCAu*@9`K~OunK2T0B(m9yJ$Wh7| z5)Yx)(j}~VfofyPelDM!L2Ga}%w%xW@mbJQ!quiyzZ>n~Ek{_m%a|ft!T@}w^DWC_ z+B%|MVgdMwhRc8Pha%TM@JDVorq1C8mG44*@!1%txyu<2x-8J=!zi&<;f zbY^(?KN}>_fzG)(2tH<2mMT!`VhwF>b^(Q$_TxoL zGe8HRo+GC6OFGv`qziBcEoP9Ss+9c}LDDe>jN#e-7Z%=q>aG`_EBmGeFl-T549&bK zo`Qh_y#{Tj!M?2jMc4blwNd4J|C2POX{~K$7{Wj)%o!#y0SX3bP2H-QlL3Z+U?Nu9 zb+=85mA0-vAS!iz?wy%TV4(b2Fm}<^+F($sRhMqNTld*}x1?Cv>aJUsbzOgV|4^yR zy6(LXDXmy_eZFaX@9RFV*YlfKn}nHUk~!zhIp_O5-_QHYruJ)uiG>=W)T=KA(0`;3 zr;c3P_^VIw>Hlk^Q&Eo)K5DW-sw&W5m}C};pCM$*3|Te_iwb`eCIck*@GV!X`RdnM z6gV@eV2OpV|5UPV?R50|N!t!y+};rAywdbu^g?oIAUc{nI56s*i(P3vB=7M0zH~Nt zw_n)vnX_Tz(Mv+Z=+V#Re-`8~d<{-{Y3m{1KaUD?g>CYe01MrIXG%$G=3SXMP@dE*W@lS_cT#iOBh!i`(ygZUF5AP86!S z@Y*6@W{-mlXfK>;DKPMU^pn6BO}~r&$Hvz#NB@ov`QD;VoLPJsRw3a1(c_o)G`uW) z)zZ)3~JTZ{M zPXSo!lH*}`%h?9sG_2orKD|T@*iT$Z3|w$t-uQ;YdHLx#ob@vWSM7~UuN4g~zVM zl(fI#3qctjj4nD#r~mct7a~XDtfNO$l&V*OXXQ)U-|z+Y@4GZw=qP(XRhrW$E;)ax zz6TYE-*b&7pL+w~V8d@XYcIr(?YeXo`2~ovz{0-zzzY`u`PFbixR|{I)h}fKVb9-Q z+;Q_GYyU8Dy+KVDKU=%4ezcf@IIIsuur2liaB9xc+5~+pE*_Q}qWXCB3UweGj2hh@ z{ZMR4S)UvG6YFtVG>VtD*JiBP~RSU9+&AAK&Zk8hVMiJQ8@e|lhik$JA46V*4a{=YWn2Ro|9ql-FNDznZdpp#YMsRpOen0aCV35%{01k-Z;)vT)cc;|R@ z-UkM!qjJAYKCwMXrBY2VI_se&zyjXBY#(zT!(nvq%!}}m)CZ@B!5sT0AgSyNJ|B|| zz<(<2*dGF!%m(KnoEaU!bQ|?AK#**BT8|AlO2*Y`ro37&;ua$g_$*|<309%6h0rpdpJ^;3I84#x+ul*%QpM_#`-t;Q!Sn zU<~_AvMSvLJ9Hh+pLTT|GRYnq1|ianZCCdaCN}k>;7x={WmDnf&{gtZ|1tjS&%Slt z0D$jQgyqaiI6ZPpkPRKwI|h8K%IK?M8Nvc|6dg#dVx_7~Z}b!^tMO z5=3#Ju7O+*GGSq@&<7K5u>`F1C$cN!Ea;9(C~i`LFD4n?3Rb99&Jbnjl+7NHRYf@k zmL>=*^wTjV^>q-LZqYe-2?ziWp%YjIsSzZC0BO^5Z4wH@#HpP+k%l04P19juUi1#! z|I@Y4H4)dgbC7yyC)onaoC%8NCq3sF>*kg!+0soBxH&&=5e*oIDV-gZ85`(~o8@Pb zDl!(@1C_p-YxZ!>3Nc6O(*o75=cECZQ_Ak_;8)XVdh?{iX~>B@I-Z6oEVWl!x`jD% z%vL2Y*VU1yLgMl>GH=EKsv_7KhWWMx)7sI7Scqe30QmY9T=* zu1(PlmqqXOm~)V&Sm)UmRnrSN;RaYJ2(1&;F!ccrv1TW;hP$=bO2&c6QEG5(hsLOB zH}hry`)}$Uq4Nwc2nEL91u${J&BnL{c}OUmZaFpRU_=Pli`DQKw|{rnua{o^_4Oh$ zd;aqUjG+I|?b>+x-w%QQ=h}9)3H|pY|L@mk_S$~(za37^q6p&3(TA(wUHirS^#f^! z8)s84ongu;eIOjyPBvS!C#P{`u-{PaQ$@sbp)&HBq)O_YGQ<>V2?xN=*}Kc{YIbl$ z0y5y8p}ZU)XV264qeg~W9T+KcYvCaqGezH-RVen29UUIwG-4Nnd>1Md^P8Ic zb?V`IAvQ1mLTKs{v~sRW92Ym0FwMYS(xsMoA(l&oi46TDWN68tg2h8VZ#XK3{lQ+c zT*&UB%*}eKRK^9Dq6%g(`i(@ayZ?CK%UywY?<(j)v{GB z!h|59*2|je;FnMp6^hAfw$MUp>C(Ub{j-6c=L@fwrawT_Ve!RRe3lKuFOjW6S!C=k ztCPHdAQ7#6&qfq4^7A7#LK?pd^0;Ao9OpE{ve|t47~0=7B$sg#LEK1seoHqN^5yF) zzvlu4&^cjyXL*wnjEJjdE9BFSj5Qmz%kh5HWAK4fOHg3qF08K9IhTEYqb(}x1~by5 z^RAl)SwPK?F&TouB)pxAjlza8uOlQ6k}V3R`FBfi?AY! znx%BinAlllOy~nlWP#z=Gpd8uSCdcmx4al)%z7Qkt>i@{8iTk&5QXnPcrmndsrA(L z(z7ZS0R)r06-mfrHKL@)45Gmitw(yXAAeh~q{9}m23^e)&5deYz0Nj!v}KZ}X*bY9 zk7Tx)Ugrl5vSgAsR(0?K9rN5Ec!DgqTD25FYEXvc@6pt>gv`xQu1c+)UJ1Z(o4D5C zWLYfdIhmF~-UJFAsCd39yP%1I!GL1RZG@XrhPdw$`OA%oO4-WTK)-Zz-#5vIddhz$NnqxA}2Ep53gp};g-)}AOKmX}znj6qMZ>Hx87 z-P&C($$$JgdGs)x0k#yTM+Evz%=RN1APtaQnZ%-@T6NVkf-|Lx46hZdThTKGgK1Gy zRplxPNjk9#uheOiG4Xq>n_PlRS;m`DkC0k%h8!BQDzbpMF%f~i4x5NGl(@Cl;NR3^lkpWR?6paLp79@^jPE^vc^1E ziYlb=2n-fkqzJhr6}gU}HJ{a^>6)43Y%Zual~>Ik!Yq&;ZH2^YAonFqq*}z2Yj1lm z`TWj*oIM5C3!6#UuFdh`+J<(+tiD4!9v)lK9`K97o4la8S0R*5>*SVAN+ z`40Oupidy#XqUz^e;5Ao&`nQ#a;@+Pi@MX&qj8!cLfg?fotOw$5~~<9#_Vc+wXs}+ zV0&xWZ(b|gVcDp zW?7WukPTW{hWL0e19FGTfTS>3lg;cQAYYvmTRm2pomAo;f{<6igvMIK%%~H2qfcLX zQ2F{n*qhpJsOn4aCUKfR`Sx1)p#ufW0|l)E{;cxu_~EKhLz^S+V25e*sfa(xSxu9 zvw%1?Z#TCzW^nFmOce^b8t>D`?i%&>A17y|iEkRc`k5SBNYu&xl8D@r*|Q;1*Vxn* zsU{nmBQ7;pu3{E-C$r$~Cu@pag;K&enicPsTD4iG?Sm~n@-^Lc+1B?YZxD#_ zGWQ^TVlZchjNK_@=yEu{rBBpoL+_zB`c9%_OxqtWI+dUPr)eH&tjF9@UTk#AQCh%- z1>M4t10!l(Rg@~M>fhKyA7cnrb)8b9l@c1x#pn6Ejt?_<)ftPPE64?;5L(A)=rK8i zpZccQq{Jt31tDKBSMn>fH)=(~w2;^aR9)(5fsO+81_hw4T=iZq8~Wsg{FXG_KWIyo z0#`>3d}lK+<2ocwI+(FOeos}*n2?ZiB&fsca;{!7GR|!*L4JWL)+^y2A8+)RkYRa9 z@Isa#K{Tc{qk^f_RCiA}D83VXHO|SB09m=5E$c+5xb$uTGdCH{BXa|6?11C&ks2x( zq2gpeuWNL#v4R|MgW6yd-!$BvIl=llz48bQrt}T!iz@B!GK$c6bfiHOW|=P?Wn2|^ zdw!0pLDskS=xO|7*k~7o5fbhk_3NUaANl***SB74)`V{8lboUwrUJBDrGh`w?bpO|L|Q0jGxq?p&z_$c5DcSP zX)I6j&R0GimW20kpM~=dEO}RZZQ?o{XVxtA7i>1GbrN?4~YS)H;EKtC7Lq` zfz}CnNbDm$LiiD6_M~WvDKU;Vk&okSIb{R!v*dB=eira31d(9R$3&pX z40G~9)CfCtc!nO6eOzOe26@D%Ix8riiI&q! zwAgRBZF63=Sk^6VRr%s<|2KEOdcCnMFCnM^Rs?lU_ZxVRvFtb&RW!vj07e5do`zIf zCR>Hgq_L7g01nZpG;|Sg(kFSwpq2@uxe90yx+o$(X0yZWOd}@Ud=BJ-Hv+QCik9ao zS^$x}R-#!>P!qObovs1Rav1}2{S3`A2n1T)maqe#wNr~}RF!nMKr1?e=}-#{i3H)s z6j?w~GNDdpVR6jCFeaTP$U&ASRCBMR0;23`_C^8SuMV9uVxE;H9D&SsTApBoVi6<7 zfz?u=6Iwnn+5jHP#UEn4wU^#Lwc!2pwf3=`KgWw{{r$zep`T`#%cW+uv>;+qDo#uC6SLb23m*> z{bs%1G@+c6DB6IKJ;zYO7V?O|B;LRjjyXB%_mW&!L^P#ig7&KIQ*Ka9`UG*zE=+lr zSF923perNhRmczMnbTrbH*4b(4@87IWIj_Uhwy>%JHahquYHfxMidjwt|HDBM3vr} zB@w`^jtPiFcAY?Z@_=n@N_Kfn2hFv)e4eShb99K6Hoi4Fwn^<8oi;62MMLZ!) zu;nx-d&cAdz`0yDYZVuFiqmowqEJyA9nBs}mq(wI*0ki4M4G{bv<%Wciuf=$I6UH& zXTTE3hOg*9Onr>$x0Hc8*Q#6t#h2j|* z!&+yBN{O>HxN*2aY!}1~%(PP|;3)y)X}Nl%5OEXyoH(k)29U1*NE-1FN!0;f`61vN zRdRwn*jp|OfXxR)R;Xe3Kyv%9FH7vTQX){fY!}OA8$V^sS4UXUq!1?X=BpEuYAdfp z<6#TCn$%2+RFl3;Fx?~=#E2~_QxUCv=x|iMg9DgNg)N2^i8#&>t0*sF6=WNb7Z4k& zqY^v8ABBTl%T7WKd931LH;88dP1Q635L7G0DQ57gX?QA=hNjFCyq>SEp6W<9W0Dqt zG^%PgOc6XZ+VvHZa-*&(z*}l6Kr~?m)Hzd!k?JL4w4%u^pa&%-#p+3mEvH4RMrsU$ zmD5qyOQ-Yy+InT-e|$FZ!|PWJrlrXU(_yP*lj4*IxDnzC=viSk#H6gI3&wP~ma7ja2q-cZ>1_xqt_YiNK6h#V5 z);5FChMmuvaV7NI%gR^Dsl}I85lly`2VQE{o$vwLX|k!|)I>UAGi&-yMEn<&^{~~p zl27$(up2{PWCgF3jZ|YElGw<?&g>D0-oRc`Boa=55D{Rz z5(LsyHJHYmC69@^X_WwAA4$+Tppx*Q0y6+3Vi83ECc*ViHlX=`;GJL1elK-31PsBJ z^3D?Q{sdT)tN@q9FMHfRMs+DX0rriD2r^U3ao(?pfak%hC6yS|0s2GSC}QA?n{5*t zu>5B!s1RmOu2Rp}n6;c1!k8d);Ac668&hnK5j&$YCP`UEzuQZp5nk!lM4hs#_eq4s zv$rgmfGVI&WdKLA%2-#&3h0$c0IO<5u7e`W_A8c7SQ<0)qZ|_BS(F8-;I&O-a8uM~ z9;F4@H>AiFm3&}Bxd&4AHd*t5ltjcNMXUg@b_|-b`BaqsmwP|ka@SXXIDGY@ff)?n zt)yWq<1u++HUMN@U4rGLrVQ!$n9la*V@xo5auqSYr zIw%$x7LVzaI-7pw5n4UJ|_Xs(})2xYCZQLv= zVCX%+nthMov-VZ(+JzHCHu!!~A=F`(5aG5I2%?#W*(}&Furk4PLw5&RoUlhQ8yGGN zFrwKSG!%%457-)ckOqU%Oi2i4wS-CFYypx}mTT53!6;|p_QG(40~bDR&PHh(xC5Xc zixB1Iz?jpqeyW?dur8JpsMW{OP; z){sRQikg64(P~TRHWJSYK?w#*)nZE{%>$nYuN+~Qe&D%&fkY?6WttR1kcssKpwDd+ zN?M8DJLoYfR_Ntv4p2Xwtd4l$%0n=7vhaWjwRoZiYX%nqWH{=~A}nEpUZJv-CCeAn zZ4@TRHQ=f$f}N#B)4L%G~|4d zU??m0Q6o{fW@58Pg=ZD^0gw>XA)yj6Y8$5!Ov2a-Ps$gT0ki^w`=aun-u(6Fx7|ft z-Att-3zI$7lLdW|9MF9vA(@rXl*ddfjRq_zx!Dpx!4V^*#6ovr=4ec^aEC27fO5|m ztOR~{m@)9UC^bC`+EFoJ@ahoaNts8_35C9aD zrR$muY)z=bRU(z<#H&VB-4A1f*3f?RO2+@b6gze8D&f&;+{I19O&B3G8be8|7OW5) zY4H#eG@A$$U@eUlORQEQD})8QQ{7{+t)O-ij6Fu7deUaKtzz;C2OOXQK@Nio?*%fO z$I?Tto;0gASDget0dX~O!4w2HfJ9BiIfaPR(41MorI}cS;a7;TbQi?zl+5BZRpeoc zu8MRF8cU1?udf1hxEUGx9t*f;VNNkcDJlLEz{GlF;`7_zX#QgD#n0d@*S47u0rhOA zc-G9r4;P3js4l1^8i6HV1@CdGV1NrO9_P%gB?}QzjYKuzFI&U2P)RVfvs$X*Df6Nk zF$RosR+|Ir3g!u-A1P)}xs8Nz!-jxHsng~xt%T5;SXkF1aF|F-2&ud+mTaCm(~E)C zn1H276Wne9as`|Y!?whYkizYdgscNyL?!v~FTQ8zvCE1b?V!yI>;QM$w zUu-kx3qH8JgK^Xn`aG`S@Ivm^0 z%=DD!{fIwU?~)i?yt{C?paz{Tu1ciCGFsORZESueP`qlDKpmCi05!WK8^O`qYzO|2eycQ-XtdD*D z_{VG4C9l;5F`F;^mORd%Q1xjXJ0Y!cRFRGNU&LCfOVEyzv)w_al+K{t-HiXRmF+!V zRDR&8bR$;8@p>8sK#`A{Tj_I*cL7C8XRZ;lL*Aydm9lkW?t9a*@NwM^Uj9X`nN5X^g`l-6-9lzHo1}Yp_suWE6Pe}id7{^CLN#;}`|!_+ozf-~eZz6Xc249xSdI<>h~b%BaXWdNEQNoyd;)>(B-5uPxlO zrBuH+lj%45v+KwOnxcF7!H14yp0G{O`Wt@c32B;tn*Hp(9sjFk?L$AgUO|i9>s3df zxlA5>b~M1v_5IZ6=St=G;y!k{P!`EN`z)~50}&naE7a_1mX@dT!oVbcylJ1dUEce ztKh+oo4G^X6zr})vW~OEQ!JZneldEM;ou=16`>DdP5g%-5~o}nVh6IROtirf)=?XE zPfybdw& zI>>C=j!&rW`<$t#@krwP(i;JIKKrz924#FW+_(Xg4KcaBXa>2jKDUwXhv9Fbjif)J zuRfj4#NS8cgRyq!B0PkT{^ow4&2I-a4&$fm;iYZPQBla) zLib8#s)|EfrJt#xPRAFW->J9#f1h8kka(7nh9Pfnr$kinbiL3hvQ9~Za8QaE=Ci#m z0ThPlMBWB@TykQ=NaulVyGDPp*UFlE`7s5!6lvIU737rgkoJ`@_~Cq_nbU8ju*G0i zWPXY?I1aKJA47;R!w9|F7*%dWh7F8BI}Bq|NE02*Djr)n;3^L7T*5a)2&<}yFy;nz zoph?>dV)SRm|<8?8IXB`2Zj?p%`_@J&rG%e^jI(uSq~ysmS92~At&f+9Tq43nHcYk;_1;)sI9VI^UMu!9?#JRp4a5MZ9`X3x{0!Uelbv3L+4n%Kr82r|-W@F&HKoEj}D9aR?*sK*u07B{wvPUF9Xv~@KnqHqO5WOrNd-r6+r9niYZ8hnYvsRUDcEp zft5g;MX^ebA@u~PlA*VTtYeX%UF`VY!aIMycDa^NNeWVM_O`A{p;h~_k!09GmZEpt z{u*4}IYM`^5FI+ ziZeRUV?Hcw>&A8gVlzLY0V-f*8-v_7qm@P|oRw@P=2+S+ZMu>nR?w+O&w zFZ)LO=lgbEuDt1bS?Cn!FZP=qy|dKFjq z!JZ&!)6PYhspju={xBNcNDx4S+UJUT( zR|IpW+S14DD1Q-Yy+M1lMo2d9Owt@Km3CL7IW#YZvcr=lC_(3H1>@P-o z(J@_1R4?CO72`Ym47IJXsbK_daxmD{`Zicy; zqG_JLV;!{4GqJD++Gd6 zm*Y%iP0reJyx&VA3{w_*688>MPQC@}8 zFgGE*MCoHGb!1#I_ZTzWSRuAel*NR0Ckk#r-7*qY>j0+yikn$=Dic!~jJN_Cv_Z#*^0MVJ~WJW=q>ISg_QMP~q|o<4*rPh6SfC1EW^e-f|)2tuAiJmtnc zlHsNyRZSS}g@BsZaRwEO@HdD>!)}{uvOB)o{HIrsuT9>3z2KHG1TMG7&j%jJ7m`mv zEPJx)gG|F$;~&5k7tmPg!Ea<&?}Pa5U1R?d7Ov=yZ66Qsxf6wZ=A0Lt(n4sRQO$t_ z;IY>}A(Pw>UVg3e`%e$l_(sqrt%> zcGOXg15<%VAklC2^Sx^DAYOEqK3%LivBiV2S_^j1_wzh zemW}N4hlX;XbwHPZMd*{-80pZ*B5W6p6yPO4Wo`F>Yn~btM<#u#)ks;Zhc+fRX!el zBCsG21fuh#_e$UeZ){JsZ?pS+_629_-mi?pf@0DecvC+S?K$sCVmQ3tcmJ#I5;Xhf zwt>K88`bnMWC)Hf905jE{_$4l;^w@4zDGxAn2~|D=l08ssFd0^bb)_UUp(@ySl8w} zmE4u77vemKd#Jm+V`>h~I)715{YHO6pZ*|pC{~0Pe^MC8E#4vGIalnxz|a#j^S&p} zJyV;r{z&-5NG`ggHoFad7q{ZKHe@|SWxC3us4d*RVBGd*IC6gDbk_Xn#n=n~c<{#7 z>+`HNoqQGMl26<&RW|q1<%kf*U&bd91z? zuhrO-<-2TiuF10WVf9kh>wY5>q~9f3b>+NT&^pCY_N*y7#|3c=RGQSpf|wmW;G1|P zN8FX`>gGjv9ht0Sk0JS%SJcP+@4knbC0Waj+y*zQU;GbL#V7CEt+#Wi;K9ex5(!$$ zNoH4sIkN>Fi>*cHPlrR}Lz{{it0DL2XD}ZNi5#?FOW2vFV)}kf*lU}Mp%jqk8+W1} zVJ+%Sj{S-eOCen4Ld5uOY_&I->B_-t5S{0xW_zhwV+}J?pFIP8)olLx^2t1o7C&~) z8t$@flfptT)6aaMd`X7My|&3MIKCbR*5CeET`;y73&yQca^3;|zW(i>ivH)?z0T`5 z;267`D|@*lFwk|>>-U^z;vn%7f$ab_QC?8~Y=77}CTc5N#x+4$qxEQ?3xFTcoM-bi z&^q*qVW4R&iqV20>+aHB z%6aB@+Jv2w)@zVc%96{F+;Xklvc5^kV-iQa;g1Y5WNX>+ z*aN@+!`gl0|5eV!QlJ+k`W9G&1RG@@b9jx)VhF|5gqX^nR4t`?u@>b^^r)uWq8>k+ zVa^^Zrw@;@7TXMOu?Ymcs?~r{uZM;mj4r^L0sBg5)^tcsU{TDTR^TS)fa=`F2qxBT z+bF2tLm$GzF%sRLR=f;!>mII!qI0mGfOZPOuap4)Su4zx#Wi@CXCMldAl6*_3mt~+ zTxJisN?gw1IryFg_`J;uFTvV`;1fkH4nI>c-?dt{8WIb345V3FhQSOWzWCW2FDKV- z{Mq#@p9Bk=(C!yJn*S)Q9mP5wD=;MBakPU*4)tP<7X%kuWDx*Olwgn&^c>e|w2YSt zj!(cO(JVts9jpq8Ufn3S>xopzKHa86Vu$T~GheC7=dm7wgt<<}O7%iIR*O;z1g6PC zB#)>bt@$tzoEmK%cuKCrtK*}JbpvhNkJV<*9_gOsIoqNjLtMs6t_1}6x~zh3(_GwC zhs0$OSl}ScuVY48C4<~jr_a;=F$$Fb6ov#Zn%@vbwWq0qgRV=$dM9cG>yVfe&eN*N z8;Eflwt?}xjAm4VKf)fvD-Eut{_n3|Q9gb0db#Z0E$+^D-(TWLJ|^~|H#lR=sj}qsYE|Q-$kW4%Ealw?)!`fPIjTXV{OrQUzKYr zgz0GeUn)u^ka%CXHM00|-Mer@g0c4A%PnuAR{$q5uzr`euz1sxp_AngJt@qgyZiC_ zP#J#CKj1ZnUe+tAJv#)gBNW@(wLq83c5bque9k?UTVoV54;cNxmGLbG^2_%?)h z-ssBLl0zGE(nAvy1+7N&U60V8IsdBp2TCoZum5RM%dlm zXjg{@)>rZiiCgI>L-9>-AHnN2pi*`^gdwP&70+d8`?0&Q^Y-DlJB!yBpm{EytCf3ybTHmeAIVW?yhnzL^N6*<8`feZIx2~v@o6<7X zUhX)ZC>9$iU##ooS@(jm?vRuGLgNbgFj`UvE<`%ywL@F}tCGas+%33Qd_2B9cTwnK z=2&4_yxqkucx{Wjo<{?fo8M>r{WnLLY4?w`n9mKcACVE%dk_`BkisS$C+I`39Ab zTv~q9wwJ&D;l;Q9$F(|I;j4EzxbbMavjYdJsBN9jMnCXvdQ#Xezz9*=I;J}CeZ8n= zn%OVU361NW{egq>(Cc^y?U-gIT%`A{{I(z_y!9)4c&*gjd)a?E>=Xx1vLMRNA z_R1$PdQ{ktX|UPKFg1_QM;G}G^qr{70XUeu1Lyd$!f1i>BudzwOF+~CL27$!nrQ(= z={@Pxtn*P}QGcEKftE2ePA}md0t}lA_+C1qos2&!IL1Ly+!ZgS!p;skG?2mwmExzx z>?iP>daLtzU|fHtkT0Wj&`0{5?P#c!vir6bg6zL?7)VChb;wnm)qtFfajuJs=HY02 zObo5ls)4YR4&bFZ$D4YJ`x<%%1wpghj<&soro8f^qZnkaooGpxiz^G9OMwGY0i1kK zRqFQxl8hRUC8J%aSgS`4Zgb688$Lm&1e(A>LV(Ww0h39`i=Ktbxf_(5$(tL7Ti0y8 zK8?4)u-@$8{R0lc1mjmHAeC>$wsFM4I|1N)BUrt2!Vu?!^o|7>yaqQqy0AvCPg%V| z4|sY2IgE&4AsGImUoS+GZGuhn#gNdivk=UkGJ1#d_0cF<;2V$Z#}eem;u|?CgQA^& zm1F%SG}i*o8L)fB(2&iPO7m$O@#1!bfa)I_$_yCbc=x|SBR;9KlA|Lq#uotFK?o_+ z4A`brGRlR~#zMVlI7G7m(S-2eu-Ou_7|`JSMTNBT_7gg#IC?EMIfV7eds;VW!wtNNY`50#VrP&4-Qm2 z;fMEwW$_#LQ`|d35&hWBP=q(bIMeUQ)18+GBTOqZ!+cD(V)_D2FL>JKz8l-o z!%spV<_%1`bN$LVkd=zEAMDYVDuw>ue?qx9XBRqlaFMCq{|3?rAOCiK*Twf86U`eZ z?kn)e>8+@B=(jnoLpkm;2(Q}@9oeSRoNBG$QT>5{otzVbx#{2YLoTpn6&R6KH)H6< zy8cp50WhzP+4VemCwjNl|MxAM^o29-%*oQJ$4?gxhVrW2Yq~Z7%x@sy5R-@Q5VZZf zV90@PtiyX`D+;AX9q%-A-sSJ+E;8(_L5z>SoQDScMN4dIyITBW7!YPN@(Z)pQ7)=|Rve;MfJ+YGY-mF=_7b zc>F=GtU^mo4veMB5`;bmTNWMi*LHwwJoC}>?mO0p?n^JfFEdf#57}GenOTmUR_%8= z?!qCoflO6bNlrz9gdS)*gLc`;zXWovA^m-= zmAR?u!NLc2{Ymaf^_kK-AQX1GLwRR}%WgFSCRVDx4&5dcc7Ege)Kp=rUgJx(Qt#6Y zPEcKjgA#yN7c9!2%TH6$+|ALhTkG^?>#2J4XVo<&Bf1dv7gTw^!{kRv*W2FyT~sG!>;+kM43pZ zD(nfUDXKrF-f@!ah`f2eJkMx?iQK@SPM`gClH7T{wnELDLd|)FC7@ylKlC|a8ldq| zSv6E_0P1TORVKpiwaBeUdQOP>9rRnj;9_qV7vT2sx;8;u3O!#JVVHxx!38?l;Ky{k zLxP?zfA<5}K6&OAm+lZA(ZiFr@`egzkiI%389Y=RFVLdGy&7N(-j3D zzx(!IZinm+SK1=Nyn7a$-oK;$Z>*nKyMEUSs354M=UL~zTXe&n0Pu6$gM5Ea-XpJspXPqMTS&iSfj_sjB=LIdsPWBhI-+;l+u)Jl*kB z%dX#y?Q*^QBi9mXT)U$hvJG%UeB$1+@1UdWVh@M3vL5-|2VeL6`tr6vU#}u8o#FBv zEjoQ8>fNd`gGCH+5rd)Ln!g%|^2{8kf}z~a@vX5Hh_BU#=@OoSDCFlw@C%PSWwZ>n zoLY@nlgx}{Vb*2W$yTaRe^8%-G=xm;x;iw?M8&NYO{ru6Ewofm*%D1&btpnTjXPB; z#_OQ;`1PYGZbu2DgtAa-t&|VIecKaNQ^MLKHna33RFvj+Df(Qq?txgry14)3YMi>OAJ&Yq}+#b9-u=U2MY zMvbQ9f@Hf;Gzg*Z29U3+8M-q*CG`jFU{M|i^`uIJN$EbQcLZV%bm$UrfGq_=Eed6=muXqTkG^9OON+zpPSZP6>f_u}!7XkdXt z=!P@2!{NIS{}Po|#Y5k=|C|Ca&j5~I2{eqxk4=5iw8LotMn{oWnqP7*Y$&6fFTGZ#PcGH&7!^LR z1?mo@bvW^I-~}oUGWCPXfqlLU!eYhi zffoYP|4Rob(24j$Ps0WoCgxNj^}^Y}^fPmj#S?D?o&zM%^zbF;Lnq3hRe6=SJKlkB%Km&>i3)%I~_t5D6 zv-|g4a3t+N_f126P$_uN!Q+>lcTV(GHH-?$?DtUBMP|pI`o(bB%TKO3anrS?v<9ym zu4U>SDf*A_fw%{-JnOhz9}Sia1X2yx;}-zSqbC8&^DSquUh`qiUi=VF4}6Ly%joE2 z;dWovhs=09N%!%YdY{7{*;ERl9ABZj#A0pA2z-b6W$c}2f!Q-H?nzlm5mXvT$A5K# zZOKm-1`a%PXRMzdK=Kvpci@~J@Fgm4r+%xw8J){-kI%q5!Cysy?)bnuDOuEE^zv z*xn(fz&t<-r49jsryj^YK-6h2Jkf_eg_m*eIuaSA+(-=N8hX4c1G&tf=b}%{a2$g3>HaPpQ zv3Y>j3k92ZwP3gRz?7lD6mBfA!Ghd65-E;NX!on-7 zgjo>NK>sDca1KX99tb2-;6T@31iuq_-rQHAvCk)k7WlNP{ptC?9rUm5S`R048zRIQLz)sa#2NW+SPt0U zBF^F_qJe%u5Vjx#4~R1x4OlB(v=GtUmIQ7`1XJUKpiSH=@x3~=non2r)My4Gykpu7 z$D0R0z!8X0)7~Arce191Wt?KhMMtVf`$@i`H%(TxC~ie$+mto(RxIR0Tv!L+6dX<9 zmGo>J1RKOOCUWU}nEpAypaHl#DNaziV&bSgG>WkaQ*&H$jG0&NWiB6cI38DOPu z+RQi0(`*bws*9d&f4FP$wKeRIuB4F33*(zTUXEge+L0xN>m==a;I`- zGgnxyY>;)AUlekA+~uLt)lt)xt5^0WE;rP=oL~R<>D=otW4A0$u07Ip_57N^5S;*2 zL{jMIua5*-QPbn>SFd}O?H+S&ueP91oRd}oopPO53Z_5e`vjPG6V9}aX(?5O-M365 zULyHgsFpECdG4HX5@nRcK!Zz-)9d-#1aS$1mtjUW80!qJ3r&fX>v@$j|u?i^U)y;ni@M* zyqkFS$!@v)AzjBV>#Ru=ydc8npQy6JVAesWqYYHLle}zXPie2PO_2zaA!#^kEg5Y8;l*aIYEn;XkFyG`D^62?&nq$;SHl2 z3Qv{BG=f|MmN#1ig6k!kMCd;26C6a8>x|aX!WW9`(SYnvw5+|37dn7i!Z&cqS~1oC zxLUZL8GAIqSMbcsN!rJ&tJngp78R@fYQ?Q{jG?71+A8Y^6Im@@iyw8@Dg{^+os8Ro zsvG)qjZ&c%|A!nrpvRD*nzUfVRxVCQrXbP-<+<#^wb6A;J8u2V{_NER(?n`Pq*l26 zTP4sxP1b78JG|%VJntFCV9tsp{b*T$cndK{d8)yyDtGNjj_qfgL|9?Gsftho#UUQi z91d|VZk|u7b{#DnGhQ7Eig_!##*jCR2xY7o)g{U=(@mtXO`<+f(%Y(e6v;zslohbR zsz(_kBAc%hTbtXlo-a)ocTHRJywF4yG4wnxN_1m!wVwKV(3@g-dbiAu4>-H-#3F*Z zGz7m0d3rMvMOmXwASq@y`#Y8uFV z%zUK8^sH-}YUzkvk}Ka@{#>*C^}TOhNg30ql`gdSeFkVV0W*M=Nmh82+N&{-vg#>$ z#tW2$Vm4C?0i7#mWo@;XQoLzs9tw4aw#Lj6V}+}5%whshtDN^NH52i?JX-=!#E5|^ zUhRAdyYi1+3TAlzAvOI46zjVww3RDg1 zy!hn^M2OvHpnQ3pDW5qb&1~fxB47bJC>Jp&YDdbpc0DI`pl}Jpj~dE)kEkk#^_3;n zj^M4#^7G>ZsK-dHHwMs!{&hxBjL*;#ADi!!8}Ja{!UngF5TkIX{^UK{PlN)AL7k2< z=80#!cBQp7-?Q}d_t>iQO7z87M=}vvePtV6qYK5V`eP-%L8(Tg&jn&ZgQ43H`(dh2 znNv>ivMY*APx2_k8|-AqVdFj7IojsvbV_WWHc@Ig+(&LATcmbpEyC`%MWxf)^pLzj z8V{C)TXcG#{!x?^FNyJJEuS|e@@k1w^?;#Bj}<_CE?c~LRqbMm-+O~TvX0%r47+wM z>E#tEZL7F_yj(~r7WKRT^cUy1zWn^0f`8+R*#16h7e2`j!8 z83imK#4wv{k)Wj_*NWP$OTK45J9X_kD7m?#iq9kJPDvDqWPj6@4wc9NJIoRxMww?aU1PIzt#6 zof*Vl9k}ak6vfLrEQbo=MD{4Lm118SBXzIm;^*dV2|dM?(1nv)uQb z(nn9nq^tpzYEWIWI+z>l8Dl)I`+Q&E7J4BwQ72RtpF1=nO37B6zU7`ur+yLDDdlCJ zrookDy)QcX&(_ZBum^0`qCAXox*;L-ttb3p_iz)dEg}ilQQf9jCEsXe_B(&auk*Z( zJ^L8X?Lv*-+|?&{O^;OZlf`(`5c6{*A$@sbVs!4rXU3~2z0iRnAU zb$+9bKd;fChHm0nnqvy>`nb{Db4u&+c^qvLKU*prmSgG8CVr9U#j}H| zeaTQElR^C4BTdzf8?cHbA2gm~9)FS%(MpjerHmoi$Ei!W4Fw12j+qLz+zLADg|1C8 z{j;*j3jK*pol_s|B$G56;_r+~P1DY*JtPzf${eii8a{xzBE?)Rxa>{SHR>kWCI$3< z_0>|KQkEMjmrZ<5-PBc3)i6NVu*B_RDy(m4d_ku0dPbXMUX;4*N&pt#WgsY%X{^$D zp2uUFuk6CUb$_+|^{chFVXl-y8KSudQ48TrO z0^yxl;CUw^k9ixpj5}($>aU;0^PNRiCRbg6q{F3lgP*#YHma0fdsn1kkWYUirU9Sg zWyIAIwVI~;T=@)b6zar_yI^QG;(R8W4FPpS4X6W8h0s8wO=S{q-3 zIk(13#i8N=hx5D*UQ;A!2s<;?8E*ma2389_g9XkF1UcHMfj{5wyZuW?p;ZWV33ulW z(Uy)T!{jXh43aqrMrE2(i-3Ot>B;14CNHJ0a?fZp*g|O_Qg6X|I>^tIyK_VRLQr_A z2?VfmGslYKcLPC4ZD#TbWp0vpwZs zYO<$ahH)RNp|7iMsXaLf>>R2My?X2Pb13szQc2gTHp#C!?^zWN=)5$50!_h;4?iuH zi`CSP`^7p~;QIQ}4YF4i&r3zL$6@foi5Zd4J55VLH{K(<60E+{BIIKg_lVbAe^`cf zSeDTJ%zUSRL&IwgRZ6P7Skmp}n$UJzb+?*zdAq=9XrgP&J(K#df~5Dt6fGa*Eq-0^ z5VQoD!_zTiyY@j_%mpwryJVD^+u15P%aU=<@BOIbA!(ehV5>c=oV=|u;>=4(C*0MF zYHHT5DJw6ZHn>7Zx#seg87r#kQwT4~2~_Jo2t!&2y{KV6RT0{#OnY#t)@&?*n72Uo zIN8mPv2pLXQ2&0(m+Z?I7k_&t{mdJs=~o4c4`G9&^3x#orB;b-QZ+ywZQ>w;O}s{r zj1S^jh1I;l^-U04Vk*Wf5#yZ{=`F%~CQ>ATJ)yP^>YmpVIhkSEsTq||w+fo~IhMoh zjsa#wij0n1^5iigqVhnK>Kqh^xs;8h=>yXmus>8_knv@b5Nt+8vRhPmHc>)TyndvZ z=asYLwkfQclo%3LNTw#d29XM26Vytk-D(P0j9ynAEY9a*udzFkc^2XrMVv}0oI2_a zR7YiHyeXU;(kn`Vu>i~!T9P*^o!hWh*3fk~MU6cwD08YX9p8P^1JC|-_*+-fq-a+t zrc53n;`XY9%1j=TE}_WCc4b?Gm+h5aZeh|!S%3h z-K>YF!E;~D_I9PCNXU4pu@;tJ5}VT9fvkL3m6O$iP18b_^wYtZKfS%#Ue+NU)|C#< zb*y$y=%T%bKB=+V`pqv3l;7J-o$t`kDW2UV�L&YH01i&qA;AMJgt#k*)4kO;haY zgJo5zK$p=ZHvWLj?&2R~?3oWlO{DX23``vq7S3oIn+ntatIG%BTAEXuOD~FP4%tr* z2x@0>TIh%%z9Uq{%8zl~TRSbC#d6*txEN1$G(4A5NtPXOc6#SDo7-V+;WLsm9n)w+f&`x#hy42rwcX~jVPQfU*jGr1Ci4uJR2_xTF;*p;!|T{GtDG-koay+1tr?A{ zy}>eGtaX)W4BI&*Ssg637XVOsQPQUft_|RYumD3yvjHaBf1AcE`~$xpD`Ao7#dz)p zEYQ5tE%VbBhUN>gMGV9g;+(SatLX4E6m#q{cJhkW~ zXzLM+DUmdTX~4%e(>iPBEqVQTt|xh(Q~*H0QIE?T#js}S)~JPW5!C7?{W!ko!R76HXAa}+LQ zBrgTlAa2LSb5jFXX;L9nIO9cvFc+SfH#D(ETZ! z;n#Qp<$2AKJi%i2YHq+Fz^z&2Ldax|%6|IxA${$iHs^i;Hn2w(Fa{jy2;d^%lN2DF zfXM?qAqos5FuDgfkOOQo<_PA&8wh^`J_8ojE|sAzyc_6#1inH#IkUh-C`_}C0;NEu zunzqr8@52eYaeuvfT^PL0Up=PtS%SwI9;HqGzSbKXo&?guSMGU=?E}H$%gGQCg8S9@)e}-c#p5Uq(^jZ_BY0sL$?*pZL**>%IjqVJo27HN~8+ z;eZO=!)i=KflwyN=;uul6LWV!;e^%d8s4revI-JxG>(Ckl=60Qc>?2=2y+k7BhRrd zETR+>3n{{Qivkk%fMpi9@vG&+=M~;E!hw?zCP4{yCTJN4XJyDTZJ}3}EKI{)rSvG9 zuOm%6#};DFBq~VUi@+(T!FPa@GHDq%%7M3T3W?R}R6>O&!lW_d{q#yE#6+ff3t)IG zq=+?_DINir84M)LWJAQ`^@v;x*gG^2djy_3HT;#||M?m2&p*HV*kG&_D3vlr#89~b zRpBNE-fIA_0DTR}cgzhcC&;Tc;!dy-Hr|#~ptiDLKaf<&sVSPWnw_%A+iRpnunVj) zWG^<$5hxfk4MhXWuF_demY8#WHmtdR|t5VJ4qL!2;+htTT@A4IRXl?rSD#ix(4e5vWKH6p~$M6`auT^Xgn6s zU!hvK{X$K)$bX@S2VX4sK`wV;3keo#1;l|v1uE<+kB@u917e{r1_f?4KO?IVu%Q@% zv_&nzey8SS-7%g~0G?7Bwz^-g5ChE{}$E2MxJiT*G*pCELot$ z2%|5XEKtoTQlObRs>*D5!RjEIIa{#;)eoppA#*BqPqXKgXiDk@cgw>{gpWVrQzGEZ&Fl-QhFvAmX5jI46~MPMgXWZ4B}4n9TPW2Dl^p(6=O}67IOA<-ft8^F(k?=hz>`p# zVY2A53}hBB4JACNb5LKbBxK=fwbm4Y2hv(;uj#Q8$^>N?%xFFea(_~TK4DVfL`q&I zxLDo-zsV9@C}@^45t?Q%MKh})5lrBi5~Nfl-|%n@xU5*yaQgl)zp?RbY3{LLGey(_ zrqEz{8<7F@Q=vzwXpt;pGtc49s6MNOv_TI~nLS)SfY;25;N|nI7(S856f00p%!*x0 z3tQ9Burr>h2$^7tu$euKAnPjxFMj|9H8F6_@nWJog^1w)3LhouNC zK@E1NNTK|4$6--gq3S0LfMBshO|Wd!NCc`WHbLlzIigdAKg12f9fm;ioY1d=4@lJ9 zX$!BYs$_C1z}QhJQs{-|+RuYI*$X%Nq`;ZHlxp&7bmCf3vM^?cOfho`H4c_oDxZZ) z0e3?&Yn4Nvf1O+Y>aXBCxos5`K%S!95mlfjyk;+j<-8_BNvoU8US+q%9HF-6!Y!mC zF$W6ExrMMWrqkXLp1IY0tGSugp_Ws46y^>f&+LSm5R_G}ni9?Hc|F2VMS@VEP%Dtx zD;0WqO7U2Dy-+Aet2H&BXc!z;NW-Ml3jnXeC>^wj#b$7;G{N7+W`>F6{wCZwl|Ku2 zsR2$81}Ja_?10*c7k=xU{P}gCKGwhXp|fx{w@E)^snPX={j8eQ#*2}gL!Z#guu_0e z4s4d@tQ$;yz2!c(sqWK+@ZfD^hgUG5b$zN#CbO@yiz#yAT77SG9UdXZMJD$6G}&p&2(*Z;?i&}d#YnW8EHQG8^a@frN{D( z9SCK9(aKm+kKPQ@*WSsP`xfN%S9ZuAEVlCa&KY5FT%*o8cS0LFJrS7BC z<3mTIw~f?45zlVF_491xa@tdQZ!*hddrhkyy&^_I$)g;$<39?I(4P(Vi}Q}mR~llD zuIS)+(;3{$Pxm`aR%u7wLL4F$^z?I`J+rS(3}*UEXKTa_Bk|h0x*Dln@N_$pElrD( zd&tquo1ED7_>u0Wb4Iss{yK4XM3W!VyERQ-yfL6v->J*!+iG~7d~>q8@lflodeZAU zJ&pD}+oyl`MbtC0{Q{xm*Vlfs^+>7c)3U8>&DIL#2)d!QsxpZlYzW(DXA-P+u4MM> z+B(|?`rgiWeAA#t%qqt!?lf8zTWR-Whle%|4u}U+-4qn>B0kJ7VVp$m!zmW?la! zc$Zam!)O$bh{gOq_1s=*f00(5YPNP&y6*V0@76#4^lBwvi4RD_Sbe^l4H`Fx{#Q*Y zy_H$4P3^(^kTkXF_Z`AXVL|@9VUU@W>bgoN4@9F&_e%eDahO&8+V&PZS+WfmSIK*} zpNZSznK-e^-SUy=%BM?i^z|OiqV4o{Vjs!6x*E6o>)ibB8rm7UK>H;4?U65_7}oR5 zgXm|T#`4Ch&u01qI2)}!=^tz<5AQq}6OOiu2_bl@`PCE38G1asm8_AI->*J^N1XK1 z-URPzeIhPRNSXEELErmS*PGp!?CX6tsRu3b!+dAD)YvYxmN?y;D->(VNi^C>FX+1J z>+(%5ohT>s;)42ZY2Nc4=iw(CXYHfQjWzCaBXDs23HHzIi}W8sYk$J|j-mAUQ*r11 z?ihVud*#K9_7uoz2FK{r$5Hsj`6_M4Q({fD?(rQW1ccLjiyfH_;`*|xV>i53w%M7T zwEf_EBNQkenAu-!vwL0>`?chjk_uR7NyExqwa8~-c_Y_XFle0;VZ%dQ4c>> zJ=@w9c3#&rmL07O>YiHdyT`vg^=$Tkp1o3wR;JdaYSj-z57X~6vlW5ri7#1r%kQn6 zAY((!^~_R-!^qlO)z*&ZjbVoEV{d!jcm|F;zwI1b$27IT!3w&LZ#z$^pad8u}q_PblZ;&_Acz zbvX98dpa)3!>ZcD-q5axCx3`xd6T_^J!}<34W|M5pszPTdp}mJ?`77hrD978pQAmn z{{k`A)Y_30{cu43>CuMLwD8sUM@rMx9X~rNUV_8Pfj-rD&laUvRk~Wm;xmfeqi!l0 zgZ+j(`lYM;k&~HoV;!ZAUGf`n?(wuTAwB$fQmkrQKDvX^&cfk#+9BqSt+c~)R)J%Q zW7AB#FWDWqKn}@^sqS4_vQFOaD-L9R*O9Lg=B|#^$*Pc5{;7KT@UQC0)I!6BneAV0 zJUe$K^Qh1hMgdt}1$J2?&D<}_5L8gEYdqU6p5m!79JBWko*$881U$n7s`>`a5k)n` z^K{pEWK3sU63wDn0NkvcV+_TNLu!eh8ITL`Y9`D9xClIXq(Ilmw1!-xsGsJkQyAyK*Gz1UNOLx+LaDwz0Z6&RhSy_DHN7Pn}?s$rB4gQ--+1ZosQt*H{K z)fG&hWLU&&S&Iow^3N7}i%=o&V-#&=j_3mVUBi;02Lb(AKrkL4Ciu2iXgL9ccr|39 zrnxz^iiTK=ehT6T42nn#FmeX>iBM?4MN9z(r!$PyfjR^%Ba@{J92*km?x@94F!T=;V(_wi5uUKC)_@bgXkQ06N^;}1AviBTr`?vRC`o<`~;kbB6} zky(%oVWQk4@_2$|%ENcm!bI69? zWp`U3&Y0(Add-Gf(i|$Wo-(>CAR>S|BPkt>yz%RTAij$9!>Y*56NO*d^kk;DVRjGx zbvRV6OGsMNzy-OkkhM9*av}B>CQ%@c?stpDMB}G9F$6C6kkx~-4UrSCFB&5Tu;SjMX&si~b>32`WOWD5M_k(elf11N3wW;@7OKm}NuJ z=1F_}JcxsfGEE`YCUwVFmbbY(@6bj%r^*a^tiJAs&+q)!-f#WAsPKDklwh^rrhT3a zYwK9st*g9HJ0Ijjaw|4!f)Vr~hByKcTBahQ@UV5>cu-u>0?+6o8mGZSqGyp(%<`${ z7>EL>*mn00*(m{3R=v6I*wNy{)nqo}ngAiMR;KJoSI|$$1(~U6buSc?Fwp0wTW9dS zM)%Vu&4a4QE@QJo@y0H!X<+VvnA`I}`OWZtD;~U5!D$XkWl+sUcFfn2>bSqt38RBP)S6-Yu>~ zM{50|n%ap8L zEcZb_lNz#G=Bv#2C=VjCrU~TM15BzZBV_m3v_0qJeDbx>a8v|2i5kOMbhk%pqr<${ zRo>J~D`b%-``D?$sQ=k1a`^D!50qZq!Oh0I2NV>lq~AEh?i*C6qFs`W4+~BB{??uZ zxqWcjnqRRXroipkFRdXnJ zhUiH5yz;@^%GNsN_Gv)ABwH{;U+#_@{C>p0 zw!tzluCzZb+BYp|qr)NHA7u}}0Rr&dx~nf5pOw~~j^gu&n|csn8_u}L4-{|lw4?Nx zJ$6`Kr$zBt-L}dT9c!om_tn-x=0jgq`xO#mk)uOcfThO9iA<`ivHOavXDi-mxcP>GT}jiqkih{UQq zW?D!WRMOiH5jO890Pv%o&^p+uZv%@%_8(A`D%(UPEY-0>3>&?*p`Exx)oZay+wSmA zr9k+xLOL0su8|~lm`TE@cYHr|99$}jeWq%)35W$Yh|3y8m-L7emMG*`8KV)_n6rhz z`3p>`xb9?DLaiz4=z{lJh7fP+NY!jBVd|Lj z{$7a7kR_lc@x-tSUDZklcf4wuj6U4{KNhG&lqS;$$lj*?<#mh5(|n zy(J1HVkrv|0Bi}*(_u(Je6Zhd$b5-Sq)dEF@5OmB2;LsGtUyVn1cE^|{|^by2yw6mn!znPD2Da8j`<_0Jns~%m4)ag1yZq(2%;$D_bXYvg^xOBj^R-ilmrW4 zo%P7oWOIiB93*}*CFMvKk_hP-;G^kLk=E?YPj9+V8u|P`@4k|XD}%7E?}H~ZcU2>P z$^QNHdaYF4DrRvzt$eut!>Y-*neLyav-jPF#RpIQq5DC+{O)npu_fHjqef6F2Sz`Z z7w^BMv|D8m0qlL-5SRG)a!ug-6Y=DWB#znxY2@mGmsElq%Vde4%_r zj!rDSJA~`D-sV~EuetI0&er57qJIzh&~ZNVu`m2}0+IIb_!rar#0LXA{*6t3$G20i zm&FAKs2NVC{GW;-iF)VEVrn1V!#q-!ZEKx4>ggGK5PeVvf$lG*I`wzagOCf$(e@(pdA;tk?nXm?YQ83PygPhOZxFm?TasTlara{BlX(M4fV`1$CJa?A6h1r z_KA!7@sf!}eF=TdcN@KUcrj()wf;%KbynJsUE)j8f4@PNc3rG}DE3kRnI}O-;A1Wg z)!Ejg7Uvx5ergFnzHX_%Ch+h5@#Je&@x&1M?_G1}mBoZ-%er+qI{6cE305}V+v`~B zf7=17?){Aq5O!h1$G(^P&&g907Xs1rC-V!;pBE0}zFprT?}}&K7jSzq`=#%?ukQ8q z9eLljxgBJ{%mHoqxmP}~+_X6ZK@Iv^JAHqZ zn5O&P(+)O}+QKv<&&}yn>0!Nn2zAl@Vh>6^(YsHPrlP64?+;bSdvO?6G3gf5rRa~O z-#WG*IeC#k1HA0;*Il`QffCO}?Cluz_}}m_*^K2eHvU0^+`w3&&5xq7A$mXzMlPH~ z>uwO@uiT7Eo9jpmR7FSu{Tk1Jcp>?jc7gdP59te?uN7{r#+U3GJzRW9&o)R08amr< zTF{j+=b(I(^>}io-kyGI0}kJXhZbu`9L#ha@`*c>TG{Zcz<=@mNb|o|yT6=&3z7Zh z-24GB?}Vad%h3Zkj@1L?Z8~#}`##k4>ZE<*b#23-Ry!-1Zy{(O0pPGcy2a+rY7dQr zjfp?S{Auwn{iU@VzHucds8EiW*Hsft%=e&KQQ(LmP$5q|#MFS)0>%Oj{Ybh7M>Fb_ zwz5_nW|~%nXJ2)kJ%#omztIGGk~7v+yv>{Sbu`f}^x~)=mbxQkWGge$MC7UH2ZRo> zt@cRB8U!zV>VV`;?M14KVX9x1Le^2;|9hj~dP$6GiigV*rdd+%tq$%0N?U_mf0{k4 z4vN+k_g$>qP|;HQ>R1a-;9rXiXbo0}8?+VgD82jU@%^`kfTn=w#nHI<@;jv$^s4Vq zM_kp~c_CD4kKr+(Q?9*UM|11cEIJ#RH^SZ`joO{A!#^Yb-vQ7!mXn@u7M{)WDBkd{ zxZ_v;L7=jU)7rEXWfR;61%Z732f=<+K02Uuw*X+nvjy$are6J+6lOLU5!^IW10%}r znCR;KS9HhtH7XBN<%6Ft)vi3~y_(7}{TL8|p=0JChFyhs>ZldCLH-EDB^Cp2aHYVN z&FHl$a7ciyOH#86Ar=Vm;tQM6YKAR@iHK8!lx){U4ATOw(1*ZJ36VktfxI@u&xxyG zy_Q~Vj!3wWYfvz7eqizyzLf^8rBNu;fD0T|MJ0@qG8hp#&16(*YRQr=XdH75@QzlX z6~YRnmSi-=0#3wWEd+J>MZXNDWQH$D8GcI-i~L#yT-k~#6227yARnAdc|jM4`6jAVoRO>k0&{0ZPp1 z-h9%LAT!U}^rXVMNdK73uhgrQnQB!=={Vk|YpQoNrYKC_lMkuNeO^buv~)D zWdh?L^pAVR+_?zW%=$Wrb+{K|O}w*BD)@;^06QYdW~6$&%490wg*h90%Y|?J>fQdU z#bdFCpQ+c;Mb|Iy84hjzjp*MH+%FvOGTzZrxLVGpH){)6K8yeA8V*%1HfSGt=4|PS zQIl44yfGR2t6sxD{XwZ~=+L_9q1^*Ys-!$MpnICCKUOZa{xJcZkht{y*Aq4DoF^Ff z7&|+oH`b$;Uh-Gyw);AJ@z3PljbtB6w%#+f7sr$*KKkJ#yFNM`Jehf*DV?%wpyWM-W`UAeC~d+2rc zp_Y=V>5qL=&!5k%)jQGTA8M9q0Ihi1>9_Q6zxh}@YCiSRoe%#U0<7pm_oW;E_nNKG zUdgZ3q^pitrS}@odd}9)p*h<>E2H)M5)3~@Qm^>C>KUo4o|Jur&pKwx#1BCTKaYx7 zk7%s{j9z`C-XktO7U!QneUr2w4=DL9Q@UR%zHxR-#UqFK(&Bz;dH#UCU&!3p&^@a) zjkM~w{A?lhX=A7t00~eV_WUE75m4)8V#TuYruOt480@Z2Yn%L6UoC zPY%VVwC=5*c1Nw;7n&zG)<}oQ?L#w`WhIV>{qvzx{%M^~#6mXw zJJcOp1k}?v8rb0*GShMSW|&can1UQTjZcb8p6LU{FE63JjqjA^By98R>1=;>jwW&a zFn{Raa4`UrK9y$PsW_8V`VOu|NA_-x`X(zw=}f(pHs-7+AM-ujiZT48hzS+?hmQ8)?S(wUP*jh~< zgnD-ANX$P|)v51$KMUFC^o%sJQ%wt*`)gWjNJ-{w=gfvy>kFk3mxv^ zO|WF#j>U=Z(jV%VGj7@bn&;(x3CPwKV`*%cKxgg3knPa`JQQ?}+R~=il?zbQPgywJQHW;DyC*S`T08Z7UQaC8u)!1-YmWTH^Hkp_w5%% z4%i#n2^b+TvoZTe(Vk5gqX|5>Sz6vy@6bRxndJA|8k19p@5hz8;sA)4ruqS&a~G?ZEl&XNN9j{O6#oU^F+|FfHn^AuNmEt5o<1Qy9O%(60o({?T7lAJ z%yG%rL_Wk*RdZt}a}w05KE?weqI5mOf(A3mKa&=M+nMXeDjmM`*VyA2@4a1VuM(ly zi?>z6k38L9lU!f6Em^AXZ?4tn=#zooG$=IX^<&MQ%V~!UHL{Kj>*;Q|QTY3rb)>3! ziBZ?1<~x?FIJjC*l9xz{Z5~KL+Z=VI6vVNy)KB&19>yW}k?Hyge24RnOTN1tFr_*p z-2pf5Y4$HpY-$o8CNF^)^!s{TY}aw-Y4SL%ATjg2t(jh);P>;;teOfKTha;1-PE-<^+KhaD-Op;r)b&hDVcLMa|%;dz_;>47?#Kclly6YK*^d#r# zhm?tDgsLU|Mc_>y5MLyn>m0+rz$pMIEl*TCPC!yDI)M2Klj>*mbPl#;v(1eqtK=o7 zn0VUYEIKqK>ROSLL)H{n#FD9ISz=?i&)6X|8;`30GUiB>FZR!BO?@cD_eubo- zhqkb%8(O;>rjFe58Up!T=N!szO5i02OpVyoAe*fR&Q!gXKsy+X=NiPbDD;#x6xv^Y zA8Myhi&LK;U0?o)&X;B*%li&MJD9nJ0CvYAWE+nPsR!J-er%x^KOF9=`LMsUA?61Q z-Q3|}dRG>0VQ;Q=6wd=)>brbb&1!j_WVM$*Ur(#P7Ux_{Rhbi0_g1YgK z4KsVX7lXSi_W;GFWehqqt-m6xZTxOj*=r3xLhk{@$ZoW!!VfK?-W|K}o~QIZcl@=R z1GmR-COh%6{WSc4n@)_z(aRUc{!^$r6prg(an$HF&vQNENT&$D@@@1# zIc7SqyY3#f?irKA!cu}Cwq2NwANN3#g*`o+7VZAw;OHFMSFv=6ANFKM$dSzEn^`+v{GF?E zd*9_=4I0Oa$F@P+*jIh{Q&jygA-;jH*U-Ow{FkhE=@-Afl9g+qS~h|({A}&}=pz1Q zlUmQbY0I+vAtT*!dQ#+1wECj^U}ff7Y`lFVg$VZ*H{1po9mc4({ujxtWwVFXCnNR_K7VJrj*BS$~0Q zY;UvJ&Gm&IY*-B5gIe+Mp5$8D5a-H)Y4pa%6H(=1ai$e!E}@DpI-$)};#h82^1TnH z;{i9!w09NnTdWFI5>M#5reEfUBd?2Rp6Mh0Uo_U}UpWAIXovBLX63WAcWF~wxI2~| zlODKN?yN*dU{vCpw2n{S(sV3wk$-c+|LHE>|3FACLesI>URPC$-`9BhhMP{`gnt>@ z0QvXEiHz_;A{9U0XgqvjwjWbz{pOpE^|lIRhei=-WZ&g; zqsSd^)4uUI`qpDX#dnw*yw?dQpRwZHLPw7-7d z)~MPQHxI<5YWr+E6P&Ckoh*1JQ!Sm>&W$Gf8l@JLgE zAMP(X?$_P5oW<|MArcH>7nGdH+1H?WPbL+KumYY+dt^E)qcV=#w5 z^Tgi{Sw6b}6XM)v;!cavu%6=!Fu1nCzu)N}ybhTEhGnJA5(?m_ zJJ}7X0cEC^&RjR<^^5ecs*c+6-ceewCGVg$*2o=`mXpNo9CelI;B*y3%({|DYSQkT z&=O86AH206V%)4JJ11av+TF=)_U4k`GCv^8I(dI~bid*q)gouLBBW%~-m)}Xmu?f& zYzdQ&>fa%1Z%Ub0wO%~K7dtazGr9&THR^L{N^sZ1y09E5a~CjfTg&L0-;rc9PqHI3 zDnxuvR^r?fD*ep6T_amXRaLG5_#9F&X7qH^CDl1uo-qi&>J_RPcfBV`qi|?%^p35nDbq7Ivk;^at{z5We zPU@?N%SHu=NxbqQ>2d@XB^5B{M=1y<=D-P>yOMiF@&E6u%hA--|GnidiBxW{KrCTy z|Nq~v`G?BgP1n5nqbq0gbt*3>g}_w44q@ElWW>Z}EMf0SVnCFfNI}RkzSo=A#6XOu z0`YYQZ*dEeA_H8+Vc``h*cP+uy>i+dfnrWUcr~2$!VV(aD3cdffb>F8BBUOIk_nM^5qJlVY#@k0 z4DDs+kp?d**cb{z<@nsItD6R>aqwSoSKdPWETj}wlzT{s@5_a&!v?1y*pB*J>XELy zufLiRfJOS#u6Bm~)2?B5df_1Od@w+Np7#Ai)zhT)(y^@a z09Gz2cR7^r-McukboOa-*A@UHwN4!0d2-(I<*v7P=&*B7Tu2VzF!9dV_wIw~(S0AL zVPf!J8gTvZ{afJBmw~tDo~f$MDiw~mOO7rbidzru0tC;l%w_t{V(N?gwg;Y>5I?*Z zZ2LDHeQ5iIT~CF7m;AR~frrRvFFfGu`53TBUu@ZKeWw5NXX3ls@AZBA*z(B(j^n!k zcT~UYy@z*v;cMTuUM*_<)4UZ!JorC=f2M-YSM7M2cSrIF$qX$M*ot$-2iiodU|5+ z$%pRyFtv2>-vV=wkdN=%+kWt5R^0kw_TDYy!woMiu@5v%EG2*Or{V5BYoB^DSFm7P z#6Q(x>=^K&rO`NP)%ztdv5Skap2H%T8h@(i;6AUjOSb*YHV0g57jU?FY%z7#SOlNo z7j0XcF8Q{UZ3AlnpnH}8mGW&IzHM+3yXyn&BEEE)i_}*BK7s*gJW#3+V@F>At^v7d z9)7-8Sj1gUfC9}cK&h%}c ziUAS{ZD$UWRNa9ZY2AkYwuh7jW*NVLVb+kl*6t&KJOUq-&$iUk-~2rVdZAgQXoJDc>y#< z5_|v}MED|Xa58s*#?1`>Bn1$0mpc=)SEixG&HY*sd-J$lh_cxW4PQRQibJagEvPWg z<<7BY3#=zwqhoky;`|Yc`SM?HIT2X<;P0p_TOnsqg`()CRv5afQF5Ur2=Pbeh-&7# zgosHYoQcx`fdg^Wx;fI}y*!UoDBV=8gD(LZQ~DK~Y{Iz<)mteTZLTIAUe+{7RJ~VHsHQ)3<%g22J{{F{X@w+! zlFC#nY`kA#(cQZHrZOS49}SHQ3`6T2k|eDsIIR^coiS_zKZ!|Ibru3(LQIU6BvY*p z3$UqiRu^lG8ca9aP*`KE8c{)^C9S|Ls|q^lWZ9^$g1Cw@Y1)v>GAXd~R+l)=A_?tB zc75rw|6WM1{rABuiF0KSIg`sc$|P~HhvCbWK?(Gof8U^3LE*Z(>1Le!_~jGO?ZO6S z3ic*AoyS4p^isJ3e02kT-__pM%t7D#_tRI7GL(YLuU7uY+rCq4-+T4yWeG|HGbJ+f zjL@al(f#aNLS~^1s4|7eoNb1R&oJ{eFSxhci9p)6>Oqjs^eXfxa+6Emi!ZR;9LZPR zcER0N*2BPZ=N^zheE+Pb+SKsmFWKS>IqyHmUKR^`oYE?hgsemcMAxiSc#{k2=ng(u zti2r-R=8Jg?A z@iW)k*Q&JJA6yMPyE4Co65O|1^VjQlY-_rU<(!5a4EM{Q45jXiWNoc8TG)9LM+GIz zy4dOy_)$80`=*Sq>>jw8MvwRA^sQ;9*goI;DE&v;+i74LU%kNzL%a7$#9aBWu0C*Z zqT!|O8)P&t3HNa}5Y_kzVJ<%%hSP^c-h7PuLv7)z$>!V3#+^@7>j+kbWl-zA6qKT` z8_k~_{Jljy#I#kLc^Uzrg_FnKPA_Ecru-wLR;yj8Cx!C@dGJEA;7|P~Td*|`3FB+@ z9KFTztJ|t4s!7%xrqWc#kqcI_D}slLVIIrvU`aQfTj9RS=~qt5J))Hv&t9@rZvWXn z4H>Hfd%mD8wBL=zBE*z!XHxgObQP0u0_fMj(qdMXk*>;JFjoRAOd*KWA2+t}Pph0S zgkmUzSdxclydK`c7sZS(U9hh~G047Xr7yaYbLNB5NK;Zb>XRQ(U--`d_`|PkFdis{ z#b9MZ=MZ{U(-;Z*4*1$ulxJJMVmIq;WfXUF5`{CC+k1-@HS!Ci~)oW=nlcpD>JlWF_zb zUbRGl&OZ*BR%(m@#}m){uB_igl&bEI!!04JvDI!#UP4;%1$)$aY#MT`Hy0qP=gGmG zKHOw*0|^_LOsA;&46-9=(k5%A@z<+ezp+R4PMYJZ<|LU_C(gaO?dJyhAQv$KxjCqjgy9Z6cjg1LGR>-vN+ zCGICV)q^nMnkzdk^v9b*}fqg=yrL(e76@D1Yw`y8*J~2}r8cUJ~j;3GwBlDFHo|P(F zVzRSi>Be3-nC+!~&*@z~o*%gSd7_?A*AO*_`R?k09`5Zka-wGsvCT85CA4;Ie8<4Jwuk%{ArH%;y`b_}(x&E9uS^t8RJD7Lpf z;z`fgHAk<}!=QJ!9?*xe%H8?bo%BCDh{pWq#gIOqg-Eb-&59WFU z%K>TRBC{A47N!{1{SkR)Ko5C7z{1H|1h(Ourd0p5Zma&7DJ27v;xr_lIB^DQlijsR z3kW;Un2UT9K22*c9Q?*Vex~8GSCh<%dXFUA$KD-S?h|XMM5{!qH_;WUE?=5i$lhm@ zK`txTAuCh!sDVlRQ%rWk{9T^9`iE|_npinTc-W6+h}Y`N1EqWyU)F8s(SAMis0h6x zB64UIMe@rn#>|a}j71iVTan{;)J%*TVk?|A^9g=TABNppFSaJ{i)QT3m1!f{ERw#e z9HHMuA>PNE4Hw$J`-@;#XyE}2)?mTzLPQf8+uFiRDQA0Riq8*vZT>u998Hc7u(eL3 zXU1MqvIp$+YHuqax2MRexoYc1V_UaoH;*^-aV2&3q=Hz4EyA31vIbTI(j&&}|Mu6P zysqK?f0ov_RFF?wYntu&QoSJy%QNfADJ$7H4jNeV1L!uRwSK(vUdO69w?nEQf_sI@ z2wj)xV&LWSxW_N?2ran+%|MD3KhaKP^b!to`@FC&##!kn)_x+Oa_ zsmee@ED&uIk6OTXVZyAVH=vXi=5-dozjE&jr@yz+&(CSITWiAl$-}gV{prM0dX+~K zeRJ>AZoz^C4v(+$Xay5y%keKKubD%Q_rmMSovnxam&v6 zHtPeEF75NrAZviN&}C^8K}qRxB%_y|o%|Zxue1Fb|JE=Ol-hm&!M{^=XP!F7=uYDp z74X!1hj=zP(pvmQzq=%j?Ns06Ed@o*#X5)inW#FVmxOmvu~%3~u~KE?p23>vG(1?w zN{jLB=|(ps*|a5eqod_CPbfBAzJBA{c&;hxvZ}_VD&5Klxt5kCRqfQ?aSZjkju;~y zE|yPi?(DR*#8x&PipeNVb;^1>qm+5_H@@<>KPd0};Wy51P)kT|WFLwotP1Y}4qc;W zg7s%*&Dh76kq!Z>KN|J~+&3^ZkOY>Oy+@GtfWi?YCQV+iRz(SLRQ4v}>D+gn5aq!n zf6_@>Sa&>00`2{ZQ6ms@D;?sLR)tazLiy{XnlQc})-4l_`u+-6mvhuX<+4HOFDWtP zS{Fp@^AXR6h#{JxvKOeTC2L+VIjBQ*bm%ZCvcVXcwQQN0DRW5;+w5w85+%lb}pueA~WPo_VA~G}r;DW*=&U%YJAhan6i>jU7li2Lona zslw6|SavDgP(y7%wl6^f2_{BegfVJ)p*n7gD#I-lkyg@u+)Y5dN-3`qma&qePSWPE zC*je9aFoi9?08bY6k@S=U@aS6T=ETzBqH+~@F47q9@~+Xtbeau@ay#yj8A8?>YSq& z`R7h(V8~h;FO2Wx2^yKBLw?eAVsRFvGNvS(IS3LYLuEhw@~6N3r3UdQ>s><|-9~?& zx45-~bw*_OM9OBHpXheM;NA_WK7GY3^Hg+36&ExrawdLbq>^IheS5CU@5Sql{v431RBoB*}7gU*=5y2Ry?M4 zgwm!}b;3!tQhq@sn%OcpH`^pqR{R~U_Uo7Ldg<7{^&ThmKmu<^@W{&CFp1^Lb6y>h z%}`=UG3cziObi>zc3-$j6P%Z#ZGOT`*wi*PEN)Jo@DeRX(c!Yj0;$S|{52-cgcWD3 z5DSimj1>`2Mo34ZMTujpNI~k`0TITSE^bY7hw0q2`9o=2cBJ+MY&Hr52?#dP&r*gd zo7d97N?Q2uB!k=L48KlDRz&NqTszjPJ0YI(79^(hh8UL`fv%Ij&L8;O(=UD4dHJtT zNE=(qlI& z8y$Q5r|Z9rb5}2@T;*N8C>2~idfR@z7jHZD6K|4%Bwhafcm31<)3AK>CqCNv@|zFo z?H|9{pmp-S`C;_t(c2H+B-+ntQ!;q#+H<*Y=Qn@FdF#abQ8KuC2CVT@Tik{>8}w73 z{vS{L>@I$J`Sm;Zz3{b-hkdpEmF8paNJ<9(>3u8Bd5bnbn=I=*h@bz@Xzk@pEw2Pe_rLXHZyl|VSzPn;wv9o3b&UVK%dVb(+fdqQP=zwd;OZsP zN4|97UmG6%%d79N$~@=liJ!55lT&{3GstyxJow4emASzU_Cb?=)&(11Irre}(`OI; zx1YYj`T$48#}-GQ`dox8`u2QM{k{| zaL-1oezL!y(K-z_Xua_*-1lwID;cbRQ2DVs<1hZ=n(v<2xb&@E%JGr`2A%VhO@fxi zub8-!$FF4vZ^1UPT8w`^T5mzzl()7DX`oT>hf=CEC1#m*eYw%HWPq!$j&vy*V3ZHN z^GClH{NM=(AH>_6k2xk&Jv202TFE#E%+J^=ZHyaLc09DztG71VxXv)aPksf;6XUER zZ#PgvOtK1Iz@^CmFBLMNU3gPz=IihH__1um<7@b+vi%r)3(!L(gUad3*D6Pq7f>vI zb}`|wkDG{4o5$+rQj5F;&WpCgY`G@aom`4qTw1ot1q zAIMa{nmYTmGgtr5_#3MqPu)6Q`4@K;U#_<|{*3x;ef%GPF*1$+p4#|}k7i?h^$7mW zR{kNJ0sq#VHRo&idn*2a_G@S3|K+nQ&I%rnjz6>@{;hq-QuiLK&){$4WTk19k8TC@ z#Gj}iPE`)@<}C7TSNc^yiNB%xd+>j#a_nz3?U8bD`O2reKi%;Ce_!uvOv0F|GQkT- z3!h_5PNOnJ;0cY1tb=Nplam;YKU0uO9(x&x6-dxaFlGg7e zc@~aS$fImxFLzh2Sicd{1>qE0;W^kR23X90-@olQI=41NtvK_OTO1A|RbJlyNd?GV zMRRZe=;ywxjKs>z+b;nfF)rhmtJ`ZMZ*=~8!{46YcpvxXZQFWu&H5=hSSLW`9ac}2hX%ENcXC(-O6o`j3}Clw@&nI;DN z?N-SqNbknu->wK5Ft-xZLp2d2b228Y3BM*Rloc}(-i0GcxT~h*;VvapOW^%VIE%GZ z9BH!P%ZWY{1~PZ-aZ`ia6xqCR*D6h7B!?%0MLkHs1=BZDt1v^-tk!uc#s+jL8Z!cZ zr)Jn@j}=Jcj$w1c3 zgzHAGW6{ablux8A^AMKGkwnXCQAxptwBWFbz^#c+T0vhDfqDNT2-`F*6*r$(llL_mrio0`LM5L0w@6* z7g8As+;MZNXmYQ8EW z%!|SQ#M(v?wSel$bNb{ol`Ub)YQJ$BtHhFgYE%-W*I{*BHW!_w!mBl^VRBrO8Ai~M zcScxeyrro~`b#QjoA{_V$57TIa#s;uE~(Y1Bw>XSgSXZ^ja01(OEr^DK2o#HOk!~SCj?@<89iuPd&sLV{n{Vh`)YLWddF)uhN&HONxfCSpsHk^D#aP& zv_FAmayJX-Iqz9A8!j9`4UAiuWtB}*Hr279ccynCx`8zs$yr^B>mW=Z0mEY#G!k7< z&q18l2x~>%B0__-hPbse?sr?>on5^sc)~TIeWpFq@3^lyY@I1?ZJ7@1k#_DGJF`oT zNXV5Mp6F6FveJqL#TJsLMyZELDVQHrFHMGv&agE8$aqg=v}Ll6=VhIQX6+kAhQ;tA z@h^~)y_0u}P=6t$9SB8B%%~Z+(St*$+zwyfYI}rYPV%(A6q+PKI>or134Bkwp0#T1 z!W2u**)xG?Q2IQdrMN#!+(ii^T4?T6|x_f_k$F1ufOuXNiKhQF4 zcv6lk=_L!9$=xY2&K&;*;oo(ECvONxy^*NNFH_gaI8=5H+sU!C!NqwiMf&nqma$!~ zd89Yt^p&`Ce|mRA>1`Q}XrjBvsfV4>abM z97*;AZ^6^{P(`wUpeG&b87|FvhC`4xvvfkuQ$_8Qq@g+_Mo0`>D)L7n#8Sf=)Cv}?Gxb$*6T9&ZU^bsjSpo`83%hi)RJ|8 zYqvU?+l}MqOS~hR=wnuI8qj8l^dZUTm=u zv%j4dSPgHu`F*c`=B^)J_q~nb<4DM>TN1{thrKEfD%K06N#OV7y-#a9VyAMlqWSssdt{+6JE34amJ2l zR2A8*j!in!l5@$Z)})1yYI{5i$${5nRzp;)i)Wz?+p1A1!k)I zq+}q`su9`Mf=#PLLFQg}0{NqBc&(2(Hf&YI>QywXiiCMI-$>k2#|)PX(fl4G zxDZa+?>Dg;cc4ucT}CcI2XangJDoH1#%w=5Qy8Veh^sgG3=xmUfP@h8Inuk0%-K080ytOM(6Szx>(}^W~*|F>NF%;dQgbo=D{= z_=h=yDzOR?4b_HGHtzKUiKR#YS3DJ}&4opKbWbw{PrL7JwP?45tP?UDvPKl?ZbluL zwjmZ%+EL04Pyr~sb_V+qWkON^$||;qp*5uzsKye8kOMmb_&iDU`H1<0y;fEmGWr!` zy;~XaD4X&|r+i5srAm%>Ydqm_Eqk^%%sEvT<$WWOW3*9=o*`bQ*-H@Qj}2>j60=8A zQ*)=7ZY7%gB-AO^4*TCxU$fjHZIfV*>vz=A(f(e~EuB=VqEd)7a27c|lpQuOF|8+V zwu5qUFgF_Qw*q6-T?q`mx2bDsKM5796jZxms(o-JNSKo4NqJ7q)5INV)6S_APBhvn z=-3{kk3JKU@g`f+FWXV4&+T?z8pf;@QR+#;iHH2~q$FP#Nmi{s74j-BCWL3^Zs~aE z8}Hcn^3BhKB=NSylqz3t($`KJMI<=?8~dc|D{31+C@C$HFgg)i8A}{t|E3(!9;fDE z>-PH$Z+GK1HXI?caNI$#1p6d}j~b_thO9;; zXh$UG+dLS+)M6{7UAO9ui(0)08AAHBlgGs-gy#Pt~Lj)d{JCxul(PDf zX6||&JEJs+UcseoD@N#P8wyeitL&1;oDjJ#pmv!xnnslwsdUzbp_Ow+omt7h#u((Z zifWSF9#2>?K)GX3nseEtduj&%X2W7Uh*sTonL; zHY3pzaYh3P+p-Y`l6F!d6f=F&=&dgElLFsLD)UN$*{~IB=A@)$%E&fcq}p=e*7_-= zY)%BByjQDu9RMz^fDnjjS(u4H*WD^6O_Iv(r7Am2G$U}$M59(c3n#slYuqIzZ$X2F zR7)qW*HZy{Zo#}tdZulYlv5KfG1KEcE>%5q!d`)4>Lm3bHoXnkk$2qx+G{^jUU=b~ zXg{_DR<1Cl>Q7SNowSuM z*s{_hH;pi1uCajE=+ZEO-LEm8R6;6^2FZ>H;}8k1^Gbp%Pk6~d3PbrW9#Oy{6LRUE z@*{XM2q~H+NwXSDTC{2#%bf^p<+y#Z??adDdjc&lbvTO}lb|9sfpUEayN_wCN*ey~@VK ztd%g`I|`h^otWhJb24s9YeC7ORhdatw@Ye$YgX)O9J2zSV2+(`MRaiJ~c!Y0_bHaX_g}tA%#0*$&9@s7zwyX z!UO|q@$;^k1Or6LAuUM)zbYH%!C%UJux!4^1Y*QQcnPu&n)DPwKoyFt^by^y^U8tb zVH#Qo6R#mzWcjZJ?RNm(Hdm5`#5k+7nX^>Z>OQaf3Aoh+( z3S}gG?_s9omYGwlF9~NitI>O%o$n@+_xzZIO}*epZq`KLj~kTTD=M zvmQ?PiiSWGrp?ftl9*FbQ)@&s$8T1h<Rk2_iBR7HkA>FQRK;bj5@6v+B8k*-Yd-F17z*BN>nZ%H$h zr{M~6e3;kLXk;!8gb~j2!QiCCSrK87bHKS4JW){k zGZuJZ(OmLONp^b8k+YIVN+wX*g`C``u^I!JHW3CxPvAG3n8Z%PnIWyY_R5K@8bm7& z;s6i%JtoHaKFLiDu{r`VYt*B%45S0J#l8?hU(%xMEVX#-If}G$hM7FhmBjrBKugfw zKCzf50+_rJX*hl8NB>3n(KJ}5ve{DX2?EYQP=_ir_;*p6q9m+@Sp&6^IO|gQOhhLf zN{$r5$dp|a$cR02!nQt80zj@ESIEO9Kl#yi53VN9lNU&q}a|cpRb`UKRqP&nHB_kFm z_OnoGR+GV!$m^sVqkJiHyb&k@TZ8v%dRW#X$F5OB?OH|y17)^qs5DO$k=z~{-Nvct z4in@^1Z6X_VQ``rg;&K1mvs$2tFi6839HnmDD0trStFiLinN=11|N6qI*(j(5$F7{C3P$-mfz4m^6YX$ z`5WkOyj{WETD>Ah&AmQK@eaPf@y2|;h_znS(OB=Tu17V61PGucn^H$ z_umMWS3h%V+2Kj&uxRI!H0cJCyNL+h1lJ`4 zl+`e0*C9~iDO*8>h+ilq2I5k95{=_S-Ka<+ji7+J#AI7pbUdC!EZ|*Ik?+KO1;ULW zo)Ok089Q7*K>^ytuvdyVkl$`c(U zr`>;}*J|!pT1&Qe6H1l+a<@K3e|5X14MwG?J$hpC^m|Twb!)#je8_093#Z?InuVuC zZg_j+#M6zLuk=NegHL=&y?!>c)F-~JwOoF*qqpC-(;wchRU@+Rln|FY=Gxqk-S7_U zU8MK%UmZJkPtaNH|J316%+k{Qj%_wwdicFhbc9dcZBz}M+x|;`IzFgc%inFQGIG~1 zhpV~AOQYHYEsrgmqwl*}Gup+`+mBD&*SJ^jUOc9D8%Hnwp`~aqlm@r?jLAF_UXRg(j(>XzS?AD|HA)}xG;UFFGv1fKOC;SFFP>w@SfXlBx|>KegAI? z2zbty=2yF$VP}2moL`!@&QC9QEM5-xcJ_VQc(i-ynT3~q&L3RMe4w{$`CU(UcazQP zG5(Y~@$|!^Hy@$L5!t*)ye~RaXsxB?0sfNRl|6+hetJ>6;~>qq8jGHp`|Z!asxQop z{cx~+jNYfVAGi9f(j2KZuFExAVa7S{xtFck>lSzI*|RjJ|EBg(;fd1qv-ba@hwrdPq6v+h(aK-{a5{gka3p%}DRMr1@BDpg%aKECQ8mwhc(`{j zXGe9b{W*hPT0P<&9X=NgT$pB;7npYc)Cbcac{wuwdn_s9?S<^k9}OFC=N{ac$M5EFCCk*6IKdAou-G|H9W^$kH*)g~MnU)JvNBHW&g$v{by3O0$ zw7l4Em)}QsmG-pE4qSHkF6rU3>}V;sw|V^ZxlO8=J7T2Zo?g0;pAR2s zwtC4P`bm0E&yaQgsY{p0!%W|!&Cb8qc+clAckK6X;iLC-cXuA~{yut%SjT4WCtrOs zvtwv{lKky5m zYiP&r$$0mI|72(8&)U15@p6AC_Qw0e`kA34H2(nAujm~^U6)G-!j2(|-E_y$@}913 z(>sS|hkkS0^j`1e6XD(4r*9d1{E2X)vd?Z0w@-iYJvtyQjY}-LdN$ync4qfOzhXOLrVzn7n1W>&hMbKZk`K>t6 zk%ix5KV-*oV*kS4OlSVHyRp~FLF@(g`Omg{e=yYCcX({@%8<6Rl>f9Sb$z6H{DF9< z9rCXXHCk6nJN09}y1%`$>l?K`9A<8sChZgUnLD&m?Y?j-J*s_O9AjTppD2$VV-KoN zc;1oE^=$R}S$0ZY=x6p}Rez2xA0uPi#L4}{+a~^mZE45uzp@={%)P??nvs{<`wbk7 zKDK`FX&gMZUw>es^X0Bz!NL6tICIah==VR1{e>@mdFiF^m~XW1TYr+WibV>-yX49H zvD9~tEqM-Lolni)`xnfF)tsJSln0ksDVR7w=EO=g!;M@cOY!Kq_?%uFC7HM*sv#LF zD&+}Mzb#yD6|_$|ISsM_>tNZW_+?=c1x2w**r`TxyTGz)f?Jcxpi0!wEeF-cCFTpQ zrv0cVWgR>$j(m{J>Pzk`Mk!LnBD)Yi$#y5C2gMTn&s4s^M>lS&{D!<~oI>I?Qh*nF%*9NBtxzVbW^Y3cvwU8S6!WW#Hz= z409Z<5W)Cx;JmGY=Ol%7t0pGIVeTdrc<$mu#Kyv8b|g%NF$WM@20X+KaYQr^l@oz3 z5J2HeF+{3hASoFDx71Q8LCyGAdx2|#&njnuMsQRPBvHX^&lX?&!M+#%;CZRCCE^U) zWkVxa!7UWI37*u8B-)IV=ZsRY2`6>#R8Fq>eFEt|(fLffJK4vuDj!P}g?iSEOHJdlvaYB&)dgd}hKXnmQ&U(qEH;TW5_e5HHEgw8Tw;j>M6@xUA-jzI z!j!E&VlSGB3onwgYMzQ$Oxp4eL9Q@87{MbrXQcXYvIKm3g>7NYB2hUhR!JXjn?>n% zf@Sa>Ov=Fz%r26R{xuS`?f`6)&7M_eA2fP@yU(;l>(mGiTh?uRHN7~y4iXM zyNQPTrde1+&=yPukKAVrqcsip{No${>wA}Xon0qq)oNMYWvpyj?b4~dP3Sl0kmUH{ zME18xw99ag=Dx^f=efSgJ$-G$xR}=e-dJrERSeYzwhD7>JF+Mh7?Kt=5ESq5aHFSC znm+8^GDo+2)&Pk{p7w;h**GxKmn(%^#9aqFI9YRN*;=ioKWpnT z4d3kgj#Yw62qRAq#4SeOZ@K=Te|XRLe)ngE3a&qc|G!>8{#S1l{`}<~-@E#IH84g! zyx|f?phR(0#{7PWJqq=~4^$b35Do(KkvNHk43>$}t6<=#D8xh^9LkUlu!d{#xrHN! z>0x-oa718`z=c(j9TH~9VX!z-5+@)};7*DyBuEJ{d>y1bEzPPvH ztIIeQZ>4}lkTnREQ^upfWf8nKi?wji`IxVlq@v^36Up-vo{}={WWcd(NDib68bVF1 zIG{=nh5Z0QzYs0MVb2+JL>j>tv2r2q0vfVnX3bQW5xJ73C9$X{kfKt-19(dsLy&?= zZw5>JB#YUD>{LNE;7^Rq>Xm9*9YwIHB)xi3psPsZBclOPzbfl+@StmMGQh-od>r*E zWB%Sj@)!Q*C!WV|Vv~87YolLW)jY+Bl5Mc`pfak^F(k(!j#V7Ar6m)_Fx86=3R7~) zm}Ho)wOxXaO6W8}j2K(nkD7YL0FK*kVZqgfcAv|_#$+eEbe*{%QT*gA^F~vZ*5Dt4 zN7G;k39(cBxCP5iDx;GpEz=v1)OLf>Oox>`ku`HIqPLOR96T{<`g8UYW-zkONQ7^o zryLm>vQBD1lQn_vrA$|5Ed-ReC9>A9Cj33Gy=(WtHP;7mPeFN|8KDBI`}J;h1lI-w zL8RM*qGBZBpim@zM2L1x8e@)LR_bVtfikl26G$L$2^`ZwK-v-yg}DTeOIQp*Xxj** zGUip$`6)aQxjPeg;tHnd=CEciex~r>OPDH!YdEq3u#+Ow$n?~tDuNcPI*)FhCe{&A-m&*@ zUw-BJ*N4H$l`VhuxhkuQfxfO{nI&eGgI)!5@=^|^Cv_vaQ!{f-9tzUJM_OYPxkWf@ zhPR@u=?o7yr-&N2&PWKWFRHQ@g0MJESw8M`+H*5x8|8hXc#K$Gn0$=;jSxhe=XCSN zE_AUTa5?VzIV_Y6vbfy^_}@cCzMn+wNybpDINVJNq>5dzk{YI{@u<~|S)3z6dN;aX zN2`6=bw+00C1RP$!I%Vi;@&EXX%kzfGgi_!UBjv+(t8{{Z?CB6e&c9l*2C0<1h{2|QWi_*5&@#HavBY;Awg7DO}N6PXcVKp zFfbxa1ioA(scB#h%y3Z;%$H@X%0+DQapVBNU%;XPVyzpg??3Mta&@vMeV9EO0wY zCP2KfS{v+=Hy`w^Xh6$!kYzpqFA0};rd_WQq`XB?xHkg>+F$GU8pd|~@GqbLmvEgx z8*GsX1vM}BpyDD|Z&m@Jrig^X2dW}U0r(=P^y&yk%C!;ch?pEwA^m8tG6APD+@;Jf z6~Q52B;)BQ(LstcET@@v0{k9QJLk998i|Jq;x)k~s*xD9dr+AQFo*&k79(>UOXYAQ zd!C^(*CkeNqH-UrCw1ytKbE%2{JqGrtZJHlEo*JtL=fCC?-XZU$cdWyT%(|f9B|6u z;a+uT51mG-s}u$Qe67E=_Pgrx>7VWQ3$cn5+5 z(De6Tf9|^tM}KpJHX)d&6#<31VdS=O<)RuM^)C^9q8koz;wPIHb6cq*Y<~a}OGhqx zULEDO6EnBP_qbAYHdE!iQ4|GI!~@A&{F4RT9bknveV11UV~Tui!FBi}*vu?^OmBpp4rAM;kbU&ZGA_Qi{Y zXW&9V?inqpe!b3LPqPa#gFPW82Pjt9G6k2Y1p)AFt{oIuwN7e@H8xBRKl3kdG(6e7 zK}MUClOf#cn4K3;t9A&yfNxJD;2>!x9fVzDzyPCMf{#^6Vr3|hhhHyb9@L8%orn|^ z8fPcdA!fun%S~22z`tEXFELl^Re)V^zZAlAs;LZ%CE3TbOsV58hHahU!hJ6xdkG+o zmt_%3(?HD_NwyziG+p2%8f#>w$dr<12JTPOgReC5yg#vGJd0G=6f zQvE;=ZkGz7n(%iGyzzlu4doAPbQ7~b%dG}#D;s27M=6CU%6e^V&GGHx^ddVQqa*2b z#1@@N*Kd!isJvZ-9cf!<5w9_lL9rkZ0mg;aCXxY!69R$|l5ujE9VCL%r^u*R!4l_* zS5|tJ3=NW|rKjj|$PPM*g|kTU7*$B)F{prrEI|S%Bak5L$PpIhS3{t{f>I|D{o0}f zRO!}oG1+S+`gsX{cvCNL zy?e*a=No>b|0+Wqx4PU?sDt5_k!l6vbvz1VmgpmXqQVkB*3XlwD7OPJanRZ%#9oBx zkt;ra0g*&v$(#raoW-26)*);lkv52RK+XzXlq?HH2SXWWqpqbm-LVcv&ZoU{BkNW9 zIvVLJ=Y(W?hA10%ngv1<2D2n?iq z)9B6A8C>3Kz<^xrBNbeNIELQoNYv4!18!NzB|e*XY6Uok55x3UNM01+%uNn~YmCWZ zR@9tPZ?*;KgeQl|8z1=S{rCRI4>m{zb%mN4l!j2#la|0(=p2W+ZH7{5Wey^q%eK44`AM zQkD;|9 z8DpC0!6t6VPBH8tC-4*=)3l;{7xx6gaFv zaypwedbY)y`<&53se=cWGg^5pckB+}-D+;>9Q11}wq>Brfs*ufJa=+FJt>=rQ_*2R1Us5mmcNS3^4$e8pd(B|!eT0EHa zECoV|-K_1?A$+M~7cm0CT32#xNX1O}E=?uD-IDCc7e?I#7B?F|y#VbCe!6s)T`jJ1n+#!nI*CirAd8hLJr=pl0$LXeZmB1s?Pc zYqI6WX3-In*-cG?&JQp+!!mY-$tqeK06FF|s)c|b%@Ci=t|6hp#=L(1Xk?v*H~{Ej z18EF060@0W_@bKEi%f;fxIcyr{I9&{rAT=;+Mt_#0e-I%@8Dpxi=-Dt;#&<^3^DQn z>EK422CwBK#s?-g83lN=z-v;bKqgFxGEq|zUcE8+36S_Nw@ok>V;^Hi)eM=bQyi4T z#5)i)jk#@-fpP~41@JM@0SwFDCOgJG#|R*jDH+-AqVp>4VwQtAgA6-oTnj()F#?_g za?5GpIUsI(9r-kI3v%o@(+Xf)b$s2tS|{Q}GqLkAj^9Oi{L-VFo0{r68tE;25CTA0DU~}!|3|TKmX{ffBx$2R|l*Z zAfJG^PpW}*cZ&{;q3i9iphn<@AfI4NS&A4@blFiYCRK@~DZOAgQp>nS*pN|zFs#w4 zBFyfNaHLo!kWAb-W^l)~5`dJEr2|?;h@q59u7I5rnoLRv(@zlKX-(qSM(u{HWz<<&X?8p#v0;jEIUl#aJFTyUG=Ns9B}J6hSsLNADnrx;gf|ohoKkeSnX}* zFgDq^Lgx2l9ER>{CoCj)8lEGcoDn|BvN_i4q6v`Qs)a64z35rKc}VbaYd84=(ScN| zG9(=Yosx|#judptcv_&u1Te*E(Bqt8C5}O=tT7jNDe3)&xP}JS$P_Y$og~CDY|Oh+ z0H7j7d=*a^_V%kZ>Ng08G=PZ)2y&0ZDN~=8qhkbeC7`gp0g`g=fC@Ee=C*}UgJ}`l z=aXg+aUM5XDSo3~tqQT0wK)RL?;{$x=Q!JfNQ_*wzfLfOYQ-|RjF=Lw6u{Hk zu5R+3mtWcY++Q{1p57oD5@WP1^y(ohOOfPU-+Z6H)~Qcedj+!RRKsMiG8SE`WM^Hf zZnhoiT%(2Z5wT6oqy|+OvN~_Idww0#6GFeieS$y`lGf&99#XR0^)$Z;V^hfx7fgj` zEFs~%jbR^Y*#XK+99F5n8G)J2c+6qC7+m5b1r^Mc7G))*&ss8>5|%s4G4ippqr|OF zD{p`&e%RXJE8QIt?V-QgqQ@56 z{D8I^(+R({NQ(NDaYl@HxO(IF8W z3ABNk4g8!Mp}-T;@PbIqF^BaYa5)HfnC0|7rf1#RMl6qU&e<{X4p*2gEpnZ9slbdf z1dH+=5nSE=gcwk|R&1{6ZN8{Y>L zxopgl=g95BY1eEmGpm*@sx# zSltQJb;zy)MAZf`zVMWI4J#^`#Yt0Ej0N3?tw{hYaAGs2YSU4Xvle@S#_Ii)nD=Qb zFHW&*NI{h5k6Ah6m`DQ|mk>|SI#EP(UEXzK#F^P9J6RqVIG2=1P+N)H)11}4q28N0L{ z@M4Cg(yY4}T!ezr;>=#P03tQvW@93@5o+}`KwKUuq{5WwnobpPC5LQWLJ{E*lYn5$ zRa)Lj$bP1jrXU?xi8c&kR`}AbVmh2x>ui~IkCzn=Kut_|406h3g)1+*F(u*KEW*Ec z3s6!#h+-*8q}XDJML3NSmI4|sb%?Qko(+H%cY3DobQ4_$p z5Nl=6J|*6i$1uIht1fULbT!z$GsA~hVT6NEvwbYL%Q!$7 zn6-lSaz=WcTjxFHqzy_Blh^O~v!3S~&Wvr4I);^JM6Y7I@aObpp|N)v!zx6lj}lR_ z{{s@jqmk{XRRV@TG7; z4ybt$yg2EyAot5)(5R0}3&2Y-OW;ZCkuI^Aa*cpN0EZ>xK5v3`M)Ly$FxS!y@DNUbDGkI^D*%z=h6D5K zZj$G8z(sVT-0IS#+8ZDq}Ehiw~ST`SMqTS605cPC)nqD%=KysB&G*Rru{HLi8~^np2gY839g)CyuUj z+(@st6DBl)*M!2-a4~K6498ppgO8U)$nR)O`YL#?xo;fChC{q8XI3-jU=9y}#z)ya z3k#@I1cXOprmNsZ$i-8F@>3PItJUI>%0hhj2iF`1>sz?d z2c{}uQmiLN1qao>4T;!?$P?6X?~Ns*pSEF|fCb$G&#AG(&OveCPdI?11uvG!fsQ2K z#It~{B>y%p%%_b^1@)(fE&~YTlqmE7S|D)@D;NmFn{PEdkAtXlG z879j>2+T7K%PfCo-NeyNnqQ%tK>}HLd#F~QWk(}4g3=hjd2nfX-wN|ra zQPgM-jBW3J_q~P69@q=6>OUY2(md=Dxo;E<#YQyCLvmEK zxWR6$W&{(0t)Kx7H=hqqOEj$lM~pSY5$+qW7>@Ns%4bImDE;sVuq0ul_6S9!3_bxA z;gy}526}?T%vIx2;JgfMD}pnlO(tB^R$0m0RI}^5IqyQj!rB0}T#fS~G{0s< zw%Pa4^|0VT#e$=3>xQ{+_|l4m_{AbeT79Bqbb*%wYZ9zTx5-D>3?8HF%UwDhdVxg~ zt#Cu2431A0=)#?Jnr%DDp5(ElzEKBdxQ0hJc8WO}=$)%kda~Q$Kt6zWx zo(}wJ-hEP}2;wbLqPsQ)QFq`$OK;R`83!nMs!4CuYn#lskdX^EUfQB`e<9g6=-s_y zQp&JTC%Gb#2a{4<+o${G7>HIL#A$!J)qnsE2T4v?ekgq3|Gy4#N_4 zs8^-CW>Ln;TC#IXJZ)0K5*67V1xP=C`-j+}oJW?f3fbEvI^p6MR1k97v7RF0mnP*E zZ(F?P$}+736G5QHO~E%0;Tdm$EC^{cD7{oi3_h(n!AK9%VE3ZQHSu!dj7u7YnAMHM z#SY5`D}xOrUonA%E2cYK_g5BcCS;uxKZm4dde}v=X=VF7hC=4kixxK3ucVD1JNyQPBoF{b?}%`dUbsd~uH*jP^wSqV z$hq{LFPH8Wohrom79Hj$o$i1dy{0eiOcwUBhA-^QF3_s=T-vYjrR_{u!B-7UHRA4r z49^uyGwqUp;R>xS;;T{yMFhNOg2TV&3#A?Nw5@sm3tKZSXh@9=GTZQoiAzDwl@Lh; zqGp_4#%T@vMKCeF*DruE^@YXxKMOgX6-lHwtvw{YYpul;yRWR|tIBpw<`B*XnWw); zE*=5H3B(&suVxT>I4%du8$ntmj&J!SL39W+(zRQfll8Gxfh_!4fT{1?xyy=Lvz)MpoO{pPDV zcmMLrBg(O%4OAXr0Z{~cDSyG8CRWV`n7Lw74(L5d2JQ>0tq_VQT?%MZA7zES=)A74 z$D-cFK#Vl2Kpe5Bv`pw`gCnkxa$q*gWYX<#nUK-gptw0Fd8`qM343<84oSEXyD)1f z)0lZV1dV0u>1-raHE0{eY;Lp6ua}1H#=6k3&Sw|gp)(`6Th+srVrDu8G~UK>cCYN4 z@fm7cH&)qXA){Ylu7T)jB|C3+qF~D2CfTzg%>nkrIk}_I#@bL_P>!26sSMp+TLlkK$k+;0F$(QB-!CifCmEqC?fVJ6@a1*K<{m0$af`pEGV;c_O+t~{bXa@D*%*#UfOI|{ybtb1Z{q${>G z(e7HBcrXZbUORUE&IJ@}6DNUFcy#imgchEV#(wg0_|_KL{Yl0dJ9LwkRk0?OjIFu5FhtM=p~yIk-MsrT5^FHHM^ z>kKoO;4q=(1I-S2(IE3=X-#cnl?>4*=lkz^DtLZ{tqF}B4lVBh`Y`tNM-|OU32unA z!rZeb*#5%O=%L_8FFfFC4!sQrBgv%+AX>wZ0oQZr^apslbam?~R5||-a899Phj7RI za#hV}n){6;J4P?h4>2!a3K=!!K#4YVVAsbi@FjK7%w-Ys`Km~fLqz%M|@oM_4 z{%hA#<)vVqvU2_uJMlNd$~@4jJ>-Hj*rR^#YTglg4@CSeGKAwmddDVL9Pp}@W6!+( zWU#nYf>-%>{iy-O^Py|ObrCqxMlY*CWd|~0C%V*?J5Q;hzu9*>)Y10&f%;_4+oQ{_ z=AM&qGm%_w+bo{)0CC#i9QdfTrqs1MQC3>>>SKpPe+mPu*~IJI&WX3g7d+4G0v5KF zV^8gxZ$Gd)QG!1W2YNo;SKrXwBi+kp_y^Le_t|tS<4eDwb7^VLgd9~(cGEs~wU+SIb+>X&{v_uX8UH2+Eg*{8? zPimw8q2w~XGx0NSXYCQe0kPnswtDhUL5Kb))QDGuJ5#;bKl&~=bu_)C&>?k&0sgc@ z6(1pB)*g8h)Ng&bS&VXm{!upu`;l87&*dKb^v5H*M%kj~Q!X_pjm`Yv<+_1%UM0lzpm@3{;kh&$3ADbep zr3bwGxleIL!96F--Fc%!;!UeSv9=09iNV{8BTxvv6Cb2W;;GZ(a({Da49If#&(B-} z$9^za!=DG?oxtc(ugZREWYxH#27?WG{hGa9TNss#qS=6t-;dMk(L!6b+^ho*pz zEy=a6QQS#y0hU*2<%ueEic2k$_s}EEf-8rRXwgy$*q|%w&hYP1FFE++p3fe5^z)~+ zD~li->JCRV=zxa-TAsFBebAeTfP@mBAQZ}0bUrom@heH8f3aK>;n3JiD?nxPFUi%hZIOnPU{hH|nv0jRI^ z3!zDLM1!(Xje%+!)<=o($x;^F=q6?1fyxuAE_@bI%MM^0-#{Rs4ZbUH8^b&{Rj4Yk z@;W5ADQq(sV1Xb&O$-U_QkgQvWIk(TDhgQD929<=_bZUyp;{ZHhi?3Q=C+I2M_m~y zr!b>)-HPAp6ch?FhD^2Tv+x{{K?qUZu;WEWqHO~JK9Ulgj560t>tGo{R)8B6G@A&h z42lR88VV29Stw#p*<-)9+UJ~zSasi?f&f;w(>@7L7SMA(3TR`jd;^u`UqB3@AjEIm zEoR#<2r|MHn=p@q8zoYEdDKB?DU=MA?4q`pv(u9r6^cXsGqq4?Y=B5~9j2hPC&g2D zN!X{j1yNR2yKJSw*2wfDQJR-xX-Je9)~J|rn}R5AK(KYQ|NfPi=W-mdZvNlHvKtxISYkBEH zZI)lgXj>ziD@WB#bCmam<=*}1|OJiC#$H;+^XZhAy<^-KZF38q` zO;JX>&TuJnyMH8Pum+cPXzi;v3Fnjb}KA zp`Q7!+)>6WC}l-uGE~@^;l6j))3Ku}Al(7(S$V5cMWA}>TbdZELf5-y_)IzSFj9tT z-!vN@JKOPu%AqPj8CROvuyn-HR138@GS(SOKvh~c&-RMq?b832jPPy`CMrYlW+u>m zST3aZcPj-5tIYkqY=Di+=M6WM!_W|x$QGLNa{+!yed+nj(c3;;y}I_~ux5eYdlJ^d zptD}v%*j5Jqgwr{R496kGqNZPtkZpl@@Rk%N(s6Z(rj#-y-Q|kt6#{XvoOGHa4)GX zU`AVg*;w#Vo(5628on&zf#B?zRzg|5fEg+PWQa8iaYf97c`0NEgj)pO50{JOHqk*1 zmj)Dp4q;$R^4!fuW5{7FLi&`Ec!~p^PDD4;t*M z5^5^F_4HVeW7#PJy&LEYTCwW($g6m-04D+-myuCo-NFF`3ls@~+>oGo_~>!tlV830 zi0^k_K=TjZ%UGE@9n;{~k~xy*>1zNG9HFQzY)^!c3DiKtjfAoN<=_ zUTGt3fi)VoU&3{{wT;r;QMrV*X*Ws?ByAxPN;7suZ#3tPpR~*yhT;)@=1Z-Q``Q6> zPKhY@Dzb9}V^g5`lX>pf3&QX=wQg2?N>S^Cb9zBLCY7&r8!7haO@^Ip5XX$222k%U zMdmr^R}#dZuo;p#{2JSqY9Ogzc|fw%up}CkMyuFBGm; zgilXaFcI7xcC-~V#tIZ2=~lsKr7Pr8X}vhS89hzdMPem>W6T#SBU=o=pg<&=nR(R6 zRfQB{u#+_c!{kPDJIm=8pM6U|pHuj~w18o>u!wKNnvDT$AlS#RQ&k!`uZT^9<(C0{ zolx{SH0C}|N?=!)qI3y#Qt(tQAnppj>|Dks@wBCc$&%Zy*GG(88g2?1uPv3 zBKjG$@$!kk{Dby~AEdu1jBQoQi`ZFmt$43EJvPi1(LLPw-MNq9v#+myv(B?2ce*p_ zk`^SdU*;CL`NEBe9*c`+g3TA(F%=4k>Ev_qxv}d+ZCNf6jS=3RZ%hR*iS=WySYg+k z@lbSu{VO9&y1~J%009@{IT-b}q20eVw>E*Ty<@CfoyYF-TCsk_*|NVLJtNkb<9h2+ zR8gtsrJ$FQUm?ehj(X<7{5gI$$g~@U{ZxzdE})|O$t{AJDi6x_vmLeblmBWiVLE2`$OW`ienE0vNq$rbhO zDm7*$=h#zW5VOusna($)8qPTfQ2 zbJK#Jy;;bM_p(oy0Lk5g()zF|HKbluJz}v5#8k3JAIpoNGEC<}^twx)V(7ceQhnrZ z^aPz5sV&&*6@w5i4kY^FA^Xrg+oj_s?}JVFV23~m0Vz+1c7;gZ&2-lB=#dRoggua(A$A#2pIUJe^E zl7I=+T0;}b+Fu}2<&rUJV6~jI4?}5>Uf0cvqQZ*Tap9n_!Q5cxQot}wwQ_gJ!$v?V zm27%}oQby=vm?mZ-jBEp*jQC@_50fB3B=^6qF`+xZZ=o8*699C(lz9IQOb4*)|!VO zdiu<-Qdi|-#X_AZbD4q-0==r`DC4t|=aK+)1KI={0te9Pq(~twx7bsB2D_fM@>ZW$ z&I8fyN<&25#DX zV>DN6@loxpXf2@?Re7ak_FqL+|2ciq)MfXS?&qAXJ2`tonG`sOA%KZJP@8qyFm$oo zYq!9TbcyX}Q4+d-vQNm8hcR@$A!uw6xmjKCt+My0IF4zw@Zf#|S|96Dt_e%|Sf6zm z*5oGo388SGoSNae48i0o#Q`IFyw+dd$0e8t05`~~S00Ij!NZ_Vu%90|67 zVXS9XaG5jT`?Y*#+;@(R8T?P2@+=fp<*~b~I;AmaX;8;x+IKC`@o@sc#ahKaGOUxz zRD_>qL$4!0=h+&iqxnoQVKM}I28;F-2(j_EtCH-kPyJ>2nMarFzP%92z|AA^EvV}>8o=AwEXS}%5R))LtYnA2L8p;$Q<0~VqsS*80ZV>>MpV?cna4YQ%NH*Ezh%z4CuBgY6H#?b#J-Xn;gg&+XfnN(x2dnXXk7Wjpfh~)L(W9BSRRZ>no``45zE~w^>Yj2YL&S1>|D7#IIX_0?3gp+O z@jJA#B5G)zc><$$qhP%{*9K|oH27p)J^>YaV9hMSNnhS7aT8odB z-|+2xNcLcUTAo`kuHen8W!30Md*&HC0dqhmCuUDP<|+J z-q2_iaAtCy;~V6#gv$CFCGS4yoe`Q$UZ!RQ2i-B6!QH)yol-hwL7_AJuR=-xd7`=; z)aDGq`3@I`6-ilr8MF{ZU-9M&-kPq17aza=)A?Ut6%N^e#4#TQ^tU2diAxAhm}1@m z6c6ZeB@~Au3V)ITLd-1LqHSR0Ho}81AYKxDY1)WM;L-!ECT_h#*`T$Z@mZu?!A-PT zEhDT@8^pFv0_J2ey$on*a(9PVRUF}=&QPO3bymkPqk;1ES?v~{>S8xy$fdh|xm2dY z>eh(hThDbV%GJcy^F*L(1^X1l{NHH9=#OM~4K?ukdtYH7j zHZA3|LYEC?GtN*z*vk0m4KVFI9Lb`ES&iBtkERBee_j6MN4qcP-Uc6=9u`rf+z?6>T6_iviI%Vg4{Vy1m&Qba zx1{4_ln^c*g~o9WVq#ffiBJ0Ai~(a13*fI<%UFpQ^&W`!Wr-Gzf%xBsa#e()Wy)3# zItx-nAh3Wz0j>fil3WXJW~rcuC+VA*Oj!Wb zOdm)k#tSyZ$BaWr4W=Bn-#}llGeFlO|am)gn27V_p^}k7r@Wbj3mH|s=COuat>w#`~EOU z4N(S$sF7j?vlq-LU)cWHSNi)F=z5SGHIy^Zit=>?U61OU_Al zzygPlnS_RoxQj8V5y7WYvWL$=0tc`evpx(fF%8;dm1x1yZ{d~nhwD%nf}#7#umVES znc+I&I--~8^dhqG>Gn6b&*D>sM6Q3hNQ%Qkt{oET&PM1&vq(o6AEnTgm8Y#bZ((Go z31LK zoUz&o4O3;K4Uvc0^w~6-*v^2irlz6eUbkDF-59ixo6jOS5AAyTkE@M;2j3_?ES2zl zMAKOdv12Qr&`4Sj=mcAKQ{$RVvk~5^`arA_1sg#&4?XQnCUNsrinm~XJWY$1jk*C~ z1S*f=Vvu#vy$rGjl{SKJ3)?p`vWj83L8qH)@j(<_u#vIjgfa{n^w2QSXQeH9927tW z`*8Y%fH%2Ipu`1Pm=#z%EL8!v4F!-Ccq_=xYA81lpmBryTO#e$yxYpC*%$YWee{V zc&JO28-*}m_^jZAugy$6wY+@&pHBV}-UY)3&?-ifXqIkRVOEvTR#=!Wv>xP^{?CHk zd5S84OPWey#V@R_5^aPRD2t4MPiLF~Z6h%zio-U`2C^8rkR zHUpgj0vHDfB1qX-@lm*~626|z4uLfc34J(e;>D8B#wmgzXjxJUOXmwOrU*7_iBch@Puw}j0R*w8K}A`XojXuuNs3QE=&5l}~>i%Bu7U9F?VlH(LDIPcD6O=CeDl&QxaZGfr1< zdz1OHYnUMYY-p=k!8PgcVAMQT5Pm{m6?&jvS-I;ub4m}%$J=eif;)?%`FU7&_h)h=~e^`oG1S`a^lNW1&#zW6yw88<4# z=g-xnyvQ@;S)qf>7^c8x}S!ggHO6qjO za$&i;Sdc+`D=JHO4!;$0Z!Lln)_Szd(WVC>=Uq@(D^zpkh1_^aX{4IotnNup3oW_7 zn$n|z&_~@{&_SB*Y-p60e!g!nlRIMXx`kua=yL~7DIY;H;y(N zZl+CT%PTK+ch8xj+)6)02j3_c7v*VL9}gh%L=!GXUaLR)j9&?5 zMvwECBQt#s5s|-u#g(ZNbB<{oxmn56k2d)3tSIQZpyYGMnTFGfbJ=;I@jTCl!fdeQIme`RU{Q>SJaid!PXUum5b#GY$G5hZvmP+u<$kEC;{Y1ZGMJcDh z-wloRB`phQll#P(dUY^L=lfe8P@aPnGsB`g3#DtLVo~(Qk?P#0(){vvZc%O9AsXCr zaiO%WM6X+ySB_%AuEe)jfAsZ_&wR>#`-;qS%1?0YpV@jL7?2(xWNL7{H3v&EnI^74rjsvZ=3E3cfNW3AWN(K8<&)(LAXtRL1U(49?x? zKocj4^~PJOqer;GWOt;)JyNCn)x+)_ovD7cY_Ml?L-#qIEpTg5f9_|wCxTDs>Qhnt z)56@=DydS^x4R1dDJUL>lnkoDMQ!L_*Zc2x$*bWCMye7D#qf^23gNjs#QkXC&R}hc z8ZDcy>d=yYJU=U+SA@m>;qKez)equhOAU!V3UdkIPF27)aYEU`s(_yrBHvVsIb+e? zT2>x#EVq>4_rBG#L+}fya6NX!=Yx@cHQevnwL7fh>e^D73;$faD^JG)|vSU`cy`V$Mw(lLfobPQte>Ri~DwxUbGV!_uher4=UkC(!qKH>>B|DfrAgBexBu)pmT#B zR0eUOehFW>zkpZOCd|D^DuT^H<{$6{d^&lUxvcP~PakG3DX8E7*YF4(ksVtvE3Pf4 z>F_;CJp8&`q~Aj#=fuFHZQZ#(DlJ9jOhk%OY}&fRcdhO1@=Lv;FX2#+$I!mrd-ms~@H^Xh6<`hNDl zD!CfE2v+u`w3Q329?8Y$#N_otHhW7_L`;J;D>3;(?GBmiXQ*lJ z9hqd{bKHKr)hxscQCVZo2SLk02=_~VF!^T$tb%in8NGvH3^L*a@FRxGWWshG?AKXB zR}(%3%^p1v5{2lCDQoBDOmIegGlhyxmH=0>(L=J!Fi+{p8?Y^y22h!y?IU>@lE^&q zph9H>>P!?;>o7|~+ANap4p5gcn2@ykS@~a_@8|sDqpQLRLf4xCkf5TISa`6|Ly(@d z*`dy*&ai60At+PpSYFD&rUWL%dI|P?@QNj1;$hr8x|MKziPe$z2+OQoDnpnmo+NdtZ ziLgwN&m{2gv?#X#Lborb#fJ{qi`LIgf~o2rAgVgO0#sl79iR* z>D`o+GAhk$`*l;+jn~`ofV@3l@G>&|yH7CBO4zFvKw+ogR6Pz7GW`v+q7*hcXfx^; z#c>~wIR!P;8=Q3p!9~1qkW{`QcNEAld+oD~M@-#sQWQkvd)UYv zbiN17*NlOaw(%O1<1(ew+;$fm84P#NxJz{K@9Ekq00ot1OH8()#W&JzWXNs8eWWC; zga)wuemFGHYK)jA0ADul$2fR6GE2%Lq%5Y`!&+OZ5l(gsU5HuIDg2MDe`J;`E0W4a z%S9oedYy9A84fwx$-P{O@mPJK%L#2syA#fFs}qMSQ6v%PhBZ&55wcO|;*s6k*Z`wE zh_GzP!~7KNK^X$w5s5m5@f(nl;wsS!{5Vo}A?g=nxC}5a>N36SP%q>2^vW zONF~w*?hV$=l%Ap(%fHe!2ife6oD^#zS3KxDhot~H5d$lNC)IO2P4n34~AOKtPBV} zM&+_0^^BXLqpy)Fg>06SdFW|ai(%pZfo;(CTYQ89FSXHqD!)2V@Ca{3FqBS&UTUT$Cxjg5qIg8XKPH zL?BY~IFn8LJJ+O(1Dr8q-{&L?Ae(Ka3AZzjsafUvnVTxR7RQ_O7mb)x>JmDPy?G@L zxizodcONWL*PYws`p#-)q(FWVQNy((-$2#)m&S>k-#dSx9k=>Sm#Sh`_RdO#N)(7nhC#!;70 z&SXyaakI&)Q7vL0KE96COGH(?pk~WNDML^uHRBRFBBjVt;@fW@BSNk;`YI4(wR!Ue zH}Y+jSY>=O^Qa^bpI{|VA8oBO$|-Ag(5`T=NEPC7)$s6H6N<1zaHq6RRO}0qZMd3w zQSxIqQzL~aJgU$G%t+ZNpLh|~8IlkZ!K6X{I{<58^0vu-|~P0VbG%*1%jr)~O%g-<)_L=R190Uh)Y+tV;9?)@KOmQ(}t7E)i46Zq&noYbUhh zh9#8JBq<2^5V*BlnibH5IqM`0wZDScbjSZ@x**0wfy4_9^Y2H|Gu1lakH5l2{ zKSd&DsW9x(?&kynE|a86Fn?K6yC#0ka@{EDQ;W z%cpBd_i8dIttNgpdMenuG%+`*9^jhQrFrhXf)DB0;=a(kElbHoxb`oagZMx8KS_@6 zsxX@i#CN&n^5#ZvMHqT5*!om(S9@^u8FCOa`@czQ)V*!>@trRmDQE)xz#781i+9}F zf&W!pJ_*?L%lc4Kd~fJoWA5k+&lfaTFe^;2-s3tP>VV?&A;vtBS9xkR`SS3y&E)LJ zGf+evoF7}2#olCDvW6M;ynxR3FLu1=dbjC!L8GnN6})(CAh;OcTK07MujTSgb?Yrc z@#+2V$}i!?_zHW<6uK2Dh>~Ah29r(w|1?gdPfxaC4?qm9j`2bw8r}CjOU}Yp8 zUWy<7$W!e&#gOPNxkE|Ua+iZFKM7SOPcD_N9D5P}RE=~z-5HvCYODoJP_^%vSn{V1Mmtt;QA0Drqm`~z zw0HOtt`3$dfz-;KKPcF-r?B+lkh{2HS3CZwwwFEVW)IzYIe1TS?@BN)e(P6{EC-vb zgq6@^<_ESU=xj-OJu!rvH&k3`Mi)S zs9P|lMRQ|;d3Zca_;AL3G}r^^Z`}FvE`{mB>*9^13#-BfBp~kgIw@Ji^@)e)fC}Pd zZ0Do^IzMwfG=w>Bwub5d5!v47nd&~_pMf?vF)pjKP9Z|~Z1*PohG#OrGIk%S=ITjV zasYRQqilK0by2QDlw97$xTjhY0#DDz(QL8?;yxe8;@n6jJsl5^H*s}_y0j46Q;Q$= zWXbYKQ*NJh2v6gMqIINX+PT*m?x3R%{19v0#{~)<;h#sXPBQGoS&K^KSv6c4zD9YU zBManQT$~x=YL)%`gXmSEQjw2|TodQJhAl1%N1d=up+z}T9rbKtQufrN4UYRu!ZGJ; zv?kCl?6=H>NAR07Tu@IHVI`OOjVZ>oho1Y5{MP{T>WGkdUAbzmjR?{TT&L=Qvc zX)Lx?1JYo34v2VZt7jju~Wns|`Vn8xiXg584+y!Ic%>Z z2si>WAch|0A%ExNpq^Z}Dn}T*x{l#|h71oa`~tRVQNf<_0oT*DEy=}g24KgfBA#OKYskguc@_>r6VEggpyibQVSw+(gv=R2^%*CC*IAb!C|t}MV;o& z_B2|IjkV{vu(g}S6r40zT&@7-S+=4{HltgI>{A|bL6jd80Fw0qe34BHON!PKmso?! zEbI=!BHK645b(_eN(bA&uE%{h6?i=*}?ez6GZ0YN* zE&5@h9^S-3wVCS;Z}YR*yO-QQWIoVXve)n3`%2qtZ4dXLDaG&Bmd#sF##@Wv%r7NT zvDpd?!QAMM!h;cV^Y5Zlvm+(R4rRtr;-&3qU|}SZ$NkLp&-9-KZ90%U?ilRC%W+4~ z;nP=!ld;rhxC9Sr|$%VntxMf1A1y6?}ENj)>Tb?m>lo6U5u z_Y`;bl)g>r@_(wt<7Vt8Gkh`{J|wj?ccfY#a1Kh%oV-lRUcIsRW@F)J(K7m;u(Wdf zw-5;FUWYsI1QUCmaSjgWHRYnv-^zLJ{^8UnDYfPHr%=BX>+W4X@}R!_nx0$xVRxtW z;f_0oFxzsZp`HX|k0|3qoO3z;E%uvFgma}%^s84tzWts+By80%>Wo=;mRZ?x!aUq7WdaFKEc_aN7DRDM%Z0dc6ALm!ylqJeI7xXoQ`Vy;#n zz%RWyB7e{|H9K5FAnJGQ2FDu?w?&yLYNeK-G^5}uR9o#EH z??vtd?{ZQaM^`(`g z*Uv-hbZx-oM7H_&oJ6-bF0MPdL%MGO*OL z)0a~suSZW?4X}+hO_QRL9fdnQCGinlrU+$qP6jog_Q3}STHY+_HarJ7mlJ&EL?i$P zIvveJ1EJb@_{0$X>WQJ+H(rvj*+!R56!n))6!u4ou@uP*)`J_kk8Rl_Lcet~=I=P% za)UE&`}X3CBvwh%b95B=vQl|4M{jzY1(L(n>0R~Pm~sbPMt6AEW~jlzf_uc47kZq7IqZTE zdJ-o7@kDBiaQytui<|_rbfDltv=D4#3eGB@|7H`nS__lQwgfW7V~j+)0)IYaNVA#(NKvk~_xg znY@YeyWVLF2hh89hwyu@3+l*=`0Ly^&{14rHn>)Mz=D3K%`?79J{0U=F9n+rv^%o% zW67PQ5vY9=4T^5VWX!PKMr< zSJ)Ssr$&=2>60Jcg5nc@Pv+_K;|s1%$x{;|@3h=ik>5-vxK&h9P(OO4u4H0`iT6yA zI!{I0PVsM{Q{^(wV+ZjMxUY*Zs3pl2=7$(;ZqWs&4@XM(*{@*xd#{Wvo9ckfxNqPH33ISBKQJn3F6x3WGR4! z{D1)$W>o}MtoeKp!b)i9-KEve>;H1oudmE40PowQ;PnomS)rGhHJD@?7VM*iv9FCc^?+ zFqG|!(9Z+9mB9=*upR+W_{*R!6Sb5>Or!QNWB7yk_3R$)S%!n#OY9B zury6hLn6hZGzB=CTTlfTAR^FtGPk2^vF~1RMCUnfs%IKfU33S4tOv8uI+za?$ogPX zoa}@SBZxB5o%&F29uyeanTg|_}`%`IcLmmwiU3ogFlBV{&$v~(xQ8>Awi*ut<4-00UzWHb zMmIb1mzF`TP3c4af|lOe3UN<-TJie#&glv+N`)MC^7-xZYVBLx+{g}agr*iQnZO`< zX|4oy?Q-WO69ehFDjI1he2EP2QKn{J!a`^I|6Os^E=4vKt#Ci%4weig`l=I0L@;=z zJR$^SXM`!*>p(x-@4Z;~8vGpCMpt<39W5U&ZY>&gqK|_Cv>G`|H>3D6SLXnfiXCh{ z5gZGpt7WkBWIcG1>#MxTY0KPaQceEvr&FG72Rt|K;2*vTJ)93Ztp-%vGP~=M<-)H& zQbsmA5a>2E=QG2FVNh(a^K^jau~K=V7?L5&WET^yV3;yy=Y2h!zNR;Hwlp{rXZ?xS z*<0BJuok_!Nq*QhzIEUGe&g+%<@4qHy5peT7SzTCwG)9}W1EaCs%^Elo;~2LXZMh) z`&I(Q+thx7z&es~5nD3x0ILzvA9GGgxwT@rZN~zcD_9=O8sI zdJe43S%rMht1xF1^CZFp`xP(ilI z>*Qnd!%}+2wvNV^3pcmb&~;Di1&%IxSrIs+l7zn>3s-@a^l{~Hx#ozuK$b}t7!1o` zDd<9b>DyiG`8M`%?`0or;%3~hL!12qcvpIBUgXK>R@eA;^tLy(1;2>84cLvE3VTyU zY;v~w&Dv;nKg=1D!D=|9Op*401ja&OIet*uH3X?Z0ib$}8;Tgyw?8xPxs5{x8X=( z;6yL?nzucEF;3Tb{p-pK-|T3=U}WD57I;e&8ls8Z6&IJu9vn+g*6REA2gP*{?nHf+ zzu?xbU0ny$S6A3`1EX|$qj~bsRzkqGJ4nmPA^H_>jVVX{@`6$_hoyM#v3S9;9>@D& zfk$40b>mM@R}r@jFL$ReuY2`x+`D#p-5L8ivoOGP!d#`Vt`2E(>%K*QYRjGhbUtl1 z97Kk+@Y?;=g+B&Fm`i8#aIs0ZteM|({U|iCD2XHNcajIWy%%3C!<$k9_Z{K$AMakh z_4rkV=)|!CebJFAj`RsjF~ALjj7?jG0Df=oYzg?1*I~)Y>eovAasi;`nC>SE00bBG zuWW*~jWa4$cv48F?Ad@t#Vx4oh&F|0dx-!phr}Ej%@%5}2<9KkH`3~4v zvh&45yQHbokrFJ^NO?y^8>`6FXcl|2ZVTd!vTj}k!oaKk~R3-a4; zTJc>DzqRX@ob5MW5#QRaapm`HJJg>+4P5$`TzN`Q-=&{{9~e*}$5Q|+KvBXMPo$rQ zVfCd+m0`d;vh6bbk_|M_Ya@Lq9qMt@f3x}0KUaPOY7qF1`k$WfZ2RZue|q@FoaHU) zr(r;KF7Or5hMmEu$*4ri0i7A@pQur(Ng+^v8S>dQ=mYSS8n}}nTP4z2$O&-p={D&Y zxZRMBlPv}LnFdr0Pih&gnQ4SY76>nF3KV(yfKPIiFkE?>abTmKup5SD00Cu}U9>2V zLb;k8_KiqN`AC|A2^jCYaT0g{@K4az-C2fCS@iN!$$u@Q8nka4-@-Ox3-7HHW&z(% z#=V4ZA!>uG4Vem$Ij_%%DLw_K?ANFPVEe7(ATVL`$=M~?B1lYGs=O@FAek*-JIHW7#2BC>5q?9KM|Gh3f>LLg4WP$h(zBB|o=y^{ zXGF?|-c_p)UYH&h9-h|e4f#;)234IPNq7ewC!2@w0<)9mk4sn4!5=87@q_|6?aCBh znQ6!!>*);emtZ$~&hPgArS;n%zx8+UdKfvO_JnZBtgDlIE1KAC>i4#0aJ+OrEjICKmkT0+cW ziSd*{4bX`Q=m^xaO1#f9wKjght_Dqh-r|2b0gFk!^lZa8H$g z@%F>aO6V!P>rim@rsj&^N43pN{IlTK^J`ufmxK3I?&uU(Uj1pQ^kH%hzh>pJQ=$LE z-rGmTah&fY(U77 zKN8;u$#d^}vVZJ7=kA_8?>WZ}WF^Yt&(LOzJw5?8?a^}kE zGXE;=We+v4q_#QF-}VH9v?}D?*luv;4ue-XNSDW};C$B$OzQ2pc%KO=gUE6REKWNI z2JG_q^JE*ZrX!d=bkCdQ3(`_Ve~0Op*a)2OnsS!q!}PllRlGQ~jqfNQ`vUlXByn6m z4}D^Dn9eD!z__F-u=IRvNR=E_QUYNoeiicg2x zJozK-hRrFrHgbeOhZAJNi+q}|`W;hzzV;VvO1#ujoSu7(K4gu7rI*>#v5|ib?;3gl zw@P=9r>0l|ymgjeSyFF8x;Qp~eH`3oP?wjNJhWwhq$NMBB#G(>q8ll=d%TpIFUO)60@D z80rhqeNs0v&aZFd>FHYXn7j<}*8^%5$pJJia)hVms3I%Q!?8{09 ze!)D9RUIZkrzT911L?gg@<7D-;4+Y<8q5fQ=P0XU)rRTytJ(@Sh`BQaq7^RpDexOa zY$kYV_ucQmTy*27;rHscDoWjqE$H5TI_x3~2J8AgD=5H}Hw5!6fZKcqe223-x5b3Z zDQHd$AqZuIXbq?yraD|Xt-!KI4rrRyVfOwYh0`#bMFXW;z^Da7eH_1y94NwS6w9ys z&0s=-@jP&+0|2ecaTq|`3G>=@6QML-%>gHX^&}xR4I&>lDu^Xa3n>3CDQ35_me>K+)ee;#@iQ~kt}dLZV1@;&JeL4^wslm~8Kih= zXu`dGA}C$usjr0mqIU+(fpW!@dYWog7;>yr*GJ9-L}e`xqWt67EJlS|y^^La8oH`! zr&h1QRDz6rwV=Zg@}_QGg3KX?Pze(xm@(7TC|iE{20RcbaZ9^Ekp?eu#s-d_f&ots z6_}DoOvyh63c7{*l>3-OVI>Jy45+0r`PZ?0C61f8*A-ytXfr_w<0CK^!ZOW=%{m9N zMNpWg`u>Hj&Id&wed!7UknCZVCww-b7cdls-kfU9ielk_X+;P0whz05~YaR%(IvNfpCwW19>u=(_&51zX4J^OdX`sLpU zol@&+O~tC);}IM&^B&J9wBb|j4Naxp`(&n0iAP(?u422%i%BB?T$UH5sU}(N)Mstq zwsA)aWlqZufn<H3OniFQC=Yi^XC5sPnKaZq z{!8iRCGR^H4QYwJ^F%$XEy>}M1Kq~bL$3|~P0{wc4^f2XxlVl%y27YXNw9>YHq`3l z&Y~)OU7WRVe+K5~bT@0}=w6>bSv?R;g)Dbw3-NRhEz52QpNBDmc`XiGO}D~LX%W*~ z6chQrCkuNcMuRTsOfbi5G~Y>wO^0MTZ3HLw3SyO;uN7+4KodkS(vn5knw7-84Td?W z8Ib-4%L7AQk)wH1UdU7}7~B_(>qj9fWaQ6gO1oatV3>)7Abuz`OZ>pSYo(j%gjxEO zF~%Iz*6;zzG{=rv*#zps-sX5)RE&tW; z4qg4@kq;4xGTV39n+#H{2}4wcDc$Y->nP7#gQqkNb$WdUnzdxzr|y*3@B@%Szgg;p zsg%1Vl7e=rM$n;#-Lhsou$+0My_^t#Rh639;cA9z)(<(gFs+C%Rh4?O5fTvCyQMd+;H2GRDt;A$Bgxtgpxs!U#F@)o(oaN<&VNN{(R2B}MZ zG6@;9oA;?^4O(4a&K9`4#R&LAWqL4$ZwXt-2V#1MXinnWXB)_GdO<^)C9VQSybW@}-%L}DPM+oZLf{&;Q{C_Gh0)KZ93?hH zLZ|e#h92(H5vq6C0F1okrY-v%@Bsv61Gg&^icd)zdXz~K3FT8rvAqHR^(XfJ{wG%? z6k0>NtBT`>5`EE zXt-o-n0l4<^K|H*8b?|q342UeJce#iF-$j47rQ6Nram_+%>6I5j3v%jc#*6SX>P)st=Q~T7!v(;zT*OeS_2f zy+>VH6K!W6Or97uU^ z{ zqM!HC1Tlh>q`3Ifvv z2MwRz$vnxSlrg3{6f7@@R=9c0-^X|%OrV@pO}y&B?| zY(WIo6~yv-r~wr$#JJJ`Gf#z}C)krZS65Ymp%NYCGr>_--w2sl)bX9tdYBXdvzy?N zc};RCJt^-+ngNxbC-Q`4DC>D04*D8dATO}E-R$+6V zgU>Ztonw77U42G$J9E57R^?~G)wR?qI@&Cyu`;%unJtbPCuKbODdMATth|t{gN#OY z)SeW#|J4($<3v@*uaov#Y_b^4)}@|b4A+%fb$VQbH4!MR2bKxC{(~}6F5Ps~xAql% zJA4@e z`jw~)N#Ky`lN1=k1@XhvCh!)mVU|zSPif)}x-Tfmvi+iAyO0?V2a{*OIPs~#F9dZt z$55Vo+2xpG7wHGP=Zc=+_F=h14HODUIt1OB;!Ix!9JbbQ3)WI*ny2-tJ>G=wz&hX! z!IWWcZ+JiN1yoJF56OckL1lyctJ!T$P-%;BhXLj7k`ta{X9ql%%>KXebOh zV(0OK>r(<)JcOsPzZkEpa2jU|K`aG9c7hIsH-n3;A)!N(RvUo`NQ7p5j+7pmOY1;VLL{qH%uj;@=mZqc zUrfNWfVx2z59os8GU~Sl*HjgXA#||@0=#}hN0MX^Xu(C}Rlik#^Y-q~eOQ+NG_v-+ z*DgI!)c(PL+6QD`{AYjl!NAuqZv9R6_kR}60rCgP=K%RX2INXC=npRckNa7)arA@V z|K^>4`ssh%EB?=({Qqwjff(?=`}W5N|8%zK;x|5gF3A7YATQ_!uoyx5Lu?X^HYDhm zBa2tgQaA%=9Uzy_f=*jK8_+LD6yYo=G&l<^1{Nk-)p&5hm9wDNR?oV~r@)!uWna^8 zgMJ0=hQOUfCXmZ#1-Jk-4GdE+&=RUg=n!jAcozh_ zA*cB!CbbY>6Y0`qs-Gq)Pr)4}E~G{#YHHAc3{O#0)(O0uTZR;EVV+P4OQbV&8e-1W zu;JaNBdVEV5~yUjy96w$iE_w$Cbjn)mO%@Rq=gN0GcV?7)D817CkWF8hS<8-|0T4s z=%vgRgwQ!7y1UGb$mz{y={PL*(=4Z@VYWF|Y<5@jXe^Z)qTSp0*H{Szy)q7lZt z^Gtav(LztN-k8x9(K6eiGv+jfJs5+Sxx)>Mogwz+0X|(S9#AJL_+*Rth6^K$HFIpx zeZ!sCU@4wM+N26=9*u{*L^E!GoMkG^#O|`)JR%!2%`m?Cd~CE47FIeEgMo{*KEyk# z@RtYZ^d{)e>F75`Tg1i6_QOUAOqYYaAktx@7_w53Ii3i6F#06|>%_C<5wjRN@z`k0 zIA`3qixr!&2Lq1Ir5c)OS0jgjaVAWAW2Z4L1ozfaUvOFTIh6$H)3iBfWVX)(|oqc9wOukcm?B{cr!^C&zx#{9 zCz7JcY;RHSBXUSw;ei}aWZOaxi5wDH1hO>86FDTZ2xMuFCvr$+5y;XUPvnruB9Nsy zp2#7QMIcLaJdr~pi$Ip2)#}hduvIt~pjwf7T8&GAGIi7WzHn&XKa5?KVY zG{+M;B(ex(X^tmyNMsSn(i~6ZkjNsCr8%C+A(2HOOLIJtLn4bnmgaaOheQ^EEY0ym z4v8!RS(@XC91>XsvNXpNIV7?OWND5ka!6zm$kH5786FDTZ2xMuFCvr$+5y;XUPvnruB9Nsy zp2#7QMIcLaJdr~pi$Ip2)#}hduvIt~pjwf7T8&GAGIi7WzHn&XKa5?KVY zG{+M;B(ex(X^tmyNMsSn(i~6ZkjNsCr8%C+A(2HOOLIJtLn4bnmgaaOheQ^EEY0ym z4v8!RS(@XC91>XsvNXpNIV7?OWND5ka!6zm$kH57I}9+JCjFSB~)ib%Ok_J%s!^{P_PK zSUu;!e+W^8u!aJwqMIS~`(L*n{oAF(SFfJ`-&-N`M6X_lFCrQehP;4{OrNH^fxQUj zSHN|S8^C3*L1Tmhi`_RfHA1axWACW1|n>JJLKBv=YMY7?JL zR6&;w*+drNU7i!wajCBnK5!@Y+3;OwWq;6rM&(JSjO%jBM(__FD*Fsw78Jq#Pzwfh z8NQ=I*WecT2IRXLVS*(%2EUjP?11tHFW1R>6_6=_in#FOkDa}Gxm$s2E^h@IBY~R1 zLh5*vXY%-VZHl{e9sZ;G-{KsQm9ESeR8VZwRVfumYr;t@LJc|?b?>?E8!bt zrlhKHLr|o+z!MIsQQeYdWQ@5LUV%sI)MDID%cOPqWh1UpP2J*s?qt|l5`(3ZnDWRG zLhT++((;M0NtDFmfs@5}EW%D2N5`7Xfl1HYBZdd*g45t0|6WEX^}#h0>zR|1%f&z# zy9k|Q>qI4LO2PG#UkfJfsk*g?f~egtVEB|I=yCnL+Yy%hL^0I%DpKQj`$lI=9iyf@ zm^X=xKveNhyUaA)wx|E@{np^St@agM{E`gF`39+Ec?GM8$_D&jAM|^Q>#G@3F`Ljr z=@})hM})^Y)V;TU#xKgaTq~rcu%v(&$ZDNZM|F43^IaVcN=DN5k&x%J6yFX@btm<+ z@*tw$3u=bvQ#=RJKFf_7rk&m->D0#>Wf6sZY!g=6O{R3ResfaN^f%n_I@ytIEOzQ= zO~g!=R&FqZ9`!n{QbuG?kRse?u?oYdm*#7NEzUX>R0!5ch&L#K>7Z)l6aQiAC|%0W zNPf!a>hJvMt7Sze%deCg;kex>Mh($ox*S!naohE7-EUiDLe+^3XscJ!&4} z^|kfZHcfA^qYj;>yxg?LP1(NlFjhmX;|wy9KdrdDimy0kJWe@>m3sJ~8Cj<$%CK@!lLNGhOx%*jOL zLE=rR6+Z4Hoa*{uD!3)D;tkJQk9+V^zrMfss(*apqyKzW)6G{v38)I}1egV_PF6t< zDFKx!GFLNaOpr^AP>?&!*Cd(_GV?*ANPAR!fyIM_v4ENhUTY=gX4wp!spGcgQzXA< zX?k9FA5sN)b+1|1XjbMkwcfPnJ%2y*5lwOZgR%uL9S@>_t&S>JxjMtXV?K*2f?mE} z1aNGhLWxh>WoRYhG?wb&i?F{~YCcL8q~*KFuOL?l1{6X`Ktby$wyjYr%2a8$t)egP zd-wHY7mg_K3fP2Q@*y!3bi}Aag{siZrWhC7EWUrJJddlAXhqhPS#`!CE$$d@pE>3F zm3$tWkgk*0HQP9RJVsjd=17H$7^qCpC!WTk5fIw8>f``ig$j-z#ULb38Q=@uUL zu0NENI}XvLp^qs-q&c+TAaaVAfzmnSh+S&6B<*s887l9U9BpiGdlUDwU0kl|X8~i# zz|x$%-+SG)PRj))Po%|UwNlLn2 z-usqVz}AXJyiP~S0gnBx(~06CgEVMF2}H!HMq<=&>^#QMwN|BD^u^fQ&;Il$%U2LW zQ7^tt)uSXL14|)azl1E6YRIH$Cq*d>*a}!95bWSVYdtk)!fL5ccM%=8nNjDs!8NX- z6=FmM9S3-sT%dv^w^5$PIg<`>B0CdyK&sn^gt;#40I9% zIzk1&Yf)Q5%&(Z#)C8{h6ycE&x(3^8ZuNm;0EJ?5cr=;@MHwX~H=CIF1{PyN@EoX+ z)F(wm&sk{99^*tI#y>swyKf$QzjE)DatdNqQmbo}xJE+cq_!lf8(r0&$4OSN2dZ7y zn5F!1P0M&)F>AP`W@0O4?tXQ>+aGVQ@@D$X`bsu&tV`abZE=F08sF+^@}+?jINxgc ztawlk8mL>DyU$}t-ksH~YS~Vk?qO3&8&zana~kVq--E7Od0vwfdfGM5 z%pX!EdEQXtw3M|6k%2Kf@8STdAmYWRKRRoFz&>{cw{_Z>F{VSkb~Iaz`|Yd5rpAC2 zwrHMN=uTQSvfsvt2fCCHt>49i^`%-3dSe2qmL_;MmgPc;BtkUr8+oP?)%gZ2>LhU3 z2nK8d0s~!l3=1{fGQ)yC6-8Q@lQo!MHhcoy3zD^cK^X`7`jIChcG5fVuJ@u!-1BrU zZA*Hp$gKohwID=60RwF<+j~GOkdY-9$az?Bstga*D5jM@je*9C8)i@t!EU(IL3NLN z2uBA*FW)5_qA&cgrs&*fu9O#bCDrXz>~_5w=FQC-%&VzeKJ4P?zyuwM^%N=@#M z&0d-^Jli*E`xN50RC&{NTV@}5@AR=N<@CEyqDGqMbT_&_dktUYc?MGJODkt&@6*pH zWJ32h&KkGd8Lg&f(!st=WhQuU-qPN$q;aX`l*dX<-s+rPgqbs(@tgh|N zvO}BPCACqU5i2qqoM}5n4NUin2OLRS6Z+E8cm?@bpG)F>Mp6vc9Xn8kZn0;JLvl3Q zXZ4Z|p0w90kbRh1(r!Ynpp@Q~*<#wxzU^UIj%m_>q{*cRCf;!?&1v_B0ip(WN=Da< zGBHoZ3#FqGhNYs7fBn#Ri+=x)S8zgv(gZOaeGOj2yCg&D@XA@f3d*3N603eC{$FvQ z>X>7t?r4dNiAgvfkEq%?ZG*+So8*pWj75PolB!-4oR~Zn)qzx#+%06=&{LL`>B9$H zQIqpzHshU<32LsFG}Q^Jzc7&K%4vICBZF%4j8RlVi6$nfxv@ld>krez;N4K==pAAs zanKmY{kRqj`g&>9Y;*%xueW?em#KiuU6RkbTuwu>+|keR=&<*UIO`shE2ni}RV0*% z8CBFQ*);Z=$PnWWG0LPhWsRwd+f>JrM_b3mQqy#CPwbDT4s;bA$U0ABOhI+LPmRVH z7F^pw1sMCt%PI(|!kGURs|Wk2=nm~%R&l8o2s2-w3A|!cU&2N^L&j6OPqk4%nGjp| z=*CM*l;~jk>d1d46Qoo{5-UunTo(%IhCPy!JRIbC>O4limN-hXr0R@GWQ%3!XeOdf z2F*fZf}v~(hVFM;iKMLhFexyi=AAlE;eBVjx)da~AWyQU3{;@`m>$43A(k_*X;qR6 zzek~=`e$t;!WHv=is)=>tpdj9MA&_ zxUg}{hN=Z#)3jh$2RP6=Mr>7527)X)z(8Cg)fa(?00oJbj~(QJ%_}gtU?;jkC-6;Y zy$l@D4-kLwx39kNyG=!JXW+9|w?&EJ1NAJp(FVQ1!UBS$1No3);Z}iK`$>Dt(grd4kvkL=D1tui6F5JAFUztjx$GrE5$DH_~z$osjOe;3kK3GtX1%RT?}vMb?hHN~N$@^BhY=+}GM-qofkh zGq&nFNUR{Y0ry`mh^{RR!@~#^3H5RGCqI6#>!-gaS4xS}wx70WKES8I0=A>Zc@+#N zlhJhp3Il!-Q3Iu0L|HR*X#=jVH^TqfnB3)qSEo1<@5=C!VANlUeNMdT%Q*Nqu)^dI#Rj+ zkqHm0+u4vjZP!Thevk*Ki!~lann(-C0`Y-L@p%4U4b@RHN z(Do(OWIkPkk5WzK`E+uh;!=dHbySx`;bz#avy{ZhA1__n_tW1VxcS3Ex;AiWm6Hkj z)u3m#K%+(p% z*tyE~ssNUwkGc^I)d^urIiMF1`t(37^dqn+L5^xnrc9(%GbOGdGp!>^c>5th zwt^Kfhozgj6hTrFH(QNoIpUP7$ZrP6Egqy&pnPX^w+2n-sEVB+g1FgUYmI3nOT9_6 zSjEWihXEf2XJ3x=5gQ^G; zOt3AfB%>hL2#i{N9Z;l3YFQ{nL`CY-$4WunDe}^fA3Wz3Jq(q0c?%>J%|RPMZ0XSY zsJ-5d%Pm$?)q;M_Qtl^bkQrbK>T_`iBSd#xzpF$=PZ6jRut9`k8o<;RGOnVTV0RNi z4Gtjcw5zuhpOVx>S;^cy;Iqmg2;m zcQ4=(HFPktiPC9H4ba5$y4g3I3B~XB?GAxK33gQj)WIYdd zs08AUqO|(KRJ3$xxl@*b1YMR)RA(xyL24bE43bpM=PKxwR|cWL0WDB$v>)uU-~0Io zb$_((dIeqHHjrfdKq?)PmXEkKmfXYZx6zw=aN5{ov^B-Z&%{NqU+z9wy_tpiWUKXY za`Vjd*R&*O`lPxcRqKiuXKJR+ZBO^9k5!Wv7PpF{=h(@aSadrL87&LN-NE@Wy3%lX z-{52Ok0?_u{$e}{g|OWxIA(m(U`h}XH* z^-{MxoA+z#e<#@N+CKY%@n$AsE)uhNOuHwt-44#Kp~6nAzOFS!N$j}tuA+aW#jx`Y9|xc!ac`dZW!HJb5+?; zq@cmQfQK19C}jqio7k*{oW=6wFj&>OdDG4Y4Bp>iwa@scDTS1JefdZQuo`SF1pJ}u$9 z@JNRj-%VSMSr*>@X65bKv{2k4hS@}1_6{O4Dw zySrn1**5jny1z5Lriu8|6WSd{th;?znVSr2Q)F3WH_?Z`%I({)+e6AGE*=WsIKJZ_)XGfp zLIGL2cGu>Yi&wyzY<%&x5Y)nt>p$J5W( z0&lo6{C*-9UhXTwEB&9fcvZur_h{#9rb{D>CVzC=ES0~J7<I`ce;*{(oiNQUrcSGACbl@q=_#YN3>n1V|^#SElaz?F>ArSu8+G5n);AA`a(=? z*+2br-iwXx3S(Sz&-llOGKH3~~FubGu;Yn+qr2I=9GHs!LD2^`isoV&wk2PPEA<79+{KPCO{57d4=5 zrRkaQm*4Gt66m%s*M4)PRGry2UVGw*)WRNO@7_Kl$?$sz*Oo|2cf1W~NsqYP5Q=@1bZ-qY zd?HMi8=k=@wzI8-oo#-Tu0p-KQ}w@hDnCnh!hxRK7COs-bS!j^KiQF9zII35t1H82 zeph|wO6_083_B6xbJlqisdISdvzloZ^F=ZXJuL1ernsun{Sj4Jhnq+OJYjr^1z#?c zu41x1)D?3Q$A`Gr&RI*Pc2%sDuWypg18UUT$9erm-U=2b>y$-jsV0s9wkT(qLDajb9?9zSkPKeSR(hg`7EmUGFWQS(C zGX%N?Rhz2IqD{KDM9eKQIq3Pp;_bCE5QtDmhgq4o#_+SfBx$KN)C^RxK)phzXduLl zMX~~YKhY+#UKEY8dc1`M*OFp3iuJWt85EFenGSoAsH668n3@lUclX^7G!ln1`n(RI*48h{#^3rFt9a*J{{+TYIRhz zm&iFQ5EjSSCnd43GV$*m~`)A z#rWbsZ%zL7hGzif%Uhx*wodcfy1gH1QSUBts6m}F9cxA#k`fVXwo-G)4!6HTrXzH; z74HV8c~~;-m8k(bGy8yH-2(yCgD4p*-AAuGOBct+4wB|%dee>G4$X6WQwuYxZElEs z!J_-1fcm!>f9f^Dr<(3#O)*OS!!fOuM)x%CGv=h%wW)z6e5SWM86Kz)o{Dh#E7Crc zk^h2E49F+>XrrTUx9AY%>lcd}pIyQ&B<>z8HHYgKSdA5anDEmiFtop*#`u|>XR2zq zbWe|e?==;-8Y^9AF38qLM+k) zc{Quxwi+#3ai2EtiBqY3BbL}cR6{0kSo;}7L7aWcJZq4`2WdMF6U;9heOYTiGnIPF z>W!Zy^`+bD@yMUNb+OX^#`uRACuuNo6Y|kB9#=kYY zF6vd6Z>{N`ckiT?ll2>490Y&Y+MV3d&THmdr(QB>QVGp`)mWfw#rs-TaOBR>?Pb5j z4{EO_KQ%y;q3F=5I7k%n2W+Rjs6|`FK0d}K*qPAcbU017zUdY1s=0vm3wXCSLT0R` zQqz6#6|xHWC2$509mwEY<&?fOuB+YkpAOZSJ#~qV-CJ)RD!LUL7i6QYZqJmNjFop4 ze{-ghEXG62(jGDrZ@6Uy_+VzPV(D44zkdV1y(3X&u4Av#&8F?PH>>ddjfk-{*Z@8O{gk`Qw`$PR>g8hxG!TG7b=Pj(G_EF&Av!Y= zio8(c)VfA2V?q<^nsWdi9q64_#L=UZ$N@wM=kHYt{5i zOsaGXU7w|HYB$2GgHKc%>XFtmd7!S{zUb-uty>xpF1|bzOdCX2ZdZHQwbj|@yyg$f!cSYozykFQA zTZNA%)Bzr7g{Z^WS@qO-yC)q71g8j1HgVc5-E1sHcEjipXNt@5Sb2c5?M&*jS0XX- z-Ht+7? zWc8r26VG+6wag>hLCxe|apfX6O**c34v@|!*}A?(Q&WBY$>6*tlJm^$u2B60&|ly) zZBt!?^H0oSZ1u=jdWBj$s@O)dXqdeSn^J+O&WiqZMD72W5X@?lirSAb}`aM=Kii?|DzXsUh5ct_s6e}KVSAs>F$Vj z;nCsP1KT!MR`04ly6elIm7X-@cRt!yKmJ}*+o{U=iqyXl>%!y1vAf8hW$KeR-8J6Z z@!QX{C%^OTlGv7h?!DNpd`aFle(;G`V_S*!aNXj-`~UI@zGG}W+Lmc>E_K}9S-CL2 z#2c-7zF4_jI>LGu(>)ERI+pIgWqg@#?ZgMj#XEkYv8B@2%_aFY+Bx^w%7d-3JGN|` z-yxrRczjvfdTqt$BX76=BoaGW`-Pch+(yrx#TTExE4InK>(1S{ttGwOG1BSvh^K0n z)7A90|2DDf#GSpV8~dM@Uyv%!KG8FNVRR%-E*`%-Jzaly<>XTA?$j5TJHGVL z?@qjie^+GITxn!z(S?{)`cQIWh-r_$M!bvKpqzAf#i zk6%12H`COR)FPSePcd7Z8dxEdpo{n4ZjB@^P*eiukZVw zllb^h*kEqAy+Hb)uQCxb9;U*!y0P%n?R|KSI(p`zp_6>cy@M8?c((;K#2xh;x7~Eh zx8FJRn=hXDuo`%x!ZIhkSaMD~3Fgp#s0VkKr#pO7InUaM7VCy43ir+7kF{v2S<>k4 z3saNLoFE7Az&XtrKOf&mN^fi$sBWRL(Berfj}5UYuSoOqDi_@CLU^XWbmCF4?5#zz zRB<@j*52iS29(tUi^=eJU;y4z^`-92j*#>fFoLRG z43r6(F(}yJ~?vrc_yynV({4 zMkAhK5t?>`G=_KqjHc+aX~q^5#Mzh)F<%b-r>4tPiX=3S5HAgC`Qt*ECr!3J7CZ_=Qi8llgKjxc5D4-0;F`pB|5%HROmpT~rc(j8 z1kU8M^%giLI_~zgD)5pLN?-uu!{C|(zz#$rol(Fy@fo@{bpo6dQ4!y{_qP*2T^{+| zm7;>{L{~KH1)}Bg_F6KCtpX`E6r0^G1v^?eCTk?2m_b)?k5q^)Aw;Z95M_e>8VtU( z0&bYk;Xuq-<`Z7KQRStSfU81}$%0(aX{dqC8da=9%40AL8hgR@T1v63{Slt7;O${qPR{M`L(JpZ{UM?%nUl}vP^BYA>+>a|Ie7)&m zi4Tv5pcCApGd$>Pi4@4L1(Kve>FguY^8H)qA1nG{b}q{OpJI!&ek4Bma@#%0e}sm& zgdZfc7kf!n`Dl3dBKbJ4?UwhjmnW9%e*KcXm2|Z4FzVxt?$S_bV!m>Eq}WXYZpOvT zki!PPx#jH&*L$6(t{XbaGgZ8P_|Pjm$)h8U{B60~YI}Gv+J+Y{y|OE_eb%k<==&$w z4F*0Xf9x~T?mvD-ys;Knm$q%B4oQY9-wxr{FQ~QmJTTo>-1kbbR~`Mt=;3mC7>D~; zq(5)jV*F}md`Mcvzx2|5-tmJ&hd+L=+dI+A=&ug0xR$x|p)vJc|pSJgQWls*r^kK45R&~z`m6m6JMTl#<0d35AYy!Megqv84M z+Z@(#ozcrqx9rnfj~=Py4_0a$$iY)DklC~U@M0e=lIhBe*BUEEF*(HcAE0^w{e5IBiIv*do zWjM3BIK)ziq_g}S=r01b^$O^vmr46b`<9|QHdc*4i+AJCNa}0QSG#zUu1pV+6{43f z;^_UI8cE(SwS*==cYf*|?veSTxKi;oZFg6-);RIj@DzP_mhKp~=y}f5tK##<^!PvYJ`E^h0}8`ca19&BPp zLSTW3(bZ@M4aN}2#GRzsM7jtN12%z>bmHx9%y^oPowQvj+mdejtRyZV#u&n6n$T>T zc<9(AX;K&Jv~Ks88BZLV=0`)_th?KHTRS9mv)Q%~?9wD{pCg>~*?pgV|9?$VQFF134T=@|r?v6H_p^|9->&r@vZ54Lh z@f9@LJkGsSc~|2K`*-Xo$*pWp@T1$y4#X-uuCMalycD|9t*>$~dB1^1|KV3$Q`DII zYt5Nu9dzN5)z@(3uD$?nno|2+&g&#w@M$BK0ER{nIlGek`tfw zil=&o^{<(`#yBtAHKwjiFYcljcUJBo&yO$%(E2^*E^_P7-u>gJj=t;Pe|3xsOd;dP ztDLoPBs;>rdJ7Cwf|<)q?czGS>DhX$T*BSjWK-qay~=9kG49nJTewe$4s*LI%CZZ` zv9SCQURxSh@W@G~_l)^S(@1*vh;*N@BXQlO`LiW#Y8a5yDwLp^|Ld= zdd{q$h#arPsR>+iHr39(-32eyy_KIS^%pE?vA+~5ls9K^U)fil=K?P>gPFOJ)#)?6 zVDiU5quls*?^;>q*){t41_(dRrRGWK2izX?gImC9mL0^^Z(oRi8VgltP8B~_`zl*Z zlh7+P7^IJ@L;XKh2K(3F;5tVti%IRbNwy-=)k#NZT2uQQz3D;R|1B^}mzDLVDj2HE zcMZdEz51Dkm*!f6x3Y7CO>>twOw;SDK2f{Gt=|4z2sFg)FVBy!-25x9o#}69{#NT6 zK+ks3sh4NUH7hxZewutfIM#Ucj?mEXg%_uHuv4D5rqHET`1Qu9iRp0;gpB`GZ)5mj zVLfTqC1iNDjONG8B`z7RytH@sUUC+%H_g|Rn)SP1`HS`~U;gIRG0V$b>^^7CktKR9 zBek34iSE>TxU^yo?VN7rmeZlTk+kglF4>f+$0-YJqtrUC3WS%@@3dxX4RV^7rgL8l zYxQB=PySk3Bj8^Z(IAdKK{DbR62O$mRpSn{&r9B7)<`|Wf|s+V?Oog^L?5+QQztNU zDZI*zWI}t6O=|dplzal_e{u;YzuwhJ$XzFJ_wVo?lxPm^@r{Z*%k3M5z$@K{UlrHG z&C}rDOl4NtiLe6Cc@nQ^dr>{^PVF_oht0sF-oZtyNap_VnooEpFhagdE;8o?X}x^-*1OxkJJ ztGEQO1X{ZvAz#;8@irFR=5xoGHD5h@Y^i)1!3o{v_66XCKu=#FImx7M`dI24czg0= z>?H06ss=K5vxI}Ykm(W)H-0QN(OpG`Ir~YL%1KD8&g|s&4tvAwJh~rWKF1+;4V}G^&-07>s>@pcY zE}T`!HR$OLqZ-~zFsc=%9)~^YpEz~GGIcBj1-b%f+=6> z-imKi$Qo)Bq>Q1|k(o&eUqkdmw~+$0#5_w_M|Bt+5Lk%u@klMsFxR48OnY0K#iVVk znifFoiB>eL%H=@2(qU}j+Qcq1GfKSh=BMKyC&f60-tC5{nUWj=l}Rt~4Kf>M^(K5+ zlU*gE7~#RJj(F23>KQ;MQ~4Yhx*c~J3e#23W-<)yyb;W5E|1(FUgB0H+)DU4pHHP5 z%RxPe(ygp9ie%faMoxp-G0aD8)uA8!YfwaW3Qb}8e0f0zEbC2Z57MQiJdl>=aWM&O z^3~sR zXJ2UMRvZ2kOs2l9K+fz}{go@+ zn7BG^2`4fO(z{`%e&mq4{>dk#KmPVFkN&RJb9Dr_YJO$ejMZq5CTb?kbB(=|pPhg) zw3=}GL^r+IinvM4eq$SYd4?XnVHcYG?Bies9~9=E$6B{|dhG(KZx0mX9cLkSmp((5 zD?>G99fA1%x8Lx-{VyZE*D6PvItNXA0A~&}^-o{Cscv?r&ibqMsN=4;e0*u*rK(}C*je&;fN0jXc z^(*Cjyb0-kn5W(e9$gvv9GSz&S@{8=NlF#y_IR>PD={V7mir59g{-k zSCgZmgVJh4yn@r_$Q&pYk$aQOuCZi&;{L8tGz{h@$F2wc z;+b^#JH;Iz=0V5BFpk|6emoIR%v>66kR5c}+ zxXXWbUuf=ZcBmyfVn#)M?V?d?nQQ26a6PB96TR7?+@7uYDhl6J;l;2uU zNo$-iAQGy^|;@R_Y1egccaYBc=g6z z7dj7&{8XI!Wa^B0;o+5$GBT%VnFq+pgRvb-8q3U;wElV0!96U_v}pk&>8d( z!Qz&G=shf*<&qM4*h4wPTA7s0vVL@l=K3~XuFxDg!;G<~(8>smReeGOef=wF z6zVNU(M#z2;ycsd7cV5{n=T~2=N&+IehpU>2GM} z(xXzkHqOk>c-hS+806$xQR&k%32rqbU;>uzf(eFrb$}aY&WT$CcQHm>J? zd{oAhol10>z1o9)IJk=?dcLxp-ip+kHZ#l0_lFIaQ6EI~@^C)Ra9Vm)0Ee)|YEVYF zX;>Oo7WgbZ^d+-PVqlK1-9&aKnL16M)}z-V{Z$pb;9DxnquO){D$Cd2yXo`q|JD1e zN3W9agTHq6nBBnm2XBJi+_L=_@)!T_1`$pBi1y)Mmkud|luNh&Q_!>zuV#PwQ!_ri z=AZU__~BgtZ$I@{v%k6eb&FDqD9A7?hb%A3!b-rQ>I$VWs8Dknmh9k_@;t~M1UEZ! znznbsD2HS+37i-qxlmFh2TwUG)2xux5Iqhx%*5%*lh`aIje>{8r6U1xH56kiL0#Lb z$q2mm4u&_W^myDw+EnK-N6#Y)Ed0#4WcXsj7-5$zS}zZS+Gc9BU4&NietInk8e)1CrMO?m$9&~NMX zKXiYS+Gq~UL$aj;pW%}Q8;HJB)T|`xrk(c=DWDKZrie?240>e2xELJd_ruvb1ht`D zl%gz`0cqxhVjQ=*!GQvyZpcJuO-`pEfe-RWDThqid*Lr!-N8dnK4gGGb~**MT0kJk zgq2;U$KA$KaDV%P@Sn;fa3+FZxpk-hTJyXA`1AKK@~|ITareW&+t=Cs@O$AO?6^B{ zXfCr<0lxI`-GRW`vHJGN?*pCf`z{~s>`%S758UZ+R>iG>@VAbwvL^!ZwXf9&4laLf zZTQ4%DF_t2cJlI#otdYfO})FX{ieG^+P99aq)r6xh3f?Fy?hK*?B5^k#NF!$+V6U0 zxb`0*fbdGgvDL>;Z2Un74&9CJz5Gm_c6S-O28zQ;@Z*0%f;%6R-l_Ols=ndLD{wJo z`I*(!KkvH}?wmTgd~BtCZ#QJnz^O!9t+=}luU1su8(vLK?t2Z)gnh5A9lMn!mouR5 zANxmic;DXPdL*uaMP5rw2VYqMi!X{H`^J&q zh5t74+V{RT=UYE_xT5OmdslCNBD`<48~(sqx2LX<$tL#M>@UO5zV;q>cjd7!wylJ# zdb97x4Yj)uoBO_E~S#w^#f= zut)pGYmeUlUd6vfI!R_F{Q1;B2h*#mCw3iNtFGJinQ%Xh%GnhBx%1?O(CWS?z_8hO zcktK>IdQ|WcTy*I9auwEyI@#8a;*NflV89;Mstt->tCcF!9Tmfw>l2ztWLwwUG~{S z_e}M0ec7{v-Rp<;mA_Vgq3zx7CvMmUq`9GXHTA34fA*h~#ob@M%1l(QevYIkd^@?$ zKpc!Vp_=RpRAFW?{5EKqbCB<{649!Vls*y|@(tp7F#7HS(pv>p|cxq2N0qWfR zuEB8fL?j#UWRipUyD2!bhFZFfC9Y^e42_@u^b zVZM4Lj6ow@8pO|%czZ>AR~?)P3`Q?;jn^K025qQzn2EP@d$gTgn7$BBPZD(fUj&lX zDcf80465sXT!U#}Q&(V9pF+lJcL}-wV5}Pa*2`$A0=feplaqI@gdV06JYHP>*WaZu#unm>g?nqS{ zf{|bf`@r-?fk7PKyRX#zWuTb#p>B>IahxMVTPk9Xw1A zwnWo5h945!DVJ_fG;RTQy5U@>4uzi%NRNRA)q}QVq*VA|QjoCjh@hh zs{Q)%{kIq2`-=S;ngRC;$?zd)X&wYX2KEkWE9N*!(L|`Jfv19MYEr;JUbpH+luEf6 zk07RX%B}jb7J%E38kZqcYJ`^*!!2PRsv&)b3nY1rM}<)T26a+!f?|Ly2X}nh6yXqs zVth{L+?=U-3alQQh%%*NgE9ooxl1xxRkt(@(%Bj2>{Cg;NhuhlwuTfc-?V3NLv};F z+sQ{^2I+dRP?k<-|OdWyRe&Dy?x5Ify*|A_Wy> zL@02A7(+yM>I%+yNt|b~LIhFJdMDKDa(+RhxEU^B01G;arwDsls>m?iSUtU9dK6#} z4vI9@0@i(9rj`_0kkDm9{b@jnk1I~zNtm3-yeMjJjvm3ZNjYT>@~14QvL01Eu0%!B_^b6Na<+3l1+{DOecy8Q*JVBqh@wcrvG z@T|S}zctAz+bmD0wers2zE}L_-+yqFbNdwszPIwU<0iBdOPkfPAF~&8RKA-2nqfY_ zK!$K%_bE)z{(!B&u(;i=)MKV)S&Z|XGALr!P-ZM)XEX0?F%}*v^_Rr8Z5%K4`UKQF zMu(?%bDIoJ^{})8Va?t$4h;%jbwRUK=;8~8=FLT-nA})X9==JA-c~Kn63ZMN!wF^1 zQj9KLQ8uxA+8X6G`h;ROj6_2jhHfFDj|;)QTDXEv??;-CNK0n4n-)e9?um9n>P3pJ zrs)U!f1FE{{EBF1>J0LE|{R-E+ z=z-nowniTj7kc!szEB=yI}kgqDy@H4ools3rDZhqa7jZGX)qL7=>Gbx|1$cU%j3Vf zO2e>*UR7U%Ql`bB(1!&Z?6vuU6YP z)he)!rQ8q|^b2h7X=A1a)V`A1lUC0g(-%=_Txd{+1kNcY%82QVNLoPa{W2+;Ryse& zZPl>gpXk%fg5f?XoyZ{mS>=-Lyl$NZbE+Nz{eyi@KYQ!?ua8*a~qVM-fN#Ku6S`u!L>W(s| zEV67{g|s>BMQPvlO++$1$3UTQcHR=EOclgNYEqa8093J-HbuZMFs z+sBzclkL?7)93cgX-+=FYhx0H`j|qRo052!o?pIXr3{Y)>yiTBOu|S9EJYYbPt~z} zVee**a)cFk2Pf3Vcsf)gIe2b!A0?sQwlbLV%;H6uJq49&RCTghbCr;!>1XGMsWS;0 z3Y$^4tg=v$si7X)Q`A@_T+awcSC;;Gv4Gnh~A9Jl=1RI?P+^m9@_9!K5x`U0_F zr!dl}y&ye3WHj&+nlCc}J4t9}&f&J2;8RB9bhf23$eez<0aexx3~YsZ?ruFf{HZ0i z#gS9I+7vQnuCYP{^AO+!(6VXdaT(LMEnRI@LkVRq+t|)@kuxNXOvw|b7ZTVdZpW|q zwC+wH9+Z$4dq_Ze*1%A_GepkUq>qmd3h#E$?l$I`bJKL5u%)ae_G($QO}NvmHi#q9 z3uWj>ezjYvZ!dl4?{9nO;t#J<)GhGQItDw0hN zH4ig9aoahN5~I*M#hAVNK~Ev52&$Wf!fGcVWvSR{VP1mS&kFJjJg7}ckktIQ6c!Sh zXE;5iQ=FNGdTxN>qCE`f@}zVtYgBNOkscm)O!J@zZF%L=fgF?P>L2w>zAp9Yo zDy66}n7`a2dIFM&H*qqKD>m>~i;KU8lV{3MjBPedi8NrZXvA@~#)ormE$mQbU0Mu%FU}AUczyJ5Y{c`P=wf*)va5e5tM6KOkIs%%|8x7RS zhC$UT=Ewn0aW-&?P%GA6;lXQKsw_;+LCRxs3%!=(^Kz@SyfwNl$8Sp9hp0Ev`f)yg z1k#tcHfanyk-(oJ0=@M$%0gz&`{UY*vrph+T-O}T1LFYaaE#?$~_VRL$R zM~*KxqfXyoOq;K?ae_rk)4gGqcg&I7b^=yq+C8AX1Q^@ixH=K$Jf9m1w z)X8K2{*~fJ5IR@e#<&}{f&)4+RI};%y}zd=3sM zU37We2(mqFt<17@>{%Ff)mG6guF!PoPQHjxuG?Vf5#Z*z0cb%N+@=mE+N!!XFnu0Vr(o=fJ`Y71l01O>Eb0oq7kDRE5AX~dW1oml zoxTQ59Ot);?BtGK14Bh>xY$p&gb_?-n@@IMbdlxlo1^d<~_ z|DT3lL6QA=HfyK0k$v+yu=y}1XkQgY+3;N%5(S>a{8+MK%WXOmTz{HMbhl!XtD0)M~> znoGa>U3AK#4H9+rFhD2(aDnotOHpvtss5i!!SwC23!Oh`_LZm)?_*EyA`h1;PWG=S zj(+~CAfl-B-CBa_I*_^$t7wpK?j0Su*Jq3==%%l8FH~GozijP!WLnP-SGmJrQ~xkJZ)X70YJF1aJ> zoxMN?j04xB&3#61rqyVkdTOLw3*Oi;C#3&FJZuDq78y^0dDBVj z3vKkNoUmNKRT(IuZ_W%eX`k_sF(jn6i!2B3NRA_}M`*qO&tQws}DM4qB%~ zHZoLF2ub_{?7Wt6GUZJ2Xs}Fh4RxjYHW6nYF_pt+dk0rjHjj>v=Hz`0pQfioP2Ni>Q_8bU)K87hCE~Ys2%266T^6)F1nj^*5*j zqgPqLZPXgKO?Z&>tKOA6__+%o@;L4_Jvo8(MCIOXBpVe++3%YNqz?L|bwOCOOfL?~ zQ#-Xlqm#q{L^XdvRDuD>K01K8ui9FQPg=26Mg zGCU(K>YLcp^O8tAxTfhmYcqy&`n+k}Z#aYmONy`yFG4l}k4|DJaA>Ja&zKb`u81@N z-8*mCgelDLF!=&C;}J9^E0Z~IUbiAp4pHuDDL?DkED?HErw;K>KE)Z}2IHvSA*E;N zMW;Y>a-~vuquN7*UnZri7tC(kYhRkFVQ6YI;N&Fb6+@>jS4uWAJOQi;@FiqC6;4@b zlwocS-Doz7O`?-yJRzibDq7f0jM#)tqgNILhWh>b^f%v~*ROJpn-3l5pcZ8IEYoWq zXNuDk+?V;g#x&x3NK@P>Z-K zmaKgH&-=01EB_KEi3L5I4Ahv+ycXK23WuRy&C_tFx=9>O{PjYgkY5_kLmD<4OO_o& zQgRPhs$DSC9;8mybvMx$?!&1Tk(&LaqI0t{)FtM#jZMjd3NC@pvrdjay+}9rxJPDR z>(~V4a&0$M8(x)anF9MnC@^ESA{|Urbd&%nhSO~1%e}6)awJ}|e!24A_m8f4e|VK( z`I1l|&PjQKJ)0SYA{nO)3pp8O8i4!wC{1_+JVC%6H905tG_o3K+%8G*3Mx%4GM?83 zF@zbJMsdc=x@)`GOIjatA|$(H4T<1!HgwFo5?C`0#`lyA&Pv8uWG%m0BpHpObeBZU z(@tf?!f}`Y4M4=~^HY#Adzvz7N~OWH5ArynlSC^kuQ%*P1*3A8T~e}VF?>+XqFuO$#8mD zoEeGx2SfhYAgfC4!)m<)`()EXh32}ruWoC&CNuw2Pqpne6G0iTN5w$3&<Fl7^6?!wOU8D*)P zeZ**NhI-w}*K&XOr{DbH6GuN_pYoUrfwl#yG1dYYHjZ(oC!iFdg3Kv0g2sPCErA>mQ$IVyiABU^Cb`k zTu62bAJ@1wv`2c-`Ka1A(soYLQaXDBUxUE_no&7A!4xSW{=0E-JoJS;bMzf&0E%!i zRXVZ>huJH}M5HZ{R88YL!&OC>4dQ&kxHxV|GzC6&)L$OdsjjC0IY!bH-3@3v=R|*I zL<{LIy^absu}?Le7L^`FZ;UpuWi*YsLOQCLy0|dTP7P^2C6+K%)m{JI%lj`+K6sTX zZm8zXW2pjTM&;2UgA0^=LcoL-iWqYyh*_0oyk>5Eic4EOEdi3O2r>}D1VsoyB3+)x zo>W8>*TFVu09(?^ZKp+AHe9NO0I;ZBB2LYdXN*v^VjzlE1x`H0P{L**%L9CnH|`c# zBw{}8Q=|)I_!5TW4&ntdyP$f4H)>#OaxR(HRBFM}07(r9%*7VH5%)f|Us1Z`O(qWz zsy&q8q^&X&7m1SbDwv>@3-bbuY)~yTIJoV~rzXEzy>-tIuXfm>7ua!%&A5jb;9Mo* zaUPK>MCzOcE*Ra#&&al!lP8)Gama_NY2qN7j2ngPC|8Z-#vXqP@v0NNLlJ$m(xn%x z0O$qAIalNlfMp9DnAE|2rnyU5cgKuFk{dh=vTxd+Ydk8LO1i6wc8Hp%)@6D)RdDU6 zmcZ*itHW=B!NU+Jj}!Q!xjiu+q92DW9Uj&##teA@^A6=MM7e8e$~0(I>hWYeRPpW~ zeS5t){^HfnQGi-W<+R&eoa``kCOa(?7Xw&9vO|+KPM|5kNdgTVjCmP|RFgEdO2!Me z|7p_&I6}fXAhjl?bc%u!2C4|Wg&;x!;p^O;&g%?Hx`(H*ZRxqYU@X9)7afzpgX6|n zr#2ba*<-4^AEY#p$UJb+4DXcl7%=t3IYaBxu>*EeZXcu$z*_~M%x;ATV^Oln0zRP< z!@&zNsB?a`|Gh)E{p9!dId)6cs$!OSDCmLnWjw(OUdxmQCAZebsRfosjW~o3$&4BL z)^KP)AodQ|7|>=gJ|YVIM!+DZ=t_D&!!U?52-nC9EYk?%NA&^HF27GduO>y7*)9Tv zVp=RG$y#G!1l3aa$unb!92G^P?2_v$e?NUG%8RE1sZ0fR8Gfg z6XhypG$X}Jl0)z;n9oU~mIp98eHQKFt^17A!(03?Yiwo#!AT@t5})-V#h|515i$gv z3BYj2NpSH_e(r_UcaE-s-m%d(OO)wiYiQG{3zkF23ZRl|3KZ@$LN$$K4w;1j;w6bD zoXutd6)6W}UCU7y8DkjOgd=bur7w60RCUBPnKIn~D8|NyfE=Bfimu+~wl$d>Hg`DqKQ{kR3=j z4e%Wp0O5y)c$<(1F14c!M5hSVF(c;_XF1Mva7vNjl!+M;jAjNhHq;)OoN1SgmuSo@ zdEDYK0e6xHZ@4sNAyL?GIy`x}fJ8)w2Y!L7N?r)+dBR1rU1N&aBATI+ zL)a|Q5K3svOHc^0NeH9T9u!k&As&YfUHYT1Q&hJ4j{;4gZEZVh0>C6SMo|cpd<24L z!3*>PArjO95zZ(guA^=R!@R}-5eh}@T$DjV!y&2$8Qo;M5AiWi99goISDqzwht{Jz zJup_e5) z5aj^ZK~_(zIuHmLDk~R2+{j&GQBKH#+1e;?R^Ug7O6wbwJ|x^gfEip0h1Se%MP`9Y zZ9;OE;~gf)>fDdMiX4nOE&yyM1Kvmpym}gw)v?pzfr&z&`F&Gzi3t`P{IT+w8%LH znaPK|B$&B=*_nHjre$9A%Q^(l?h;f&g3v50vz3;CZ!7(_z+{ z15I%>ZaR5GQGk=(GlYE{-Jm& zeYL9;RX`wIUfCh!o4_amY-siYoYJW<$~SC>G7J5+A6EY$JSNQ-oflE4Dv0pH;llHh0 zUKi-ZvICYNpjEvMY&iAuagT(p2Kbc^fn~8vWA{B70h;szy?Z2;~_-s=4S=ntJo(ALmK!o^{fYkE+sNu zNC!D>@l=oIHz~?J#LTe@5*B!eh#`zr#n>cZ9We1P#>sCxR>KmGUO*I%%o6k4yiQyOnuZZxx2xVcbTRLmRkTWTS5)9Cc`<~B&e z)gqy74B2ytJX&(H!W0|E-?)a8K2ElB7kTp_KiBh+V))9)?p<89q^H`23F!w-L+l;? zRD)8BU*NhY1q;A!tcKB^+OD;cucPByvbiE>PAcMx$%pnzyMn`9!+o04H(&<&ehJO2d8P`d!Zio;egyRag5?sgfIzzKyJr zlc~l})86|@q?#yqSpL;d+`g|r@O+DMyd1C}%4;t+eaRbrNBa#r?iXX~_l4f(7Xgm! zJ5p^->)naHkm@>Bp{%Jpg+od)d*Ia@YR!{u@ax{gJz^D_5*zB8Pc);Mw9q!V@ONT` zl=sF>T3w(WDrPSzM(G6Tx+;d-C$PS?yi)rbb*%AJTI#t3QZ?D|O zRekQY_s@EMf8%eil0M&@oBolu>Q{%z06@x>oZE4s!YBMlL|qnS1H5KbR}|d=zc0(> zZmpTwgcB7P%KmM5+>A6WsBg>+6L2S4dKs%3UKH(&D<{+1q zKB6W3(Vblnt3uL0Hg>XdWu^l!*L2M?p6khB<`12nD zyjDf5k1=t$@0PPN5(kFyu0ZVnyQb@yj7V@B(&M)rt@m*?_-AckkD;?VzE2npQ)#@CP{RM-Sz0gs4vz2Eqv6((Q0_4dd`_AM(}hqtQ}wJ))gKYMg7 zx&OI|gQJbl#or{ab@zYt&=FCe6V~X~^veM*+SD+6B0heS>)neUHtyM@P40^Yx0R#H zGH=(e(t!VpIk9J(b~w}?s}6dn`qWisypmkJbCuauf$QEGjzq+I=H}ND%cQ~ciB}GW zm(9wrPA*1!e2K5V+*MPvk~*v$Q+vydnM7@-oV(>jR25>o_nfolxVgGFarQr?>(6I) zGjBe+csmCPVQ*a#W@}4(9ufT3igBdjmc7Ex*=LYfI@kPff_CZRJQ_K<{StrX;^w3YE0eWS;O!?Gd=44&`S|l9zkyW+M ze@mCSYghf=De13q@&p(7<;cU*=a}8~^wezAp*6F zYWr5rtD@RBCP)_-Ki~FwE4DYZGcXof5tFsG$v+qCDt+bt?A<%)hHdTYp2kt~Z_;Cx zjmqI`KcC(q@+)JqxN_G+xf>^f^)Cs3DEp_6>OTv8FlLz@W82M%%>;?Y6h;3atLIcC6E4zTZ=w*)v`@*imCJG=umrvhu4z35t! zo(!uO>GNT{mI(T}vpi71y;#VfS9KlZ<6Pl4mmoBi(CK z6=Dap0jb}ZhwU1k#KuK>KwAzkOQ>g3on3y~*E6AhL(AULwyZ4^U(Z*1_G&Gq17pvM zBfV8BY%U^Yz8)bbfD^2-+lM*zxjpP5680HD!}>NEH6e7i24WpT!VRc zn}`-=#$ozXGai=^hUIiT=anL(gQEw-UDj#0*FxZLSkhwhCP>*FhrpH8Ahv}d1qrlc zQCS8bX$F$}Xixb7z|2LbBCck2O5;;QVsY=Ie|YV-%gNh5 zpbI1x8V(O+fkR_A!y+y{faU69_{=s9ah0?(>w~HoxQ4Vt4e?< zOr>QF;;)tpKLh84?V-rYR1U)PEu{)`Vk;D;wsOSd0w00}pW!y3 zI_Xqa(zn2grT}S#6rP2M9q7*aCY3Kuvpk$)?{*VjP018ljokhIwZ)l#w0A>e<_I7K z37bfb=>kZQWk`Fqws80es78Z$RwVkyu0^ehT!ReR3<`b{;kV*4bP3#=@)E}aj=nI6 zBa$b|?11`EkJU9qvgH!|I#L6RdR)TG$ZZTkW^2-t2Ql1Eq+)fL4V#WVP^OZTyjS-L z(H&%`>4BR7cOh64tO>F&A+>e8$?9FO4~i;Cs0pXR(MbRki>TaI*k@@<6VzC;<|bSM zdB!mwY8AO$jpEqJDILgYq#@B3dw?^SQO2`{Q=rzAqc_1m)|BmiEc9z5jTwOO22dQ! zTQO6H340AX%xSfVu`{DJ=-D=0p-^3i0VQmS+w`xWdiLn=z9ie!1C8)S#LSIv$_W^v zg{Jx@vbrW(N+6DF&XG+c0l}r865@4Dm4sXNUehqHgfuVi(yq05;R5OBE@5jKfjjc2 z5^FN-%y8Ba2D9jGKm_83E0=p=k`3+YZ8dASO=SP2sDLbZX#9}XM3zD&P{}=r3!3JX z%_hXlW80;10GgzYdXCHtfyt9Qob^O^jH8z^6g}%UG6+Kj53%b4YgUn7$i={2R@2B* z$Yk^Ix6JFyNHOtlZ7NeZ0#_MVBJi9mT$3HN9tH3t?mj7@T!W5ehtw>+lUvx9tAQoY z&_J(x+yfn^KLxjd{_YGRMjikl5rtJIaKoOf9d26TG}w(+NC_9?*N|tG8`D_(I$)7$yIx8M7xZ(e@;^ahdtfBf@*t#S%_JG{wYsXBPu9003^ zg144(>-NhIR*rp?fNNpHSa87!a1>O0(EshD8f-R>I%V7FvGsqje(a+PY~ZK`W-|O# zrd%@obmOQ%Z5%b=od#Ep4weo4RHnc;!%`^CR?eb1-ZF{cvN%nJMTOkDY32mp?gRl*WV&UytT-e| zR^6Vghsway6L4Rc+E$S#_Tv@?O_iA782~H~Dk~e%Z;!JaJ`cgl0`EU{;$MDU{Qq>? zC)%wr)yP^NcZ(U3WbJ8M&al{LLiciYq8~Ew^#a89Sp=v(s1+hDQ>GQc%61@ydLetN zT!cU(5X{KeOwj-zsYZ0@s5NgmYkT7@fE7brMu%#qd6%9fL&QXoX^nN07Mx8GT*4Ob z9@h-;2rODaGc9fHk6Yu|)nG~L4r4835x=!{-o zg%6cTvq52~dt=;?0E3fd-ce|;? z5aNmgDV98VTXOtnr2sQiieZKQ3;?VX@Y)K3M#Fc(jm&Wru%eWaWjr9aM>J!Lx*u3B!4yjQim9b#YPJT}k(~0FIiI2hkyML|NbWGFKy=ay zU~m{1lxB%i5iFy@!8bP#RB(5$p5}iO}yqUV8U|pTGah)6i$Tsl*mT+LY|JI}TjP zDp5#446qy|^u|6(s*x_@saDRiyAOl!C^boJ2wxB+mK4IA(5nv$`Q>q7eui+khOt>- z0mu+mTsoAQWVkWJ2xrU41*^;0Z^e}kHJO0C{+@Cv-jw4|5xD@Q1wbNGgb4zGbs#E1 zwDb^4TA&0qAVvki8$CWwaK19F&-Xe^KC;0LhZPB?8958_)JUxWy{#2WWCK#cu#99!apLJ=NgaM}`UOtnxnRg`StW5Xr}r`G_14o@i2-|Lb^vrujzuD8JCwrATJuE77Sigpiqn#8%u$iG{IxVt!;)NxhhfSk(M?LJNebv$pKHHL zG^&icz{RQwQgrfhb{wJ&5-g}S3IG^$q|30{{j}6X#APLI>al9fge9d%H#HJ!1=wQ9 zTVKT<#q<{;%@vg;#TjS_3gd{50?7z30xv-!t;xhB*)fS*AX4tsfm)hsMs~6atoLP3 z@v&Mo2SiNzln7V?9f19?*lG#GN)i;cYp@@Suy&`0;*fJIFDAIGVnCWbkUh&w5WI83 z4ay}P^O;0C2nk1Ku7(rh*ceAR&gVj`Wm>^{GQNp;%r+d`k7t3Kj2TdMRWYgY(Q@Et z$Sp(gvdPv(3=168Vh+A9Hepz=6;E@G&1&}A>J#KZ@%ay@*icMf;aYL2IR*Y|n_!Zb z3$VSlIQ9X}hApz%QflTAF}j@tM*Dzj1NN-6NoyswjMSycOG?ns*?d#30kauEx}8i3 zj*X+>4hD#4dg5(t8eS0%q*JUG5xKb((LyB>Fw`|b8CWd@twUpy8xZG-gs-E( z&bY{FFt^z`v0xLaU~<7s3G!1A0b&i5SnNdvs39H-za-6*5_HNmU9#Kb z<`Urawu`A^{D{i~vC|lDz&8ly!u!FijWiDy8O+`k)Kkdrkf_gqeo+pHr1l~p@Pbep zOzR**DDzt<{&@cM=b!wbn{y`hWthz%(!_x#1B-otCPP%HKLEx4B93=LpEmTES?KR3 zqSGQ|QZNRTBa0U}B`%_rJ|Kc4x(IE8at6`p0#qF$Xhxyro5iCF~D6*k0Y0eLBo;CZCV3#oQ5xHVtPB+9K@$U_5~bslQwrLOhD}?&;ev5ZpOQJR z+GRB>U}~yLgA_K?nkUP7z*Gb!hXJu6?I3*G03C(=_%15 zU1BqoN#sM#(`pMnEU9tDOMq!gm6MFw1M_N@9zm{gTkjD&K<+AjU@@o7IuYGafJcOq zv`sBhgyKeE9#uAzBo}~mv`auO5Xfgi`*DIdhQPikdk>_tQ-;VTk5t$H`+?%{hm+UT zJ(&EGgAyoZ30|NF5EKYS#ku478*TZ&N8qbVh9LeNo`cNsNU^O`lCix(rZ!QGDOoH{PjbXD8 zfm$?d&e5!Er@6h+!zx$4@@ubEyt3y*nKP0FH;1hll}k3uFF@#g82k=XSQLgpLzY|> z$|`1u0SU@hjWT7nDw5=t}9a0O(4vykf*G@dm{@0x)4PQFTBilv=U?^QNbE2tY!O=j9#h90-}Lm zhTE*n_cA2Z0#DD)*g8`gnIYiZFbA={*8l+qvwx(P&NAvQ^uNh^8@M*ED{XuvWE-$! zYlZ<27=!LG!XrLRM3hu^(?&B4Fv!>hLK4MI8;g)sN!qk{Y5%0#?rMgMJbc&$S#e0( ztt~94xcTrxoHXsb+Xj_nNt-rn>ZaawcUxSNx_SGt5R$rXx_zGkr+xSR>*t>VA@uRg zx#v9h+;g5otwu@8M>J4(aD}FvWsArfmN?qwVSLDGfjk|O4onj4-^iTC(?*#~+so9Z zPcoXmhlIICp#zRAV$&y~j8>TGHU6Y@RH^|(E{>73n+t|?M0^Y??kqHMLE-L-$V9wP z2S7**vN#JtQMPh>{w)wX3+I zPjwEM3uFviIyi87ov8xKu2R~k`dNkgjFTd$RQXeLf4UI5eE*46ESZf0R_|3Z1(#=| z8DD4HWfR=iDw^$dNa>A6NI#YC{eVo_aBiJV5VlXTLmeY|BF^_r7+c0f}~S6t%9xEw5o$Dq=L@FTJ6q zD-nRR#rAc{Nt;>qspsqE2(|<8TbK10`x;hVW4Ee-UNx;*t(G55(w9j=p9%;0SAJEb zg0l&5`pGfS)@E8UW(ZxyMq0EP*5%0U+pm4QY7K0LH}l8g9842BU6Q|oMuuiEgsz?+ zgk}k?cViPy`H3MVhj2%AGJ>#0CgY$y*h6#eSeK2!JHu;c+$HU0d1H z!9Oe>qv)xk#A0%>U(9aP$aWYvGPwjTbbQiqw~uty^95jw_Jd{z`Fg>JvvCE+7Sr8y z!FYiLLgL&YKd)rV)U7H%f>M?#?Sev&HmR*p6Jk2K7IB?0i{WCb(2~N+9wb=~HREYB zJY)1zs~gmg#(SYzgIC-{aw%MIE9uoYB4vFIRjN3+ncNYHlR+Jji<%_j7zFvvlb+5N zdHJooMqk?EJM#fn;>Pg?;?M-Sfdp_Ng{N3uZq(}wP2Mr0)^mGRlO}AQmT$5g*hLo$ zf^tdc(jJ8(|In@+GCl1_)eWEmE@Z&p;oPMr3vZY5%&95>2U#1`uZdC~cN4$zoY&QBW4}m`>raE*FsGAa5lTeoewfKNhzkOLdv@Ais=^c^6z* zBL-@!Q`($Tjg4q8Wc@MhQIitPRm>=h4M4F;;HQamUsfpM=ww2T>D$SGK!s#@|J_eN;(705|FwpkLkq)# z9Et@+MI!ZZd;|j3e6DJ+cD`mvnMQ;LtJJ* zQB&1^#OBcCfPro;y@_Z63{AuJJ4}+QFBZW&T9H)23{fFn1y*}jtuG8phC(uMe`<~d zdz%+tt{~{&0D~lx5~djtbF7t`vs={yJs=BO4Gxw9VWGa%8rv)JXuOmLOy1nc??r&( z?PZa~#KwS6l%qvttXg!xKsyV>v{6b(A8~R0`lMUT6vOFr4odNB3_7umE*_{3wi0R0 z<{Hg(HTFTdgCrpH1>zz>>eD0@V~DfXG?6f=ZS>4PEze&2+j~E-yKcFS#bV?YbGCv= z?XbMAVqQ^uEtW(5%Oa^SP&-UYNwW|au^?f3Y7!r@=`W_o+s>fQhGi_~?1(YC?{m{EP94h znq_8%>gVFbs^=PLcH3|NPW{*S!dI#EMnU(?6p#o=(yF_&fgIr{WDVKe7Cu{O+2~SU zpKU>lI5u-W`#vmO@&ZNn2%AuFs#>;D5c8m?LN$zO&G0QGLT16yF`YF_ zSS({4g245`kg<#l)QlPjfNaVaU~Tvatsr{R6D?J30O`N+o!tFGayv=b=U}NStSbZl z?*(KUD6GCECz`82N+m37_oRe8DY9JVHt~8BOF4iUTR2D?hlnc&{@(`+M&aWnI0P9h zdYdU++dE<||FQ0tx3;|X-c_5Nq8HUXNH$>gkj?h0w!wUg4T~te-Aaj8DA;SbMGtBT zg1t$+o2()NVvy<|0 zjUW?nbrONIF#zi&RS0-M#lu2!K+GNFa-?iAJJ%wc^@ZaBa-gdOKd5WSmXf~#v^dWc zQmfb&$@UUgYXMg;)WT&~HL}OmL1imNtl^UOy|I=q=T&eo@W{7S3CV}M?DIry?O>l# z&t<(yMa~0?308w5Cpj}(dB6=PMXiW+w_4I|2Ueec)Cqomg$(=vmr)tKsfT)?H zCR=eNyA9kFrVGvkUs{uA*xI}@_PL7$40E%&gH{+*K=*=jlxx;sC0E8Dy#3mh|NINQ z_o^G<`jP&DK%tFqPyxP8-0TkBMi^V`HLt1$F$4kueZ2~|dQ>a4NV14-&>Ky|P;Be< z0Zs(ON2#Y5blu37DBTq#0E#Er$ z&PilLr~8Scl>nl8t_6ns6srl1Iy{-~6)1AjvmTccmNRaIG;^;%;sK+|vV)N*g+QL1w^)NLEC z;NTRwa9qW-&TGb0yq6>vm6#hAq+b)`q-PFU5h`c-cyCln4Osz69G2r&j*7_N9hw%U zyx9QQCgSXz)(8&xV^QQ#@9u@>_bpp5-}Tu`H(YhH$SQx+j{C@jQN;Lm z5?W)N&=PTd6&@gFGftC*dj%6nf^ZfSoobM`z}^RIy}d=Y54j?q&%-#^7$>K^BC~4y zAV_-?O%Dqg?givvzpo2UoD@cVY3Oq?jlp+2tOeOXYwcGL%o z=m!M%EFH@Us8uAJDKs9%VxPV_0RjpO z1eDN(#yGqG=)Us5LVwzQb;;*Yjph&}&Fmc|0_1s)hs-OlV8z=k6w4T_1e$13#2SQr zUeRZf5)H|l(BNn%(QFe`+YyBM=qe3;2PPP7K@R}-`!GU;N;pMgTcIbx%|J6%()9!# zQ;nelB+S6F>iO${gMt;`hoS8Ag0q$!>1rSk2@xM>+FW!N9t9iq)3mEu^pmP8TTS;YxacL~l-nrC zDBfKXbX4N72vrqRXL4zS`YgOucPLpOdLRBQ?L#VZ4jjx-xGup1yQ~<49HlS}8U?fb z?r*;{u;pO-1G8+hH|WS${{_u8g$5 zylrZ>eL$pZxMmR{pq3|9tO1K-Rv2%(p9nx!+UoZz0TY%=0WBMCAQ79LZU?cA$1rc9 z#WvIn3M^IG3QNU$@8VTm#Fh^5g6IQB!0R*NIyt9}uAyvMLl=CveHGrxezoZ;yzu#^ zMsBdTN}#DoU1m!uf{4*>tnpNs>ncy3!lyn=M%l7I-t}K^r>-JAmAI(V1pyWbs{#gW zftmupnkD;)jlTqB@C{WMA(ilWUmuHOu zfLxK6kj!LfwRKVx+gt>YPpqsQD4n;jyI?aB9`7Y z6fcQX&w#la_UR54XrqesEYO6D1geXK zpODCE+;^3!+r%Q;(NA@owVFk0eSr%3+5{+@Sg7gti`woWP|M;ZBaXl?H+O}gfJIh` zEARxk3&cE|T}2m>--dU3&3^GQ5|W))TD4!0SAgt-ZuD%jA6xEP0h@aRcMh$gT=YLs z=C}Iolp$iSv4->AtA3%W2|||w_M@0@1mn%&o~7&;*Wy00t)$C{t-`#;pxsypFkaxy zwTm{+2>Y;2ApL=_RV+mP`$2LiCEGh-gMh8Xb*k-c7sT{o62G8;D*sQkaW=A=65Rlf z^|#+x_MUj}uJ{LFBaOFa!gf+LQ)u+5vuxv9A`f17mB|7`JC5NuBy?{v?0CKHIp8gH zgt9(0hw5E2+Cp3Ky&X91>>+Ie(K0jq9uZy|JS)u}L4@uG|DgOTnO$|jHpjB984ef` z!3W{Yb#kB@nR&Y$u~v|A`C!q>BjTC|MnfG*7yXsbW_je0`&HBgm9X`Hwdq1TrbP%A zAHZh)J_$$jV~y~`J5*#(<@=BlupRMu1F2lK>Ax^q+p4cJT6p4AofKtG1MDhc+CT!l zVRcg*Q|)}|8mG0r@dX8jD&tuD1JkxWvdw6pC16KfS0RH9pMwwIo7 zjk`v+Qi)aUEK5}SfYVajxN(04)q%B5YS*xiT+N!=UZc>q?p3gH#A5GqZR)VfYpcZq zdx*SI#tC)$U(DMkw!`u&f8ek+L(~h~sE2g{yM`q85Uc*#rnY~9y^NAk5idQ4%;_q( z?e?i@(L{_;5O@gD7Q#8>|!qm@rcfc@!L&&uEZj<8z-G1Wk!`k zf~f?xxX#E1>Nh&sYP6xba2(3=X?J%V_0EAfiuR_|$zfLG8;C{(WtkVL$>ZEtFX3Dv z$X|0tc#|qlskyAD9&O5T#G98z-ZLh<^EoAH7S2HFqlvf(XBDG5@_yEk2Kg?P_ZaoM zht;E@$e5H6afehualD3RY&6m6yt)a~p3qgd<@3M&#W%MoKW5f$xpL?#eCDi&K{3@D z*f=6%m|SSx;_Fo`9U)xD)gCo8cHyCrs|CgmoaWmloA@)7bK-{1Jo^jKUoGS2apcZ3 zw9}0y#?YEn_-chG6Ai6COk|i@kF@%&{h$4kEVJdkcmMdxzg~Ip=C%7F-~Gq^qbkIm z8%{lRKPET&V#}|i6Jq(;@o>k=bE6REKKsl(=JUK`Kk9QM)2Hq@!=GAC)z#d+cxo9# zH9H^?t)7MQ^ld2!cbD|j%lq5NsfYA;@KoXD^WWLuv3%@1;g0K}5)E1I-CBZbM%5UFulg|Ff9B#2vp6lH51h zlH51#?^yBfOnp22v7_OSy%Aa2)0UC(AV_v|KeHcX>vr=y_um!40H1KfSN+UHLNv z!$3b1N#VKnE`=MWuACp`pSzMh?uF3y)8zP`@Ozmv9T>L;;ch%-=G5ZhKZYAlU3vXG zeEQ1k$G!5(DLf%`&12ByZtKzy(l>oq_TTdQANAYAZM9)wU3=ehb~N0PZ3}lS7mjA> zThE<3-f`^8{-3tgJ$DMfmmgRPxA`7ey%w0=sjDl6_62#a>J>cUsi&WVruq-1Grm30 z0Qc=#zU|xLyK45VNY%=j*E1`po}pjmj-MLDOa2UM-25|vGq@hFe|!ISZ=HQP&Y${% zt*6eV-uU=b>WX^&`lkTj>IF&Mv_Cl=K8wdBp8G<_ANJf;1gZ5e7X#{d!a(_*@bUZf zKV5%h+rR$t_Rp>v(MF|u{1yJ4jy4|MN++XzYULNz`3sBpV7gJXP368x-y%!lmcTpQ zYk|ZvkH{OI*pa9_zf!S-KGSiNDqw<=Qcj-&-M(`vve*BlST3j+xh1;e+3(-a6 z{qUZde^K{{Z)l<@7-0DfaIASxf_M>7=|yL6~w>%h}wRORNY?%uA~wWrP& zEtRx!*(++9FzUs4i@pcGC|{=4B03DJN3(pUoWn!DIkEsd-p02PUoUwG$KhY-0LtWJ zXPWp_^>{e?2mMZNnfr3EmK^61%9qs-9olhhG+e*`YZc+fKeg;*F_j9>!2MMC_^we& zTB6_qOY}qL8MWXG>bn(OdE%^pbilhLzeZ}NM%vGK(c@Ds9xuuEiEp0zJAm9>PQBgb zFOemAFJ6MaUIv}?ruQHHOzMZ5zx4ApQ?Mh0gRHqJhuu;w3xWgQzF>OL4T_$a zRWuabhm5g|vYZRR3gr}@TnaG{hIMJ%KGL@P_{I;NuwS1+bXZTFL()1*HX&zJdbc}Q ziU)Vl12PrG3~%a9mZHqXnHe$UBt@1r7Vj$7dF&_>vV>EC=35}GTcfUU){OfaF_cfZ zOcAX#b8Dh=>BrQKEQ;&{`xN02Dt9(2hhFjuR-W8vg22_+L?>0PzrtKE3X>)3MBE6r zsAki7V`!>}=elRXjTUBWdP)I<^&5v^(6W0;{2M)mCTy95*;vtG1fAJ?!Kwjfawo%INwB)w!7=N&K zGgbq+qwR3&J;Sq<`s~`h&PpLusYD;G8Eg&gBag_b10-62Zh&7IQ|6edXl&@D`&W(T z#_kH;jF2K@fhSWwzN2lhrlU#U2xYexI<4mj{0q8Y@2{86D-&UMpK{MLoTuS3Yt;`) zFIYxVKyvp9TP({F3N~Bu9LaU_dA?rq98@Ez1}z7r#^G$C?r65|)pSYZMM%IuYw7J< zHDiDt^e)ULpAa?{x!Enk>;^$RM9uMM^a_ngefktDEg7WWS}!Wxa_5X^s$^cgCdfV_ zCc{Mas=|iZB1H@|N}UZx#r?%YGCwmYtP89gSGv?a;%Sz&cbRS?W!fgh#9X2^7V5R+ z2~TGmZ*b>|IANU`i(%hXxfJB~#qQ@}MF%?K^Qp8T*9G-ioEkLKN953YX->QLtQv`bflFD3xRHKyzHGhXHH+u^ zIdL*kOE(N^)q)qTRx|PXeo>KATa6lJ9+Jpu6f2+S?qSP$u4QO?@EX=EW8-3aVlptK zbKim}5I0#}q}B+r2}zX;G(M<26-~D2T;N`DI--sVMeN`@K3gE`Tez8uX;}|^jg#Cn z0@ol-?DZO+lXY~QzD_sBl0~B9(5(81F{|*!!g)0>;3$DO$^6U$TRP*v*0?0?X!nTe z8(4-!xG;B3ja1Znqc(U4TX}tL?I3Z{Ffms|^GT)E8*321W%kr;pvP$I?tc0=<0um7 z=VeB3KcdzOiKhF0YCSlz)dETwULLE|*dEKN_Ls_=bZd6VRC{@41}VvsO86zQa6JtNQPdo% zxIZHp#nvh41-n#%cu|zOXb2arjg7Ar%gM$hsm#j|(HPrD4g`E?SalZ9F=H(wJrLoU z=KEMVwpD*I80w{l>2Dyv;ORwflRsxdfs%ujk`$9g{Ty4PcH;1syDp@dj)@jOEmDcX zHL;EDRjMDYpt?gXkY_Nqo#wOcjpL>_Tj6u=Qya`2T__Mc8|02`Q8ee%=Xr_tiBx|> zW@%11ynnAsH!aT! z4eYE(a~4??GJtfdpXqixJcW)*fv@0r&XApn24|>6t`{vFkP2onZg!A_=@L!O#3{OI z|Ti<7UJrQ#EqShtq$4;>4fX_?pdZVdV`R z-AX`X3eCdVc%SqTySd~JZT&4%o$qy=9E|Q~1NSNCILB!%#%l4__2a#bjh);fu}EX4 zNza93R|(YoG*MJa=s9s+g`P{At;wBINn!Ucagu!?d4xTZxtkvST4|Z_qsNNwYaLY1 zTPt(H$CQ(^2%6KHo@@|Zl3P$a+3`U z8+d_zcHn5af>v;Icbth0d#j84m2UP-@`ZDUT?K(oy|Z7_(#jr`(?8rrLK{rQr-=(9 zPEds^!7ml0_dj4%hqkg9EpD_EX#3?yf8us(`+IExQA&WI;>pEq+GuA}BFLW-X zFUbq+3-URsL#eOcReFSrnA=234(~4Rp9)$9{zJ(Ff}>fk;@|EKlzY|1ia&qPy<~44 z?b{|%aY!^?fvvNOV>UMK59JsgMnV}Y#om^9OGf<#+ z6>y(#oo0Vo&@u5#<49qD<&^Z{Pyf21c#S(5ppgRf1cb>@~ScaxO8Qp zg&mL6zDsG+)X=LeM@aKMwR~B9Wx;Y{ThWuWsfH|v_b3=4x?8Mlka}^LJv=e$JuLJ| z?>%;uyD?9WQ6KJL_Vq zEWcY+A&~mXcxg&H5bYPvX%ljXq?SlEE9kDQj&`fND%q#{MNY`>*E$Z9f0A9EJo0KO zACvxtqR4#bBtN7%<7kr>8RzLvefD2WKgxXMt?0&?!0hv+csgX8Bg*=9l|1v3--*dlX(OGj{`0sT-GxmX!3X_5vj ztD~sm62)XoAaQ*{nJ@l>wNI$zxo0z?RdU|sE!zIw#HX$w#uNY9l{&}f_1?H@N^^tTx2#yH8?!g235lwW*l z%h0`7VdVxChgi(!DY_wYv{Pn>lt6+6xq_E2Dx|AlGr3Dv?vNVmXnG~pMEGpzMx{!U z<(?$7phkQt!(fG+ZiiXG`vZ!meAX2GTrFFw8D}w)HqUg%^QF9QZG-92>mj*1XmrJ6 zlcn7HL^7UK2dwnH{LWX@*0Yneq$XR2oTD7LmN7)eYk&i$)1HF#A^M`3SLN_9GeuoN z%_DOHw?5*hq1{CFq(njeF1ui?uNMxIiRvQ-Rg-%}BHyCu1?-~4p6_z9iWGjD&ot@Z zvYv>WJg3*F+J(7Fr%!fxOml{OYC2DLiF?ToN%GfL4Ul>H2@jL{iX7xezfu40vk(9I z;Ahuhau|xmV&72TKxRfXXSc_0Xl4jDe|nA6LcplR=Ax@zb2E#k#cECuKOUMa;u?@(7|kJZ?i!g77KxnVFSE?5z$TG0XsrW#=0naZNu;ij zmS6;K!rV)3^{2z4hjDn&0S4hFfpf4Phh)UXTo1E!!{0BIZ|S%RAIiSXdS*qofUkdq z&Xi5M=dF#3QGk9EQ`v!Rcz<|FNyx4OX@mH4_PiB1ZV2b7-{P84e;(k&0xvQ7UgO3a zl%us$xQd57cJy*^M`8JCHQ&fLg7;UBdAhz&yljrpIb671QWAVxZPXu!1|6CJjhA)h z)2I2Yst^0i`4QByOFTc(AVxivW!ZvubxIVfmPHj!#?eOe4fHjrQ-bQs_UjSxq$RLQ z*UM~!)4KNbgH?mVL@Uk@&pI2l^l3SBnCw)ylKD^ED^>8^bd|PA9II57SyJEc&-8P* z3~pN{P5z3NSgMkXZPN~;it?;5X1Lc4>IXHWPph!}RznpZshEvMs(9<*?B*h$Ak8nP z%SEB+Y*EC^PSm}89s5mmJNt|1EsNZ3Y)8l+y8PkMm)p0Tesc|023lCi6XXf!1P3;g zTu^lv#v1wdP(G{PRzam6J~zHdcY9(Yd%#6+&g0V$JG6Mft&;`TL_3wEvMLvw=*H6A z0!~FEmA)xD5!W6+VY8lxNxtN&ArQ^JZxVyLT!UI=9?J*6SInh;_Tq8#b*I|`*n(dQ<+=S{A!PvkJk-an% zm&%+TwOVm-8jE*^4YDVfYc#$-Sj>!c3r*Yrv#Wtyr}P;utie0IX}_eZT*B-@(~D!c zxvphp%YDhKU{KRXlvz;@U4LKWGFdnMOhsH@9Re+X2Il^VnH3xsqO z8Lkgu#}xRa(p1Ku|TpcuR|51RJ&X>e4!PQG1r{l#|k-H>YV4xSJ9MaO3b1Rh28($vVrFHQ2bfH#4To>J^~ zcb!;PpCO0leA2Q?1h;RZSu#CiZ=O%Jm6jeYHI7*$#fxHOuDu{*+(=B;EEY_hGsxyT zA^ixu@KV}(617y;uxj+1D1%WKcpM+El{KdvON`lpQ~p_t;L z>ZhHjwRubCdeCpMEGMLZSPC*KIr&2E7gDfNH_~RQnr_uaLF{}*cr}zT93H0-;?m8W zmxqe)rb;fT2Zp-4iRr2FqsI$!bB3cDQk$6y)_HIU3a9OjoLpZW9Fq722;Ni#(0!O9 zQplQ`lP{)RwZcBRN|g^H+q6g7$cJW8*B_hts!+w{d#^M23PB7?qEFb}-o#CdhD2Wp zo$|b@#?z?h4ow*sGg5m&F?8@SMeVsRXN7q`*J?xsLpT&@EFBYiXn~c{4q0(IJ1lLJ zXef#OLtR6?a=x;=6X#Ng{E}7@ooMI|++1I(92^mKMeU}8lO<`-f+qRvp3VHB^1%0B zTr^D4+vJlx zD9Om09xM?VW}Uc^_Y{xG4H`dlhfZXM=Ur|W-k-}&)g03mg1W^b$^((76J2N>6BJLL zlFy;|UFWp9P&Ab5PL3p?))&gM?f|-Oa@~%-l2}@(psR`57&x)z*5baqw|w`LYoD0O z6_W@oj6?OXn>d-|>vqu@LK6kiX;P!ZNx!)Ogc$m)5I(0m?daZLn zGfjr%%rW=axm3ypETuvhoWwjKbnEWmos!e7tkbXq_YtH5c5!=NCjFU+4(I-5*=Xuab?Tr zF1_*74L|s=s~?Hsuj9~}%G%?HL`R%MW{i;>n6-jTs1d>sXtKmJBHR%h)(qq_nBHQ> z8NzW^9G^j&*4A+t3xg!9oa@G}B@V@3r-xxs`Gm$+0fL*l+ex5y;S@a(7h)OKl)48+ zsFOg6vI5$=h8Z%TR0-F>D|u%V#E>DvG%}MhJdTEha9&6-8&x8DqW$tZ zkyRj00DfVQKv?1hcMdhp_EwmKoNEd0Mb@ddk{ltr(*mUGY>8Bw_6TX?T4obvmHPN5 zNa46u%WPy6$#7xsp`o*P6Ifu-jYN^jIQ94hN+#7|!-(f-N~?n&kql4n0LDah+{ubF zYJ#1pWSw%2*rVoyEWC5!XWN&zeEkPkp@_hEZ39U;iht<=I}7}9{iTgcXVzcgDLUE0iv@ z&^M`IzKd9|#Z8(u$vNT~6TBRQ)^i4m!3fhK5!rK#X<}zM50sww^OC9DGs3EjNF@vf z9VZVv71QL+UsyO4op=$g8oXY|a-ykN`7{ev;Df5e;t;rcMm;gKwvjo%n224zJ~%Xpr*D)MQL%sT|1%xoq{tbSfJomxB! zv5y_5RXrJUaqbCG_0+Z)jyTCNsW301I}SaM8%5nmsE{Mkev)vi17>|(bGe^#J3RRu z%cFsaJt%E9LauAgjN3)^Y7K2f{y}bf1ccVXg%U}@Goug@iYcf>Sus6EjbZp3GEU># zwB&Z4z@kvPxKP@IsQ{v*hB$Vz56eu@5(H9N!Z0aIE`m_9F~RPz3c#`BSB8J~?nk!l z`k4LcajQ@0EhZFhRX1&9T%4r=Z(t3fu_P`|GL9>p-K%*vv5X9N%5aJ+ETw8F2s@)F zn$w6o;`u6Yl$;$R);EsQLyyPR+!M0P@G!+pcZkWYv?P_uwcw65$p^7&nc?!e$qZ8E zj4sK}5d18Y$74EP+(B?g0+E^jcWy^)bak&J+1KA+aK{+X8Li-|GAIHaM z(g*C<#HV#uipe-UU5@DtHDE=jL%9-8+L`t^kD35H`$Wijvt=ZYkQ354d>>vc5?YV* z0*rop5uN`$=Mt^Bvqb;n&vv}}kAHig{j|7I#)(~25*OJyqDcd}Qry<<$q`W?6KvMP z$oI%D8dp?&0%T28k#7`;5fvMvo0Kk}?m~C6Q9(C}{bIL=G7}z+btrHReoPs;$8r}j zj3_+OUZMpPFrgMxnha@U9>H-UH;xNC?qLSeQWlaJYQQ9Om9*(G+ld)+hE!J=oylBs z!c7BiCtDz|47x>lUBAM+9XL^;5P8ODPm_yj(3_1CmLjK9Op$>Rf6FuB}UB!=;GoaN?mUgZz*rqmv1 zb`2tHz!1MA;s_}>BthL7gB=AXS8z9^Zf0`rVG2-@HmwZxFq7B9(malkGvrI%QN%dC zJWed_8}Ah345Gc?h}-8{xgti2unCRm#rAKptrYU0qo%`$D?krq z0xA+4*$`6latL9YGhIZ8GdVJ1cUv^Myd$){Z2ui@n+Sh)=xg7dJh=QkiH2zxo4C_e^Qf-m8fr(p|16$C;53bs#O+MsF(C$OQ1dxi&P6%jh>W; zwM2#a>iLS)MLx>TH79qEe?#{xAKp0>bBxMW&&`ath}7|b#3?TZ;?txFojz}JB)Q}M z>hSlbf=3GWD%y(^jk`*xUNztAFA9>Z1B@H zmaE@`nGhJCf@r~lc`kt1sbzXS6nuM#8AJSVp<$_y{ z$586DOm?!5@+J38+^rX%=i7f%F+!fGEBM~2yVXb;U)~*js}Q9o?FDnjUBQ~^!ihbo zSm;c=yr^{#t4}1dx617$Qq>mr^rF1Y%)dRyLTJ9$6W+beJWRgo)jvin1}_%n&Q<+>+UntsZm$Xl76miu@$ZR^co(>z zsIryrk4=ZUcSV#yHVW*zqRG2)-5(Tp`1e$QawaU^d-PL6;1gKW7gei|26sw5AMf#8 zP;=CVh7|W{k{lH(0wi2aXCLFls(X9>{n653qxCmj)nrR4nyUDZZcBuYl5#`%k@@z0 zQ+jZO9UHE>pPg>y#@;?VMczluxX?k~_rJz!G^rKt+UWaw!0;ayK5_Ks?hjf2DfZth zjm?oG;_=_GOF#Jee}4}P&b~RnqK%FEhZeSzV_y#LXx*j^N9hlgGO3Q2-((kVIaM%t z=RV~tEgh$CJSCTlsfj~1UlR8m9BEAL&_?}_{rb9Z92LdiC@boNW1r>Ds)S*j@3ocgsEU%Hv^Ux_{-i(H6-}*A2#k=l8PjYZFyfMc3#;TSt%9@;wbCE+*T;T^(Y z(Ydawc_H1ogFBMm!5@)EWq()Gz{P@7;=ow8WOwECO!kx9y)4i5UwY_G>z*eo6Vi=E z{_E7Rv>a#?Waw`Eg6&COzSN(Zcx{)slCG1L>@T^B4&xU)9{TP3bCp#WzQ9j>zv>S8 zT*>EE?;lCs^~SBogW8Xqqu(*~_j->1?JZksj;>uY#($U0z0EC#cinF6Ojm_>H5;|3 zO2WGu%?s^S2anxZJFu;!ne44SGk^xIWwO`%%)paEn^?5m@eF;k7~fpso}m-vB6NN0 zXof8N>kM(X`ibiDF|jw3`NZk+Li_7or-h}CuZ6>nSI&(V%DX?I-*vj=bCbJo%e?VM z&*X>kPK)(wdG zx|OYaM0~%i<94>Luwq5M`gQGR((ApxiCx;iRlTKCS=!Gw4`_Gsr}@6lTSxiRXZibX z@BEtjWo4i5cI{NliqS?oK6ig-@$53cqsbffH}jS1=M8@wQJ2&mRR#P-eo6gM6}ykL z6;>addY|m`wiWsgUcdIu>4Syu+4r#aH~t5`drG#FyTqb<_Uzy4E3e=_r$0x!j*Hx_ zXFAFK{~@0G*t=?3_@~7Cv3I-5!XG22Zh80qvhZCJcWYV48MR}Y`*Hi5RR=rf)qiV0 z^$o92{EwDX-w`{WKlT0t2UdRbL3Cx~-4#@`#eZuEH8p2~Xl91A5&1$xE|EAQqBNwd z#Eixj<&tWAkCjyP^~WcR!oe4<_Jk_UN924ZBGOQf1Pw2}fn1~$K8>jBW#X(vvZ&%$ zs>%lN+y)sF3$R&}m)Rqv9`k9=G8$DwS%|Vq4O|Y# z_2)^Xm-t({T<-gtky<62Q7X>6dy&KE&(Z#Hdz_%Fm?RCag8FaOsfL;++?>2fwElYF zjGm9A*oY>6(ZhF@N(*qB#r5F$o`bBP2&%bNFBTY7#K&4Cd9%vOcQ!E>8q-KYh=ICC zF8^Wo0rV}dEeTApaJsQUgT?{FWI~->m9nmdnl7{>GeiWtnZ+go1j6Yqbh>kuIFp<( zAYY8%O1H*cXpaMt0I9>gp*-1%Q5TAdYyyTiWw3VuS>vSoIET`hREQ~LIF>S$x>~e# zNXKMX-vHEC;(T5e_(;g+Rt}V;;%z#8npyuaioK9{04Krx3$%}k(D>~~**(KhY(z}P z%tIju#-$FPxv+1`6Ca3fW;|rGGP$QK4rR?Gz1A>{l}2~3BA#z~xm@$724%GEJeM*z z2CGYTG~RQfe{c?67VRSnniQzPZ&2`SMb!E zg+sF~y0z)0R3ksuSlS*b9B`Csk+N2yO~a|tUggE}rOJc&~$J8X+Q z)s)@tzf?)Z97;DqDXbx3@@jA*%%=yrbgR%U7pZ5x*^Wx3y-6~of;c49q(ckCj)$)s zl#@nGsusFNG5_qw15pkUT6OX|c)#ODNacwRZt&kOIu)U~AGsqa>#nsZ&#E7@chgoE?L5=F2yR zj_T$7R%xuXw7X1GwiZ~GC^2|8VIGxz+(m7yO6=U45XD>du5wuHln_1aw_@9CJQthL z!n8rDmCfz4Wf+4oWi0xn&}<#SU^>M+NY5J?cbaZPTd9z{Q;3g=jg}ahF4kW-D`a-E zhXjjlE2bNG#cRwq)3Q5*ZL_f8OlC}pg)duHwB=$@e4wzfah_m_W@BIFeEyoJi z0A@1cZbf_E!uiYt@~Cbl2Z9F5COV_qyBgmJt;eo`h>#krSz(8R6;w`t_qt2!)X8pg zxUs)@QAv&}matrWs8rv^ADAkLc@?d*sJ)Zx^9EfXC2_+X+gWqc%xX>E?uz>9RlD6r z62cOjHILMUg^AD(-CrvY8A5f8e!Yp?0ZEFE4&8-Aqe617*6bau8^zK1UWyw15qatp}x}PqMb{l1_*Zvv}FT0T6 zo-gbF(O1j`^NO~O-!E^X8yde;{MD5Up(}q8Y3;7k{7{~4%_<35z9XKtq&A+2XFIgd z$s0(m*RT|?AO{=az})RSQ)E2!Z)n3c&tbY=PZ*l0>%*N~`yno_YCV>0DjCxvby|i! z*r7qKbGgG%d8i%8s47z>t-k}g#$q{#Iwsi0$DL0=QGqbQGM(M)r6mGJ&l)j`gn+|z zPm=GqgxXCrkusL5!jgo37jBCo(@deDoEnpo{TZAYoFrruB}i}2p{=~|GC$EAR=802 zPF=A)()UVupisj*w%TQ1T35MGmot2crm+`O4C`ewVWTgcrwJ)B-JCihN&&e_$!JYfeq^sV zE+_X$@q9^2nw*}XyY#YCqG{CpSoL+m_-xuHttV z{g%7-d8?yC;`7FPw2u1bgMHS@;DGRE#hc_;)}l6*IlBTlUwAztJfx!f9&NaTu^W$c z+;wpNS9WSo+s<_RmjB_fenuJpM)pne-t{|EmEGsGrN)~+BKHnV ze8$Uu?%?y}Z^N&f=Yn7Rp;#9E&&-b6O7)u!UyAjfS}v&dCQiM6M_0JST# zr?F1o^KMIv`cAR&TA{q`Ys*Yt2^aCx*?Hle)DiV|{^{8rbhuclJDUm~`dHX^C4BsB z`r`P+57<%p(wp8MebHDyyzp()Dp#rCrwB1nrH;)X0_g zVR5ul{hV+4pP!V@h)3SxKcgn!dHO+mrlaZHZ1C@&)~D#($CkORzo-@;%{(bRQ&8@E z^%rEh@U;wi*ZVQ~BSM?EWolr#@SjP4!8<>${?C8>(`_%V831yt+QfKUG-w8ov>2md z%Sca_3D2la>VlRdBo8%ME$Cs|7W+P5#i#cO;q7yKc+_SM&iTK3k9>bi>JYI$`&`yo z(n?F0_CClrDm{8HyVyL-%gyZ27bix0RC7v={crxGQnYBGbE{da#a~B^?a`kY{!&6n z|Im9<>AN?wRjDAA=Phd`X%@%wRADL+`@V2@7v%1{oDa6_)5>*aHnbEO)cX6SX__2G ziTcTGGkqS)4NrNp%BXa+b^7_P^hxClx%;{~k^wC$O_s|U8CbaawQ(9FM zYuejak-oUC-{k7ZNOs$YE z3RJzjtwp#-F^gs8*ool1rBn0+OmS#Q*6Z_T4G@jod|h9&czRPcH;ee=RFsUY3JeO{P` z;85_yvnD6?(wKU`f^fm_iDB5hf+qN`Rw3O))00JbPe^pu-@KU=@?CcZYnClBF`^kc zzBdH_S0wXq1fk=1!6y0Hth_|;=a)vlKr23dvuc9yMa%uO{qLmaymY<+bscPnXZ$-y zYnB({f7*3o4Q^0;fu7|-p{XmLj^}b!jo4{0?UwFwgpufv7$Yh%Q;>k7d1B6h?$y`~ z&LMJ%6gX=0-f} z_%iaN__H_;t9)WAl#P#ZiYGVJEu*tMuamz>kz!|JNK((+^-xt#oHyVJ7mYkQA5y%G zkxo#wYG_coU>qSs&c+#+@-Xo461>8gIxM06Lh7?q!N_|D^(qOy=oRWX%X_Rp|Li8U zZ_8rc)sLRx+~PqTOjCZMQApu{VT#f%pc@&i-wgJ1*f)}ikg*F8ZWyTHr_i%Lj_Mg4GVGPVE}WAfr#;SwCG7>7x%}l%A9SBR{Q**tKfiAD zZfo`~HKr^J!&-y>_uA2l%vfz{+ilm0zwhGenv37NW%S&yv zxG<8gD^{K5day_M<v{V;Kh?1O_@1)AtEdqwBSqfxkI^5G z)AxeEJDK{)O_$|{M2|Rj%Y~&QTpMm_x6d6 zlb_lf{`c1Z!`RmV#8IC4&kh^1APGCeKn6mRnb`qmHzWkI+D)43%*z17LPA)n#nhf* zv&J=AZDMR`d)Lm)zL4P~A>bN0O*KoFh&D9^v6r@Y*RY~uwAEnF=6dbF1<|7CwFe4@JF08` z79#riS&#$c@@L*kgx0*EsaKw{${F)sW{%w>4Z=ESzFq0v+}E%o-sfsQ9}Z?Wr|qaO z-!_c9zp(C3=K6xi%oP=43wo^=N83lv7hm!HJb3DOr?GdBud2O^Z2~8^Ea7}?N;%JW z;)(Ei=A3kY9lz}<+;g{N*0H9zqxG4Qwye^#LyT<5{Fe|NPUe?gX{GVy2xVgeut`(NY%kuV| zEm7Fzd{>P%)P9*UYx3@9YnZ!Pzv<1#Wm-Rb_Ga;3zq$9Q!XrNTefjua zW`fPA?=#k)>|n2thsqm6MaB;*VQK|eHb2HztY!uyFfJ`!WqoAVyph8en4>(_x{Sf_gAnxkh~@A_%xb1kb?kj?$Dcn%Itci{-97owbHL1 z88m0C@8GHfcXd*8ujwBifgY=t?#+Mok>dy5XMJV2#><;4CTkm3W3lS?pN;uvtIy2r z+PTnWDR?pvU7Nd=e-N)nN9e`_d>xK`Q@g~keJHtdfHyEN;Tf$eaG+&9I#>YM+a;L4 zV_V?SD9)QI80-g7UV3x2d#=TW?~~5A`v3w7zU|(I`{_6M3BX+qlwdLOCAj^5;GkAQ zGei70{r!)`i}~O~y|c^#em(vH|6ogz1|rn+eG~340^nTZbfD*W^gV5r`?2J0cSl7M zS}eI!=t(*wOWRub#{e+tvFMY4YH`Y~&57>pS^A*Agu&D>iq;iK_3P;H$o;Rpf@L8nX>Nu&V+OMlEnU zZCoFClqneUpYPj`XX!^!JvbwK*XGs;58`9@@k82_dj@6qOciY2>V5>3i<1F*Fgi|s z3$?sY=krtU{ju37JpQ3723&3d$fFhi7z6N6>|j4#>7GR^qEDiu;6XQ6;DTnHYPsUC zmWDx6IF{w#7o82Par^f%)Bf?EIo>7CG7u>80)PhlA;bFt5Loa~il_@*s8_iU(GSzN z^8TsVIj|uwn9=GA-0%Nt&6VF|jx{gNOE5z~Q*Kz*u=GuBsg&dr;&EmhBS>2d4xn}= zlh@euA}z)`Sk;7`{L+B&h~}&}BGGy&kCo_YG@*%^Es`4EUa#+COetnT&wQWF!D^YY zqQ5}116;>(9K%$hf6$nXg5WYMz+!EHdQ7U4sx8AKeba9UVF)D=XX5e%AWt>MA`n}P zd4jc@N~__w*nQFE{Spm4ModsGy0ArioZH8Mgu>_nf=*;B_ZlOuj z6*U!|htbW7a0#YqpMerZQ;=BgUvv9i}(gt*rJ{%S;fP6VVj!mo>G{ZQsd>%^$z0te`o9X=O!#d+;QloYo##N_1G}`jd-k! zw^G@Ob|dCtwEr-LA2e=@Y`C)V#pbr+R{_&zrv34<8TzN*BJ=v3$Kds~E9LqHtqgoYDqV)ICJK2)b=ji6$FTBhim>w0b zJQ(4>>lGTollbPmZk3tEQX9H~7$cwQQ}@6=LULPV{u``*nTSy)O--!BOBb!m}mp z{Wjnsa%a!whKHk|`hJOzb>w^4E!Q8thdDB>s#iu2C~JQ1gTk1lXY>17=)TCV=|h8& z>0f})d~?H!6a8T{9_GE@*J^zI%$D^9-)BGfT+<3vWUi=^_KeK-SX+ftX6LN*ve_v$ zLp<3WmjWVFR8cym?07__>Q-3HMAH!7awl}#wwPIIiGd>t=(^HFMWtOQ;sDYFC|u+= zfW!Td1KJO`D;u@C18jcJi;V|)~SSjH(rEPPaZgwsT z?ttDE{<}3gUklyPB%u=nr+Dk~mP5czjEzLz9O=lvGiNnk=rXfxTg17yx2MYcBztDk z@_O>7QTVJ`c5ou%{OeE=}KWj6n; z?_y`W?_A48_45pH6%8wj9z<(e_skUk7JZyqxq7k3;yEZ4%?WtTu7S~9Y4#thfN`&; z&*3CbF||vWa)nA%=}iTuS_O=heHVJ5%Z3tLY5?D+4#1;lI-R+bgQX8f+QI?gaLSqA zv$3vH6zgJs|M=Qfe`DRI{JL#FH9ey2%}-E%rteUbUmASQMD5x>k<4fRml zj!?h&lD_vBL%>zs13~3B?0BTM;PJ6DZ*OGZ4#JW&R=-U$<>Ws5!fx{GD6pDIm(X) z(u1(6IauBY2zS3%HuFI%r1V&&OR4pzXCL%{d_zvkSJd27Av z0d|)9BU@=)Ji5yqtb7X(K0knN=+vkSQX;!JLDg+~>3CP^Sl>am&il-{mVblz0KtCc z^xF3i{r09)nYo}en@_2Tzecp`5Cf~sdDWQ2-N{%Ff`FI!)66P3apVc0Hl5yKky=(6OAb?25sM_(QBk| zx(3lNkYPad7(?R1+5ywc%Zdvko@PqO?$ibf+FF*yj0j~Wav8YP%|C~|(gTJBThl-- zpUGfz7Pqt4go^Om-fSbF)?sPz?hpUEtRFfK{7Rq;|Q52j-TF$G9_G|5I7!si#l z3Z*Z(p9n>0(0AEX)#7Lb)^DC}WZ5Aqj>M9bXh<|*Jn-#A*%+6>|BLBp6@7|KED>y` zA0o{-XgOE_c6kX7oP7ua6ylnLLpD=339FbqDCssV@GgYyTpJlNOcESywGBdVVH zv;OyPcE0~F+ZXCfLgm0bF8LwZ!V0|Lk~05+kghg57>_QrvnQDmk^zo`aF}lz34Q<` z0^hscB zqwyK-yKe0wy49`C9(e>HdbFvQx&BiC-~(^Nmp0Y)OK)s?f5(fP|7KHNX~8)bzi;Uv?(uFmbh^n-i+<>MLZ-LJ2m9{} z&z3z}6M#(BJ6?O}UB2!P|GVzG9nZbzzh?*jz<+1ntp~&KxSSGx(_H@{{swKwYd?W1 zJ$)(=1ze?J5#9>oAZb7U{k&V(0o2o@W?ssOfU{#t#vDyR>RRCZ$8-;dn4t%(_!u;sYTj`_8+5;}q%ccFZ zM@vczW{;k7Cqb+v|J=I5sTo&g?7bwo(#L^Eler!(Jvqz#@!EB>(Lefs`O)`&H}ww- z1Bw`3+grmzv0%HK6Pd^K*|XIR`jp{Qh#6gZ^ETA=KquUzJMsYk9(np#VH=Py4u!QBtFiLX9Hpq ze`*JW17nYTEAV3+zcm`~UyqACP&E20yH4@aT>MGCE-(&oNv-h0Z-}6N>%!8$f^l{) z|JrGgS{9V>u%*2n15cnqj)~&=@^?yQs{o$)+ptTW<4^IhotwLwW3Up?zw1s)WiWlp zR>Xgh1WlS{T6sWcqVZh8+TLpB-~EHI<11UydK@5^*Ykz31i+OI2L|yl{nL2&wrkNid{lbjR(nA_%{er+%cNc|@vN z`Yb#CP+t));bFOJ4o>mS=ncN$ioX&}e`?^HFT$(c(+tBB!Va~r&&z3jW}MWXeD#8+8ImHW4!| z)8t4|x9MP6Bbk5&z>$=0lV6a*99Ym;Ac`p>*bjrH5s`cx&_h9UAQ?`5GBK0&+ZP^7 zeH}g|lVK79Z4kUl7+@6bpa3>0Y0_gT*dpwdCBur>En|`c=MYNAq`W|?F%g!N8SRGb zKn36>0<>1tA@Uf&Vml21?C^Dm24PtpG_Mn|_81wU!%aS#9o4}(0i0XFGlE+t0oZb~ z)pUwj(i?Pwi8!2-vIP}|1Y&STw_AW7#F;jg0naD9o@|??f$xs0nSb~SWJxAdA%=O$ ztCOB`K-eH01sF?tSma%yVnp!XgFy^I71EgzZYj@TA*=&L63Kay9w`Gj5wW3IFzPKy z2Jk{}B}<9tSs^4imnkjaF0~1qw`{FyHpv|b0W=K6M%D4aPK_a^6%&GuFu9;Arem6% zh9=n%Q@{{S?GyPp?#>a!^zuGEURo|_QX;q5q{J{NG9-s)a7;tHq*4xqw31TGp~^8` z>61xKQFaoPCsh;qn7Rc*;)rZ_N4~~+H&{$L_zLTaB}zFa=@;m85RzPQVkJfGsk`jE z<&~L{A1<^*va8`Ax*M95sDu~TgnufLYN0wm7T_9;qE8apm&~8+bVt&|47w-E!NIh_ zI}a!D#=_u(lr%O^F8eYZl1U=KJAi=E2EPmMw9S&|e*ngeL;h&wQO3yp)m_Pqkd!+* zly(Snxg0p{w@oANjYj=B_ z1LM8HNYEoBjwNs|o7RY9^v-a3S&-5S=xO)h2&zAXp8#(=w?rk@@J1s4#zvvmh_>`a zt2EY_d&|cGMhYX6D@BRZtrnkwit8g5Na?tOL>$0`fH@au}XT7 zRWn>o8_;E5Y^P$?Jq=O>KYaYqAJCv^%L$gsyl~EpwcuFEmxkY8x%1=vg?=N_#3{9Y zb*&*#oL1U`9P)^wPwxV|M{tdDnoIk{oF1P(MVT8_Fb+|N+SPx4TxfC{QaJPywN!Mo z=L9nYpQE(+^|(!u>~0MSv6VDJV=S$ei=z>fKn{%=;08MlUO*63tsHemiw5NBUV0xB zYwaQRlsi(Gz6SMxlG83JBfL(7t8&64{u988OSjX<2&D&=E3tFIm7(Go>08?hhBKS| z;6;5}+>4BEh?mG+qxyxtI8CxLZ~|P#%Owb!qojx_;3XQ3(`c=>3!TQWgHh8g)}K;F z3A6P@>}`lKRRtUlJk&&QLQjOjr?Q688z^pXt#Iua19~|k)Ae_ketqn>BMYODpoJ(n z9oofml{lw~#uA??ra%yiSBN1dtf#{eNQp||+bf_-3N@lHQK&_T)k`^26PIGx4r>;h zfM9qYpD#&{ZEZL=pI}&Uv#=pW(D-&C9awLnoEfO3fb!c~g^e;3s4>9eh)~FKx~ZH7 zA3;)QEujz`@L0339T;m{c9633q9WT>g_G1CY*b>>%?yh5su9dC1I!@PBc4+XDOIaT z=fMEBFJByh&^_0=%UY!6s;)xd!5mtsj!VvNUUJ$bKy)dPQluru(ikU-sW~(E{r06> zmT&ypLK8T)+eJML&QzG_L9$KtQZ&taP=-d>RNdwz%wlj9Bal4;>RmrYjIpe9mvf1! z0TT}NYXiJX*3Pu`n9x{3O;sUaWuM?tddK8AS6V4FaY-{%@P)Dnn^eXnoTLd;6x>K1 zx*+1DRq2SVI=puY1Py_+9WpHrLIehbBhni1GQ&eX3Jh{BShds!9q0{D%BhBp zBM=6RH=_@pAK)Myq1`MjSo+|1H;raK^-E$Q8PiN)TiZqxEzgs-Rp)C>_wGq&ikF78 zY_Z^8{|sMM+P53`=ipQ&r@=fEob#Fi;rXaDC=2IAVdM+6ct9u~Db<9}<4AU29UI&i zNG&&-3l*2w5VkRHaM?U0dZ_lOjHfMgDrd;LvI_MH`cgISlERjEefvN@Hm0>6m!t?k z()t7~=n~QZH(KpQf*@=yYt1ue*a($U8p3U{iS|A{HwM05)H}ITI96UeQr$S##*RHv zY`a#N?6k(8IU9K%uMkJXpVO^)ZD04p1?Z;mmX*vlt+2Y8<>Mt2$Ei!LMfGL{MbbBV z(D|019BHf*%xKFNVJh2oK@;bcZOrL|Or-O=LL>CI5{*XW{dh_l94UDlHOf zW2?zCgPq`_NjTaCM{(;?Eb4vM2K!;6n?L9Uc7qpXvQ~2V08eWfYDG95e8u3$8%1Ws zsg9UPCv3%{BVPjFYKOnrA%ojf9LxC{nqNypB=6opsZVT;8BU2qAD+w`>NM0US7uA_g`p zL~kcp6#Hb`P7<(FI3p7n0+sIMA5gOr-Mmc2XyGtn0~_tpHEiXOb^>f-DK|tFi7+1i5Ueu>pfsAZjhp!!3#~<;Gb#Av=l=g zO2{a->BvL?V~?a#(2~$Rqw{tNQOCef&Y*=}fVBZ8RRiotg0eZoqG)E**J!fMY!_7R zI;R4%i3UxJDTd||L{lux5L_u3z%)!Fkrfj~8#plAG)1FylOlYsAmPyTUTeG2V`y6y zC!OQv@PNSCI(Z`D1_TR0i=idtVj5b}F3@^_(MC|}=7>Izi3kTrm=dXjkSvuU!3Qy* z3A#j2KtNvpSmrIi`C#3d54LVtsQD745#5z0`PD{-%Esi*aHC(01B4~(z_mQIK?+ZV zl|2?MGN&!trnK^RFrpq}JcvlmNl}qPuSTrm6Y|&#S>vb|#tyjj1|khcX_1Ef%sy~X z7I+|-cFFL7m2?E{9iy5tKc;(S(Ex`~g|$Weq{;<1pjspBbZpm#QJMnEb|S39Y!OUE z2{t|-gS)0^ckL&?9?FHKX{aSzVuZo|rqv)IHA=UcTa~r>1ja7Aw55Q|r1DrUlhZ`P z5!{M6jfO=4e4KTQCnO5`h6q@?o#AX8S?08N0{_X%gvMJ2L7?P9(<6^+qRI`lu&@yl z2)mrhqj84hQq&P0vGg+4`bV%2a+2*yFUvkIq=Ct(K%^NP0$c0eN6PtDAqDo7Hm8hx-O#Sud93O}Lr${SAlSD> zs=9WEa?CD>JsJQt*)RmI1H3SkAk^akz*}p1QWbkdIKkz!Nl9Od)U7Ap^Wdbn85|{<-SPLQmcB2n=)AlUO4#u362)-@O6qYtKtFE2PJ~sPz z+ZQXm$8y@SOaKy-&B?P9Y^tHybIf$WXoMIbFb)ZyZg0n;MJ&6O}4ZPQM^5QEUOH4FRaVFJJT3y4gO?)**2uZ8*VbJq6lSVoZzal?f&+RANLd zHwEW$MJE`=20)QLf-}gGI$<}2lKs9*|GM+yAI~gQ(&1DQ34ING>>z;3LSt$g+nU_F zgKPDu9{?@U2N2FL@*YnN<2w4;d&&m!b zFMzW&OY~FHb^<_yh$U(ULkwuFDfjV}$^Zv()(J&5R4T2EA$@tk-^xe=Xld=rcmDLz z3z>iOPYdrmzwt}=v+Ux343JoL|( zubx4bfXkDwe*Dxi*`&#j^S5CXeP*UV=T8=7p-{=Q%!9=}j!4GUg>)jaUP#jZ_em`~=PyZ-8>zdFu0%;L4oJO2HH3p>9)`&U{1`;YUFZ%_Wl z{Mq8$f1m&Va0hB&I5x~@oXIXZeN*OxR~>0T{be;d5|cwPndX1~>e>8p{!Jw^@Itmv z^1{P4!mfizZ(#~7Wd5s8TgWk=E&29IT5PGzqd))fjvv1F)Ui))eEP($FZ9{fuKINI z{+AB>^cO#M{+HkR)Q$ggnu*p=Ka}~C-~5*we{}(#c(p)(b>}ZW!j$>z^goT}KbJH4 z@Nc|->81bt)o0E1`Dgxj135X4|F;B>hd%mW(tHIMYLHoyHTRtS@ui)g@s;_pV3$8B z&O*B^G|(r5Saoo!-&x5RXnA^#>q>z3gE%fizPs!tF%DJ^~Focugn*8zI@4x z^UF2P0Ci1r(Vkzq;q8-RUgZpuv(2%yHCe!9-5}#%@qj{EdatJKt_QlgsU=lYOz@ z7aMT?mSY19`1wMb#5L`={!MA-mv=3G=b&SIXNKxH)0r{qIP-J;=Xy)VEspIiSO2kH zAJL!HN9SM3v#WnvhL$10-vInc8Pmyg;Q1E+kG0QVw=&?*p^u#zJM*IaqI`D#brz0i z<;3FOGxEY;#IXUI9R5e-g}-OztA8yS4UX-jGKNwn->&}IWoR3_o-Bu!;a~jw4+fu-W*Crb!F<6c%+nD7Ce#VoZ~_I_?7AJv1pI)Fo9|o!_*%HP@TjCl5r!E7 za7d7t5C`uc*Wd74Z|3H^lUL@`{MY8s9LXonzb)QcJX~ez{_^Vo{3*$i!+`qp!xytJ zv}3X{ucl1xt(pDXXD{!(dLgMTvRO`o2S_ZNpwGiEC0j6=q)*w)fKtfm8XM;%8{XL@IRRc9C#7tlrzHU}LVytg z+g!q~i%T)oFV5N3?Nb09EOi4=B3}w9!omgz2V#TN%2NKT{Zc-Gm)2@Q-atWW#gB+hs$i(`=$UB0`{0B!W6E08m=wU@;3`VokC`Q7gs$$e?2i zh+PcR41u4sJBdfmjnevrwHGfDl^v?cX~_*jlWr^lfJ#*m(owz*NP}KWW-Fmel@yVN zq$)?N-cCa7_oxvv3w$h z5!3jhCRu7?nB95xa-b5BIt$0{DShjLMgSP(aQiR$rC&I+&?I(I6k+HbGwmEiasfRP z0+qb6NgmKQ(u#8rcyyCDi)rFMZE0CVP({M-m61?MDCI;m4Dh6L*Dfa2g6YUK`B}-J zNBK(8pjB42$H1c1d`JV6fABQYl#y13#VMmBX1-zHV^mro{(MQ4D%r4AX!UR*!^27< z2xbXS!qk_jB!qNf$UN4@g)CkaI>mUU>M=Zo-LHcFLo!*_t2lG*tf8l20zfEeN5lfH zm_;Y#Yc?J0)@KbTpy~Bwsj0}OAWC-75w;t~Zx|$4xAgq+rn$upE(%62CVww1-qzL0n5)1+s``C)-&y zgj!XY;-gk=<0x&`u(DTmp(R;m74%d&E8^g@5PKV~0*nu0$S;CSk+Hc2fa4W|v}^+e z6p}9zCC)^H2I}@+M+;4bD@G{Y%bmA`^E`;9=&&KelrTezOEaGXP?;0H6{RvD4gx~r zQbEk8N9iz^&{rr_Q`dLKUeC?^{I12eVFZ~wi5y@JwP-}fWC2L^FX%d z38a*yjs#*XW&m`QdcxTUm?|1dPljbef7)8I=Ywm;b|$mHfMuR%T5`&baQM0iWsxh_ z)<*a~s#;b0#>+)f+Q{HDgOYl#mPm%Z2JcUq5bg2xH6dq4lP|mxGo$hw#=uTQh1T!N z7E`IqJZQor1sPI>_AP&^ssYwL)7zRqV5}-=&)<)0UBu)l?voy3W-4rAk+6rx{_j z9HF}$T@dKlPL|w&2N|2!4Y;=Yq8X}7X#yziKDSUtJ57bR$>xk99R?R!0h&j#nJs1_&vy;G zomgV34#YU4iY#RCq$~=^t0M&H%`gTUI1Sll9$=Y4GgDy3_fR5E!+<+n4+EFfWC0zO zdqHrzG*5%YQ4Bg&ST7aq)$5OfX)C)LpsfUFPpu)@ZWqNBJPaBFEy*6E^8F@w5DUlz zQca|qYS^hP5fnS{4CR8_goJ8v@#0N}LzzPEyQ7ESt^3_VrBh8tLyaf-eKbTA77C?o zE4IOILG$;fxAhk`2&F}Bt>;T`xA<0y8K7utHD)URKul;58J<#jv|h>L?XeC15>!m2Gbdi4;OvliP~<7OH-?OV@%cQpRQQX+d6lFq6_Vv; zug2@wMyTG>qJpf_h7)Li2!B&TVTvu_jhs+*VeE{9`{?yGq1!Wi-(MUhhLwhcKWxM~ zl4&c9EqMR~kZOTpH993LWVRveE}a0508EFpI}-Xxr$L1aTPzVbp2;usqZ9->Z895} zGYHbaYK&w!AlwxVUqE*iie6Cn$@V0Hu{5UpYl&V%?~@xP(F3R_NU4>odn`_IIJ?0R zLJI=BmH;6=GTX#4*&~n^?@Xg(`ba*Q>;OY#alkP)u+9iPOh}RHL0)e+bs!xu)0>=3 zDnNgV+-Xr&2$LtJoeq)4jH=t$^tOHHXxfWV{$#SZF%8ld4VMC2NBLA<75e1;$Y-Gz zaKDxZlxG>o!G=|qmT#$LGNFoK;iM_Ipj@F?Eme5tc(xz7P^5_iPa=zJZ)!1f>I>Nj z@sL`fsXignE|n$%t_nJzK3 zLYEUa3nd9O^it+6YZe-Z2x3izkRuz?La?UUbXF?M=L30KZh{NyJeC5_(I~B&loMce zrdJUCo&1cDjg_SyC(IGCaW@L1a=}B&8q=f{Dq5JuawMep$iUE9JSfnX=E;(J_5w$j zTlIJ+u*8z$&{Ykrx|@2Ou*U(++D+P#xBbu(sK zz~RuXE(jW7={#uyC%2PedhkjB7hsk!yoCk?+T?mT)3Qr+s|QA2MrXuUi)T?P_EBcL$cJd-TCH1& zFs|q?Br2Qn8h$NZBbgSIXr-pSw_)d=a#IYn(}BcVG1nZrRt+68av}~PYQkPeykg0o zX~Z`eMOlS>R5CzU*EtA=KbSFsLG`#>-K$(s=hSwp;t3|788^)RZQxl{a3g-UEUA@_ zu@DpB@y4(uPYBJlk_Ev|WUJnfF?)%5MT*}Ti|JE*smi6gyN^X(R=gE#VoB$IQ56y` z)GZacWyNe$fkX?2unbpcGv`Gs-~_E}?0%CETG=Q?1-v0YVWWUvYUvOS zYm6%M)36{0!67D3w94e&?w&MhTr){n>SWZ6hOuI2lxl`D5;=g*rZ`KtV^BWp*YGW# zeOkcdBEiJ3oB;-r)QV`+TV$%N(;_5`Zc;c_83#(oh)w!Z;JKYMH23~Q*ZO$o_f9Rg z3BZ<%b|mWX$f+E1D<#_xt711Nz*4Iw47r*KJL@3=sGZRX(Tr{ww*X#{*hdKlX>hh^ zgHA*@T3Q;*1JgAxP=Z~Eq!XU9YO@)FLJ*E(%!s8Z<aJ5UIN2Cxm>3h_^x^cba?c^Ytr;e3PX!+1@ugsZ>|{ccj8f4u3N(md-$M~1 z$vfR{f(Yq2MIqq=$q`X59STM(YEnB1x$JUC?vXts!0UqTA<7FPLE8OSY33r zv1!LJSfj;s*ozQgh)s`Hfc+3Wmz2|CNw;C$bYPh^0frfK23*I{2xBr{h5}-dB)udp z!@<<0PVC^6WUJa-^HA9g!@&nEEJ<1=V5o0Ulb{l?ut9l<`T`dLDqB*fZJeX4^tFp$ zJTr6f7~FugR8uMOpnxeSCYD(Cwb0v*3g+lkZ_o)e+p0H(BCUld;wG%!B#eHACx`(T zYX=Lx)L6e|9AkLiUaQv2h3%9wrIqp*5_;)QuW*pTce9u;?gX>}7R<8qMcCt6v?B!S zaDosq-)#yWHeqTkf0XG16J4Z_<|QBy2wlZ8EoPkevvI!Vj4gR zJw-e4F$t_mdO1wCbk=irj@Y7$gn2?&NiGAnJIj^MF`^uryaAgmr`RP?zn0eHf}DPv zO%LfyFk!|Uw}$vafEQN-Tm~xwycigkN*FLWiIHR{=aIT-*&_*1lrzHz2|L}ufgyU9 z0lnP4!Oo>CSa2`^mLu4ZAfuRUla8T@f+bk&lQ;p4+}M1~n?yCa^U4pd7q~z5?Ih+? z8ZrobkyOZ{{%VmKWmeO9Mzk9?UuK{ZCp+BcL_lAlGC|P*7gQwKDphk5wSteHh!P41 zPXQ}z^uHos8zHN(6)!epRPC+~^sqKj^;?#mTZ zMAL3nu5mb1c$P%Bq$;R15*@P_z0W-fwbqE?5tP-gR1I;D%bRhAPss;?LQnEW)8(6o&tSWp?_aVmUB6{^+bCLLtKs*S6L zJx+*rE*JGIo*{-M3I-j8!W_X0s9x6yGxIk`b~r!$=O01`K>~A;sQd)iC<9H@QsZD| zM>r~aaH=Z~5=+}kMU!N(yHxC1Mg|A~MC8+Lz!#zxz(fRMo+8kFz;?sBU@tWG0iAJx zXp#a}+ED=3SSg^+wNsMDMyxcRn9@wauxu`%r8bV}2Hy;*8SvUflc6sG6ABhwPSU`_ z$QKlm`sat^H0tf>A0O2!)S{l<5WedwhP_}d6RKg_yWVTooSck;QQb^c)Lu+Sd z$yKA>sCH1$i={b}mO&8N6lK^}3F2go;GHCDiUNiv<|j?B$%(e@_G31H_*ZT1NV|n2 z9Q@a&RI-@4Stjdz*ot@!7Pb{mi_cL>nMo6da3dq*9!s~Yx>c{IA+OphsZu5wrwa9& zw3E$1OXxo}Z*EoN$>lW3CD!OD!s9WZHpxEQFT1THqZTF;wewkCG6q^WTN zsc{38bGg9!AwY@YfN)0+jphi_lW0I7)+!eI%8@o}%A^y}I+pNey$cUtn(@B!(Wb-D zoRCO~cM|!8eTzzid<^%MZ4TyH5Ck zPfUR@^mrQr(QW`Nscy5JHjYgZF-p_Ook6`@V+&QGa2RA)Wu~PQP#ZZ1Vh);{6~eZy zS|%%iAb_a607As13lL&Rf*?~+P(_3R9jY~LhD?xh<~ z_FhG4?mr(jmc%Y$vx?qY-dXU>SY1vJdTtGbt20rcz2aQUJ_xz}cs0E=`2WnJ-V?^9 zUFEpp7NhTZ|G}(-R*jT*?Ns%_Z;JIrrJm{GQEh+UKbxb@x%N&}!A=hJR-wx`H{~0b zL#F&1J9@fH6r;Qd9#KORyRx!gJ%pwUz9n7eThxXMYjmqCuk1ReaivnCbO-LDLkG}< z#jWgValGp0R#6xa#ad~;{yAl?z^!_n!+3~(O8ku_gH-9+aCq#yS`tK*3#pXzvwp*AJie2Yvje3I_zGGaP*;?t{H#+r|o1aA4 zZ%-EtdX<^nBTd~eyTUsn)|UL1XjXo6@f@>N`f_)t+VtjbVUpfExOuHqCq;hDTr1*E zvH7I-qv~;g*gB9ebwMnesCm#`kvD$UdP+UF`j=(P8jsypI}+N%f4%9%!_|4lkl*WS z>!~Z)ea8WD+o2NCGd*^(xJ1N5ihYg6Hr9z!OrG_lE=Ed}pz5?uuhaMD#Hq8DlTr6< z#S_IxTIv4CK;iOz!m4n1jq3l`V915X_e@pHKKCtcF8dG6=Wc92J)76}pjm`E)I6=V z^_f-qUA!?+RcNiDtTY!pjcMOH8r(|xHR0R8?ghWE!10%9ainC+uD&jJ z(R5z-ZZWt+==mJqw!Ym_BeGf2WH57J$9^&KRo^@2tHvbbsNr*e@`v5;K74p~RA1xH$q_~X0QDArvyo-RRn15fg} zd19KM^zRFwJ9^?!Rj@F)c%%QHFOXEV~ z&{(wm!lky3eQW8+*yIa+8%O##-EyO#Ki`H&*5S3as{_#S|7M;4{CV{CDq-;Sz%Onp zQSf+9qOR(Wbr}!5`^dJY0(bE@zZV>9=%j=nZF_H33w<(AyLT+~5Z_k_QKxIs^qPIo z#a~wW#EsN&=trV7oMn!96yb@j4JXmX;=7x&+|vGkdFe*a`bl~ijMr^7;yq*GP-D3< zWQ~VU*PhG4&FW;0gV~u2|!RFJJO+mGb(pG(_tARw6r!G36BnlZb9`Rxee`7IlW6t8 znqkiyd!`D`c0KKRLxQjzPk;XnDZaJ1>j77+Jv6I!JrMKNg%O+>ysu6Q{3JXbNFGmz z-$9#_N6$OR-M6ym)cLr(Z%uUVB|mzIe-GWz5RbRRadpGModqS)*c<-VA@pOT|B2AT zL7ZjQ_O5RHiI`Z~n|I?RlUSLTr%W=F^kA%iHNQi+v|roU77c6lb;^!K5-KhTbsMOsS*xsUcq!uzsuwwXH+4>C z|J2AMB%f@rTgdF@`3PGwe>Je2M@;F?)+2j%&u-7XP(pi3>n4;3$f{}3Avb1gpuCTJ5 zb+Yw(_@x3Ue}AWHuc$Dvn!x0ye?5 zmDK&@F+@r#sIeU~Z-Kg?w-ut85;ol@)7Rw58Cb{=F$QyJ8DcRKRGNexZJy<**Wega zB~>gFO0*iJ6QJf#6YO#+m#*=qL8Q~9402V&ArE*UYy#Ya4UyEY*frAv$&g0`wnfv^ z)TAdspKOQ($U7WbjFkxt20B63a)KX#LSPYMLj|mEDB5z3RE_v z8WR6rLJ+seK%jV?MxU?6q0J-vOWy%>)cQlpO7+aE`6+LXy~yrXg$oFP&hgjTIP1}R z&PszLl9Zt693;%~*2{&gHRqmwo*yv6?wr(wHlsSHg7Hg%@t{QIH$_G2FWMam+Ftd3P*TDgbDgOX=`Jf zwcBket;y;z@(h+|)b;ot_PH_rf~3AbG1M8-PuzlynDVLe{$ zqF3-%C4=v8*Cb`|Kte^=D(dCEor%j$@Y*!ph4P*-ISpFO}vQZ<8f0hFKbH;E*sq{sCkWS&woDU z@$z{`uDh;jH0bQ@y2(1y-aNXp_&)rUHo?%)&|$wZy2l*$j;$*E|8%_%SR2=!?>z{C z@t+vYFo*%mMl%{l;T{B+6eG;&k16^K65xxWsYenz-3)Z?-K2rzGvRD;Yb)@wV?zc6#6EeeUzlV`ET(SgL9^iKijh-pmHJr!?uM+c5nb%>>Z{i#*fj9b+vf)!(CTy`Sm6F z+YxQ8lQ1+d@{d_Ryw%Z^NKw}fE(J!{m|}hWc_J9 z>fx9kx zmulHd(dRe3G~C)WK4_rK0Neu0o~cH*vlU$fQhMvm@x9a;lVW{^v}RgfU>@3(Tra90 z@J(|k42#82JJh$7@p=);N$oukJW_PpFo34EC#%_$99-h(xPbVU0#&>P1@TI>m?iW#UZc0w2%e75z9pL=-btSq___<#Qu*sK?x?^KdR+mN>H4 zl|{-OL|X|@%@|M&=-LRlPE0^*2d;4Z(UL6IE{jw01P4TA6y$&I+~9PM|bW9g)0EL_nC8C7S`F zCGKJ-7bUBZy>+00m~uq}bQfah5Wo$y!pLJ_sT9`)%lZ+h1r~wGhN}YOZBX}t6@8|d z1J!ArrVjPcOqw>fjqUJ0LeoQNq|-tHgpnzC<_#*3xw)Hakn4 zjO}mlLqs|KTdIflQdP7xm&p#jkbFa;YqoWXVJ2r1LD0(TP~q{j^McEB_073(ntXatrL1g(34ohfqrdlb(OT9zFM0a9`WBC3&+C<1<9 zTN6z!n61V+j_gK@s3)4so#cAVN``{n;8gMnh3jkrwCYZ7+1&??ES6E4_1pmE?PuF9 zCEHvqr!u!}Y#V<5uA83y!;NeACTDdda=D?IF5U9ZB9s2%?_Wd9?n^>??6~Jb7c4ZJ zQ9<&N?>=9D?t9T;{m;LwdEC1E_VJ+kkI$te=iD>t@DO@o|Am&Oxn)e zS@V_(bhPopl2YwZmeft?sAaY%wp6~AtSx<;ONs4&Eu$|a(zQjw>Wyd)|LXOZ%!huv z=(_yMI;C0-EJt3W?uo9a|2bGye|?XM;UAthe{|blfZ5bMF&vqm6?AI-g7v!~euBj9(ca6sld8|88gz?^!H-r<#q3UCso`tS+H$V#?EqkLEtb?t#bjk1CqiOPgQcFxJVeLkfM=o=X^zaYDkC`uR z`+WnN{8Q%#hJSmbg>JlPin%YNpT{nDHb-y2v+^W;p>7#WiZ?vx%qmDrELBh?{95O~ z4E%UMNr{kum#zPzG#=Z!04t&<81i+tJ@b{u$TAWa?j2vb8pOJ)nbsMfhJ zUg0Z|du-Qg`u5%xZluFH3f&kEKq`8W#y^Yw#zY^z_ zjBeJBAN-0RG=vP3zwAv~iM=TLsWT3e1GB!FB4VbGI>V032?&apcjNg2&eXc*9yce7 zxrOay?CAt&rCao$#mh{K$h$Gohn`O)V8*9nM{UV`o4}=D9SRut5c<^CwxMrNjbE9K zj}KdvkrwLZ@nhwKjMYTP*F9YN+)Me}KfTc-82kyW^wKV6105?EI+3*fl^3j#5d+;} z!quLw%QYd#V$CQ_;s&p4JNubh`OvGfjRM@(WZNk4(J1H&SEXQcnD?F`Sp~V_Nc?&BP+~j zK*9n#NIpLe>)9n)b;0!2^!j?fIXPe(AK>K9J<&h-T&SmGVQ*x3A-=k^(dHN$@8_od zofEQ89Bn4KW`%1I-co@w=^wRhpBmpzZ&SBy7`57kHNHr=Mu{fQkX;H+vr(A~G4Z0> znV?~29k>5_yE80Pqk9|&+nV|lIucza$g%H|CPBK1D3Md;wdLM-xXl9zYN0qmJz}%N z>JDc1VFOUO+DekbwQ_&NpRBTcz_mbWx!ilK;?CI5w@ohOi9@V0 zrr@s#He?4KX9lS~Fe=k3mB%2zta;I;_COl4azaUv5Pe}pLTw{uTrpDlNXdF}GMZ%s zmWW^!l#5(Gjj|-j_3eXhAHk~3cN<>sBkz+vnNMoe6BBG2jm4gsJ z21-7p0MTcNoB0V&!*h}jvilR1T?0!3h>jCRMNQ};qF*E1HFkUz6tXI3bP50n*_F7~ z0?eio86tlp_Hp}pAsZ%|m_2Sxvbysu=p~fN1Q<$!x|BG+e=`5}f6Tl|RYBz(-=;d0 zb_q@?WEN?#AeJxDsKm>8;inMYqzMA zF>RwPm$L!{%q8S5{z>#g8KeCRqZakoHbN5h`6;JqM{m5(#StUAqY} zD}qLCIen(MZ4JGaWSo&)6f1CRE&OU(_RH9ch_RLophYnTU%@Pos%U_P)JUU{RQ=hJ zF_lAMnvd8;f{Rg-PMN6#CgxexICV`^O3M;DtsY4b@swcTCq@_EoAci-nCoo z2iWwk;H_=_m0iivmm!I*@-u~bVnllQzwl3O`>KB1ucB4OBZ$1Jh)cE|{F&aznvMltUY=| zES}^}yz@J;OL#s^Uoo`MiP^T?u(jfhsX+Z%YrKkoA#^)m^yjYC`=XE1mie)Ko4n0w z>Q7lMa`)Edz_YbqNQw1cfB^5p&l_x26o0Gpk;q>SkH*V*)o1BX?2$c(3Gvj#r!b@4|2bCXpjt-lY)FnTyoq>Bp4G zzFDEE*L`;#%(Zw8|5G6o|4daFUP^RsGVHlPCpWn^B@F1dol7;% zzZ_|)0q)jE)TO4)=;@k@`~I~_KM^;c{xJfY^^Vp??oF78=Ppd5OTXKq^rAVw=fFiC zE$#JR<9}%rO817TgZ1O}@?K=!aO5-ZBV#T}_(YskBg3sqa-HGF<|L2|NozI9;5}|J zzD14~ocM%_ydO_f4n`ZfM!yiErAl z^RexJ>*{O$4`)Aj$*_YyN1PphcRpPC1V}tSk@8ib(o?n``r5d>Yg+cIX z^p!^qAI%BA%J5fZ?}nv=4-754z?O%rx56wCVJE(QtZ!p1GB7 z?kj+Pn^aQdur*+C8ZnXl3f5VLP*zmUI zDFwQa1M2giRAw{1E>uxe{R=Ap(_J_E5u1$7yO-#V&())&E)?BBS8>N8%dS#RgcqrL zP+q&2oJbR)*w2+aj=J2Fk>85FVv1{tmGuHd(>KhC3uQguY8rqb#L@(?FeR=R+3Jx# zGG_*pK-q-WQNY$*iftrzl&u#xQccmNSQU{GH7KXQLeO!ye9&}x#XLgvw zt`q|AF-S-#S@EKNMmPb!%Yt9FA{!%sl2PFY4paLlL6tZ$+g67~p1Cza<1 zg^XTAspxuJd5j*dCL-H*10-|~%@#vbmNe64plUG%aL;F2qUJ;Z-X&utDi{|NCTL*! zyJL05OA}`Dlh#bb*1|c&jw(u8c@wQ8zDFO1!lKv|Xm6OwlUy&TMWms5Ye0HKUZN|| zy#O?gEu)q)`UId%C!qPG>zJa*ae};x?&Vx_=4dsv|AgiFS?U%u`6aQu;r4M;{?Gcp zy#!#@#Q3Tad8U9v`DT%@2{OO2#5tj+5K%$zn1FRE4Xy)e*c};1NDc+T#FemR0!&#@ zS<@|%L*t7gF8e8W2??pula47*S*v=w#f#VD_$`P)jHn=K5SZ$YB7(Uh)cJ3yPq5 zH!NmgWj`r(0z7zxF5#^fA_-q*1%h76p;`kI)`|$Mawb@dLT7>G#d=yAq;^M2CKbHK zgyvkJa0SX3(lE_sE1o*ahBCg1SbKnGB25!roEL{!67Xac#zom2VB6Q~R?7i60Wj@{ zQKT1vZX!m9W=0Rq=1{BnURP8xv%Tn*SBXx0oH4mS-u}(L?_WCck5!7Z+_B&= zUDN0SIhstnS`LZPp^YGc z6#foadAF;mtyDgQFIR-?>5py7SKA-Khr5Sh>T{;s8)41jO!T^+pr3GGIWQnC?G4LU zYR<_D45G3!Qg3*bx;}u;!35~4Jbj4!vj-dzeMb>>wReD;Gr%Z6%+3*)nhVh54=hNT zVH90CFpQ`x+giGm4WCm}>ZP&G=+cqfDP-Li0MDVd`m`-GjxKTUQ5UvT2X`E+fBqvG ztW{>e)j=sW!(HK4C}QC!hv$w=9!^CZj#L9v-?B-x$ekAH3em52sh?0?;jUzwnnv|Q zj8i>P69;3xnrHvwSt34s89&E#7_L;l95y9WD)Rw(o3c^AU8yadp)%trwX}7n8ijkE z#3jWfr%rc-p4hkO?4g%;L;EJVe4c`T>DX(wmThQ!I5UK%Lbs`ZIO%0hcBj5NcSlqH z=STi=6YXUdyuMrapFMWPG_#QdDI4xw=UFidB;t~0eWp`acz;z7R=E!ZeY!p!ZQ0v4 zo@ln6lAcJd_!YQ+&7XqP?ArJkT)dIc)~Agr#iYQ8fye*U7s(!a<{5s+$K0+a{sOzi zTBs|LGt}g&G9ljpU5ETvQxuOlmj+b-Oy+(v>-@^)C%45Ki$WD|-;KOn2jzpZ5E zCgRQNLY=69q`|wFsrRV2!gJ(X=Pn&_@IAAzs7b?gxIwf844+U-CGUfT<2hRB3d5}k z{VB&f|Dj?P^nn_c8haL>8<~hd_J@oX0|Yvz?Z(S7Jq&D-|RPf za;;E~7931^|5>{GbuenrHK?}t!kvwV%-yL+)nv^I?|;;Vyq~wqOSimVxm0+@%AS%> zpIh-O5MKW@4nGuSel|o;BJwA2PlDAB_hk5fetocrC!Z59SVx2GWpZ&nzn_{6EH{~s zEho#M_o7ARVP|YTqwXkp2>*6Z_QaNe6OO%I~Gu6_>Z7&o!+*i zi+=<<@Qq@k{`pc0J>hu0NVrXauCpmi{5glhFW7D}pV^)sJ(ge=!&^2CY!|TA)bt=_ zf9q`YgYAd$My05MX(8vK*UII1DPK7TDqf#}2FQQXzzI(qP<;sc?Us^E*L9Vh{Q+^L zkNlZyv~geNChU|ONsBz`g$#?CBGU^qw@`bJ(6d}xp)-9}ar#gwm3Rc!?Im!{zrKe@ zD`H<*JNER}FDjGwe-RSm#`x{{)~_0V`c2~7(KHt^$_l4i&k4m`5=>%$RJNZ&?2A1X z1ts`Fhgd=S*KoNVxf3f8wR22`YO=%m99m60tAIy9uhMlePV)ZW_;Q|?CZmxuS;e~r zp*D=PVzZkqrBQT{BB3^*y+~n&W_O7+E3Tm1r9RF+j{^q}JOuj{REZ)@@mx+zs2f1| zXq)bqJ#DI!zN+sR7dT#i#@;B65Ds*vj;C)@UPm$ADly+&!mVQ0KuMEeS8~+Vl(>;q zpQq#%qW>1gm{bSY)nWrIh}xh-FjgET7%EtR)&y4}P%D0w%2n3j;4feeY0y`g%ewGsyt1wK%(&PXy-DQm7 z{x)96Y5f>*z`cGzyU0`%#>N2OtpW*H7VNX?G)pS9FhuCE96T$S$!-;yyy7-K57rx4 zmQa8Io=LYV#(9+G7gw=f17fEA67LkoD`;pN$!bJ`LPCuygZN%CDcN%+sawHXCB&7} zRLL%>f$`#YiNxE%nL)Rbheba&sR&uFjA$k%u5GYGxwzKeZp>2H5w>4}N_pHbjJEl+ zLNsL8+97kgt&!ka9sIGPu=c1fhh?&=NPPGRp8n?he=mLa#&^Hoju21Jg496Ph(Y0^ z5rawrY@boe^206=gNMh=roc{_v~~;=TA%V6GrwHluD_J!7cih2zzdnb;-P*l^WG{R z9;8gNECz0<%+vq7!QpOfT0aIw4VlO57eW3P&t3V`&cFTXUF`ankDmRey~Q2+rsN!X zF+Dm=g7U#|8Moj|fBZu8y$uMoBOLB)543bE-*mu&Xt|?>d>Ld9tSmgR4=lV4ySaWW zx?wlu2JM4g zspDSIx5zxC^|!m->Ci94*`@bjDZEhj^@A-S$KW2`op}IJ3gywV_?5_CX~*R^syWBy z*B?dQAV}eIf87A86j!^4b{`FK>5h9JaHTuGw|96egf*^}s9YiTwI^17Fr1#{f9bkhRsD7>b>`lx{XJ!AeRUak zt*pAte2uMs(0$o_?!k`#HlK6r{{)I5)fvT*7PA*r{LG!{#L;GV+6@m&6R-VyOQZY0 zp@SmdbewZXE_IyCbkK3@1nA6Fc`_X|EOsxI9iLT}Vw*nxML`5Qh=_dIab1@J9%xtk zt%QeIWu|jnmpYDSdgvF>L(l%ABk`pBi~aZAI~q%w zcU&CSU+|r`?cR zh8D^)`UwlsWmmHsGFZF8o;(FA37I$3qen~7L3A;C2+cus@aw%0lTkpRj!LzEj~=G0 z4!UMXz`%@bBA!N*Cl;b#AXwi9L2Gc90#(4s8+56NKtLh}Yr6IK!}@Fi6dIagX~8`n zo2BjmD-8s+P@)vM+bhiV6R_@cZvd%>7lIYu@0A7l^dua>;T|1!6AhEl+HhR&QH7l> zTuk)PvtxG@o#^M5CNlaHB#2WK??4YyEhUj<M_%ZVbTiXKAv5Gr+) ze9ghhM_`Am1SnLPGdonXAm0HJ8T4@{xfC6aM7-BEDrWD#E;5nXq0D^9y#+E?<%=}D z2O<ftg~5kx=W0U9`r`gI@yBHaur!b1;oD%Z}wLkot`& zP*MYg6etCNDqun2aX!n4Nm+J{PU~;?=NX~01^yt*k7O^P2r>uK5|j~Mf?Rd@giKmG zmLtoA)vwW!IZ%g}$NIAr*g+t97!*ZK=8WvxVvhLgKaV~)lz;W3%wJ?SHv>L>BS1Rs zAcUmCvEYEL7q6pMt4%D+@vMebOf0M9L9K=z%j5kRRCv&<8JN@H=x~0VAA>M}nCH)e zG%Y9?GGah^g>hPAmoaJ;g=siAdN#_GNL>FQ48x+CgUCF<88{h1t~z`pfO_`BNlJL8 zS%6uo5Cmr&v16@(WhD?`HOC>-3mR00)OZYA`_lS9?)>I9IB;fzY%r$XL2H2O;A5dM z##vLT%p;5)vG6SN5f%iX1*H|LOM>n83_l%!z@>C?mW~()W-DEyOv9H-HY0-q5tw(~ z21U+Ans;f4UO>{6oaZ(sO+450IVjbuK&0o^(8?BHuue1PqSgpDJ4Mp+0@Q#YaT|Vu zz!rQt0bopXszB7<4CR^hDyTAO=U#T!I$5q=Hm-GY@=ku2UlHPUOtv^~95)~VNI5p} z68zGu(}&nKD9!>6pBC%7Fm^lleL0Inr{pN!S=TAn=+>e@0(qG_aCC%eFtBK4TnB=* zEwR6+{^hCv{?+$yv@e|6gWF6ZhJZF+S{EFyvg-KP2v#tfgI@H%<7 zMe#oCxa46RVR_ckg>0em)^&FcR$63yoJWe^wxM0xa(cPHk>u;up^~;jDVtslbq&=9 z=v#smz4W{s7P-+Eu}-M2J52g{(c9M=;&WXGRFA?`ln%yB_sKPWqE-l^^Ky+t=wjv| zkV<;$(Uwi-wV!SlAX|+0FG5g`Ulg+y+*e6Oq@PK?%~S-0C+-=jszJqUr=FTq zdeqMMs8eN*xa{P;%$-VrK|hn*0^Zt2fz}laDsL;A^4j09h?#=irKugBqJWE#_`7v$ zX?_>ub%++el26Gk1PPwQ?>@t(D=B`5qlue_TpZOzB>bdH%aoC=Qjx2SY`2eB7@EwG zt!>LCH=9LUL2d8J%@D)#&*;nMpXufK6!EY8_pcs&>PAcFP)ic;@3aI*bc#vp49RL2 z^Eus13fXLpOPv(5RL3g|GeoP2{k%WXpfb}m_(Lb>eIY3_h>PG4EBCtSl_Im1Ct8Sw z&YCj7?+P=3j^{mNgt5j>AX{67Xe>zF%!=a#g&WDs2bI!Lhi`~Ct`O8?qI^?1Uq~sj zr`lh|W7Qm;Bkoe@VOA8z6|#<x=@r98ASUjMd3WyKn7vIC$3u%pxch2!^aAu#kBJ`rDby!79o7`@i9+kZaa@F_u0L zwVgLnhdCD92x0;l4f{2!6QKy##Cvyg?jqqnGZbxxI~lG!nkD1K0a2pIWgfMWMy*bv zC~c7jW#Ml85mFMG8SyPAms>lD=SHF4c z?-jrPwtX-T?sp5Gt8i9b5_gtRORT7G4$cCTc|k1}YDp-NYl?V#4Hss%%co#*9Zjgoq#(+ zpRn_|?{%DF-h!ek<4S6zT}N60957uXW%Fs$&uwHmPl(s<<3^c&&db{|tzN1WP@5-> zgWyoeB;cF|KRj%=DBskJ%J5dhJXNerCPKpyE3DA(IX6#ve{{Hs=ntb>2 z2k+jvcvcl&IFb@+=g1{bU;BQJcwbiK=JtBJ-Y`rs@31ddza@^G+fTmt;kK6KVoj4T zIxJfbk|m!>-qVTkI(DR#o=FU{4Fd}%G3ICsc9(sgv;niHztFV_IdfG{5nY|6YCepV z;GZaDXT5pAmOfcs?jAiVB($4Yo#6RS;hvOK(asl?Jmy#y>*eNGWy;f zp%LahnPh_uB#ob*mJVB+lSC6$6ix|6)Jxo7lqfn(ZQ|~BrPRQXoUGgdg43v`a3)2* zux*6-F4atYmmPF*kIa@)-A8KrRUcDe)eN0NFU<7cKjwiX9d?0PW0}9J%sWmt-Bw#T z6w3$8%Tt}le1u=TEs7rOTCt57TFms{^TH0NpJYc|pk*N#6*Q|ahE!7b&>&VV6a zM64%2c1h6-+D>0yRo+7q(9)4<@&BW<{D*1AA? z{Hs`vOm5*GG7&3rj&2Q@A1NH4VyC53Zs66hltkU-#FIK|ZoA@{KE_=dH7M;Srk2zb zQWw8(v-mTYnG)ebW~cS+dC z)wBo1PePNHY!StXe}crGDhLyWAy-s=aXI8*Mh??WqeAB$>$*B8Nulx-N!Lx65H$^z z0+(0bwDS2@hT6bXu~0Q56gkU*S4U}rhy%4GCrelak=TpN?~5l%A<>6s$Vwp%SutHW zNsaIuH~Uu!X?LG<92rcE%gc)!3llaJDr@KNy^Z%cRMA$)^tfU?RVdd}*w{;(wp7o( zcIi&tHQSyW*ZB(55u!FDheFu9S*lCmP zr9j=one7guO$Z90-eDq`krIX$ay_lVi2Da`K`l8`Q>RXaDE%6CoCge#jfIrs7iT4! zBYW_>LwIaAvs@?l3+NN?{iU*?lV=2Mi-Sv)S; zNm+E45njI!;%DJ@mfzdkX@-)!uNB!s*j#$ceKlSe(@l}ZSu_B~M9v!+h?F6My4+CC zH`@wipN{Z8O)r>MyNE)GTzI)vC?}GPaZMsyJ=9jVwD;vIc28~0sJWFzE?DCuszaH*ybP(QnU|$YSNbvi=cf>b?ra>t@IB|@t@vk%RD=jUt_rz zOhlD7KcYQGlqC%jY9+yq?8HT=B{h+=s1naY1yG4EN+LMSWZU;Ub>=mA4xKG02m>bo zX%FfNWFc2vV_v}5G(ASi92CtJlDo=zEL&At!wI_l1S5fE#u5ae0$;DBS2D9i)W|c_ ztQ~r26v}zz_Hrc))zWr#pP(AKJWev^@ZJEEW;nKFhn9IBXN1pMJtY*v9{{?Gjj(W` zfLLSfqSp|4`hLVt7pQXHR>*~tts?99;X4q~&`OQ^M`W8Z>t(QjXE&#iWdBI^x>(4D z+dcXg0v$1Y6{%kR=s0m@^|@~sCAqc-js=+ALyUB@u$r-uH!=L@9Co*p*j5-9D9?+b zta`l3%GM63<8~V^QLt2GV5A(^gVaf^St$Um3CYrK3QbY9tdsOP2sfiAC>t{#CGH^x z$d#1al<|Rs7j=CZ=y@>b1g1Mq#2+H^N|>?x*?u)^AW3;$WTX+duRwF}vNijO*Y!b? zZyThy5DC4hmE@gbuT;r(`!e7Mz{+_-R@sDmWD^^!gfzD_5o4Mob^)LCx$#0O8f0-| zVSBP1UoEmY+D6wjC@U=(^&MUxu90_&^odr!TOxfR4v$%k6`%zVpJkv{iFs#z-lxcHs(la?in>Luy{%5s?4u;b)XMS- zJ)}r=76wF#hDBg7j-hfHBe^_8=n#SNa?+^QvVKwYmT*}{z^I^LwAR=u=y5Sm|DG3> zlOFsXWtMVgF$K)>A6q_tIRC;w>hX!5SPE?S5~wxFGT^l#iN{u{a=eaAiB1}V9=?6t zk0^<2T!WjlSmi&1VT(^FBHvx{{LbESYL4)hxy9swGkJPq4NlCMOA@&ME{n~B{2tox zZD161z|FTRIbj zA6SrH-3|-tdHiuTN8xQ@cG^VODB>WYvxZxR*t!jEf-p$A$k7671xt?(@J_4H;vu1j z_m<7~uQIHFtAWgp|!OO3V3-@N@Q9dBMEEa321aF}K?&XtoL2RFBIVL`-)(mfCmx=*j%QW|l{pEgA+) zbnT;bScrkLE}&huwnI6Tgmg4(3#x1JQMZw;vX-lBWSSkf3!Qp)QAF{bZPPkZi9Up& z;jnNv*+G>OI4F%01`Y4$bGRnCU3ye-b8f-T_VC%eS&UYD0gW}YGoL2!+b8s@YkA&p zWeH;*&DOy3FSM`KKbJ(F@&My8&g|he20A!R8r{QCOj*o{H;wdjx5#yfiuz@D!|NCo zw|n)Z)`&CRK<^{HP}YH_B1}$aOwX*K%EFu>2U5tGy7rMl-me|-0fE(k(a6W{@&LWc zf0Ff9;c_%UtR{9#HM9cmp(b}51@-;@EiYrHSPb=)f>%(kw9|jCuuR8 z0sf=b!sSYe)!^#+})tKkd%UHq>3Cb}^ z)VxaPx{=lnAE%LZ8reS$C#gY-vJ*Sg2-UQ94wX%V_f~7fGm<7kBNg1qB2T7tzjeR6e`Mo0L*V?r$?_K@R zv-#Zz;gd7lstoo7vZ#?R8(e8DwniAyMT`*%*)<%iTOkDEZQ$K8YJpy4r5G+0pdDh-u3n~pjr%0Jc7wpG(2t;+_$o5YRW0(krkAmB_S={*dxSM zUDR%q29#_NR=odilIKPfV2S&AEn%T}?J-4O%eqPbh|A}L$jgAy zB(bC<@x@G@Vn-v4efbBEUi;39jd0w|mczyT7}ust8lhQP>~RB0siTZN*NJIG6P0Ii z0xVlA1jss#9bDbOL*m%hdIZ5=I%?M#CDuySt0!CSQ9<@&;B&>Whm2ag1kkf=HcK@! z7)1&>B+}Rcj_s!;l92#yguu;i%o>{3Y5sumnvjxVAGHd828Kw^Piu@kk@3RjP#l-j zr1F{|%hM2qCNUORYk=Y7`6(g_Xe&vpS5^V0P1QEhD(5Bk@Y-^;7Li7co&4tWN9peT zt+mezPB><)pp6Ig7T_G20Ws^i#PsNX>_U9)YX8W7=H z6G;M)N~qn=v8e4n1Tk)@vm8w+h$pA*UXKxD7r9meH&Uw^K{qWjA!d?wjDz$QTyGG- z$b!yD_sXV}u%Z zxTG#^-E(EfuWvL#b|rIK9w^6@oK7g`w6wYqBY{9qxFK zQDY%moxtK2pfFvB<%JT$tO%-NvSJ5KzAU3h)wS7a(7qLbIHff*ps}p983C1r`&qcP zwN%C<&vFdPB5;I^TB!sVJ)E(V4B$Y!AJ@4d?*RN3Uop3nALn2G>y2-Tt?}}h*5AtU zq%NvcXpKmqLRXs~6O|~yoHRNXxB2MC<5BC7vLqu$Yh^o@Fy@tk!%J2& z^9WCk!XizSIp|tMlOui&VTZfSfWv()@yG|a2V7y$z!AHIFj62XCTh>3P*kaL?z=SsV!DHEgss2)~cr{t)gS6?bn#R+B*TKsjKxUkO!(XYP|+AVGsr==(G1OJ`Qf;E_DYt{k(n%+gAiTpdh8Tn z=H-OAhLK?Bf}2K*IEA~7nipY4 zm!X*YpYdlj?AZ%o-z+eowTB}*=yfDeh z^OD?QYNO|ECzH8Qoya{saraxk6|F<0UjBTFZaak^h|Lmbg%aM}+E=m(+~o_BZ-AVW zEt9G+>tlSD_aM(ArGNWlt*J`*Cp#J2?`qV(UUZ zBiv-?`&GEEO#nZ`GFv}iFp$O{QbzkJYn3Flh;4IBH`fXY3G>{RLEAr3^@LoGHunqB zdP(Q0;DG`p*k%eg$ zD`Itxrj+=AT06w_2}dN)U|oaZM_ezE@_Np9xq9$34I7>rC>xRFVc;|WHx)KmnU?L3 z^$=+{a0K+UW`E&H$oCyMTwF0(rEj>9wp5Md+G|Qw;KU|yKHjrXpZJ(E~`jne5p+}$@-fsGc zM5)a~cept3XeE{Es<(Z>9%t0KuDK$Q^>%K+wxhBHpEE3S56k^EpR%ok{C&zt;%Dt6 zedTqLlw1*THZA&6-<7L5VGOmk;PTvw-u+K-^Y|S5(d-%HbRk+@x+BoX470Ym*8Xk3 ztZk-?$LGtJ_w_wjjk7)0nIf{tma-jPz`ao2+qDH!d_Bed$n$Vxg_~uujmgoW-Eh=kfUyn~a&;k7Hanozk zNJ|}cIodqG^V->;-nggo+n*T)&cyEHB0fuWSuR=@f@!iEhhJj%mZ8Tia~5w)89Gm; zEG>-C<4U=q!lL{7FGmT*UGF?jnlE#Fi?aVRdI2e=@a%d4h48P@Ywa(%epy%@v0N56 zxPC9WzedM*OA8ZS9bdbvoV(%qi;g9x*>&eLL(d#X?0%>RD!l%DVW{m*_a;mIg(32F z_dI*YFd2K#!gg^fS5wEU^-%vFTZqT&-A6OeV-@Zp{hO#?P7y=Io38(%R2On{mWAkV z-6?nGg$)xeg>g7@7x87=^)o+&=69#u=LqEx@;%zdUFPaz6{xz6Tj1PK0e9Iog!+Q! zr!TugT(A4N+jhT+hRyEhHtc=_>VDkMmF|vRfaJrCqowYNrC3W9`nmb?#yey6$=7C& zqKWcD>lUI~x#i3p{mx{uou$!rr({ESXuKoSzKfQL< zf1~5q0(sSEOmyfmYgv2JYag*tNcapfWNjZZW~0_21df?kA*W$7Ww);|vgRFaci83fuF(6XS=Z|^T23+Mm) ztKUvONu#!&w$EmuVj4TPP$n?BbS`*TN_b4lMl+%g;8z5R`<2$rGKLx~(d9`S#5xKz zUgA(=#AYip1`9$uhlojbY*LYZMy!WZkV*x+6;;XxdaI7j=3;tS6UHKyl9UC1D`UAV z79-6ZV9M5zIiSl0h0q)#1!IDwC`drZ;apa#nH;DQ-KYxLYqZL&FpFUtDklR zfB)-_U*BkeHBR6URo`#OuQw#C=t_}y2LM?{uHD_%?B1FDk)V9Pbw*gn4v<`coJ6bj z47)KJ@@@`N6dxJJ<@{nnnyi^_p*&1aOzP!NQx zrb90U^}%RAI|6APo#eYe=8_fj1N?!ZXZpDJdu`2}g__l~s~|r5Gqvw$1AMpPT20V) z5f7{ckC$=OguF=4GH5D}whq+g*=(xrwBR8I}VSc4Qw;KTTt zx0JUMq2S;%$UN7a)LLb>AZ;0R+e%RIx&($iwsiD~~iwZ6J-TS@wpL<`gJ1?4)nUG|jpP!%ak22>v&L$lGGxjH& zZa6to&nPc3FYiJvR-}J5`S?fofBx(1uQmRLM$_W15DapBspGUX$Nc_ya}zTt#QK>H z0Z7rfeX;GVlI*VGW(2N;jmtf1%5Or_ zLtD9!VB@l3tUFGvH#>y*+ZUAh+Y4Sf*yXjS<5ZHBRe|HAYHw>?n`5^Zw|H@=>%{&t z{Lrnq$U5{Lefg%hI9H?V6~G>aBJ(6%dwh^UeDOx-@5**Gw&jX^@nP8rZ!$Ji* zB6jH)xH)zvU-&jTWHa4U#&qSXYjqSjl&ijF=H{PG4CVWT@V-JpMYHZD{3ch4%xkNs zwYX0c=CM=E!*XytnSSSX>!r|HrH^&Dc0#@M?7tOylQpb0-c|z8qS^NmbV;a zt;rrXwO2gIlxSVzC%M(pQRs%|L;S#Y_82g>VjpWaH*%IQ7bBnIM3vuqR=6m(HRSGL zVgXq4)U%)R&X9=rq;55h&1@~;I z7<+qxsm4P3&5Tu+)R}!E)8Dd%2jYIh#&NNc-DB(W6M5tv%s}IYSu)-}|7B+d zYtci3d;B0ztY=Rt4py9NRY!cndWIJsSCbS=1nH9K69h;`!goU2Y9?C7LqZwWMk65Y5V7ED z`Ymgn6xJ|9f?Y_^5xmTnfYoyY>!6KZtOfl7F_uE=V0_E~Im@vq8xs7YZ6Scej2Rv2 zbHMge%6Lef%rB6zY{otr(w=d`$H5A(%`22s@W#MfW3&T>D?^4tZi7gL=(qmW!dgA1 z)e}Gwj z@&|ABttq(u+OW*=GAT&rAPdZA9gR}?1NVsl&ibDDwByfDHPEl)ukg+v&9}!VQ)Nvy zalU(O$XnFtyAmksCJSR{g=4dyIT{21HrCj3aWd7g-zKK&Yx!u~=ev*E(C4qdFXGS9 z`!{(%b2NQp4l+jW-Q6XG|GHHHq1W1eW7koH9`!xyQ&Vgu&t81$^|7M?I$S<}AFZd9 z#?tn?gAGEF4==w}(%OA4w)vL*M7UJm^pyOuKXvEZr<#!ReQ~jN;lhEy@}Daiviw?8 zGps314@`aL`1VsxWFmZ&m6yl*&JN-Q=cSNsIt44tekVEOOa&XOpPQWg0_U?3XYczh zw`fb;_`DsZUaCD(4ifi|>@U!e27V;AtT)-D=Hc?G4`a(M<3)aSS*-1?WCr78{5SM4 zain%WP2StsBr5aI{wbZ&Ke0G@Ipo19UwytmdJ&|{qAIiu!h5*q`6eE?TCWBcZ6O;_ zv%qB=c=(rB_)YcCmEecVf4hB-T-^FTt$cNgXm>FaD~J zz_Rot{vcB?C_z~I3#F%1E5*>IE46e@ynwJ z3z|Y=qR78b{T`09Gq+W<7XZV1Z(#d)tTKOZeDagefFSTz+=GcpaZ!sSULWQ#=Z{EhwheBoEq`l*8I8hXwO%&pT+xbL2*T^nI za%#vPoD1*%2Hf+%G1eo*qYI-U zcg!2zRhE2EXm?($lW(4y&`VqsjRNm;G2URF?V)XGE*#vncd%;I%enlw%naLl)P2e( z%*|~qyDrg0>*f>}nKw@~((k#jmk;|7gV?^rU!?W2s+=liq{O+v398eMLnW{s@&dVK$zK4YSL9 zszLAu17uG)k*{c-`o*yl?&2Wdr+IO)8WJ{nz*vNud$}CiJKA)UFLuyez|ZRwHYwaL z$q2$e$oBB&GKfE#SK`T(*P9SPl3JKR3&(KqpcuU=u0tXV3oF^nY}hMj{w(R8#yj4v zs;wG|ZWgg#$|^{-JfiI0)*j45og7{cMlv~9gVXZcxtXY}`k`d5jB21P8aW$paa(RgbGPz@o_xu%t7Qk@-+Ng%QD*<*-k!ab>NmK zMu&NB^v(0D$iyk`20q>P80{91aHEG%m>*X6ohz!C<$^HH&Bw$x;?z4l*qB zzEuHP#+b`e&;SQ;EW?ixPwx$|CZHQ|8Z2?~NlhrB!T*g`L%mZgUdtL3D882N=}?T3OPl2(&eD?zqghy;Pjb)Ca9;|{k%(L@s-lTG3pHWJgV zVD9FrIY@zo;A7PwyA3?g4I!b}p+o{W$}+4%@q(UD>CI83f$C+jREe4e^s{WViWtGo zCGb$Ofdjh=Y!j$XgsoV$@L{=5|I0Uj@XL;zcN@QMhh&L*m3pCFVIoE|Xp0bAW}9so zGcBS-BLO!YyP5Ng>wpYXB`9nZHLIq?MlMrKJP{{i*c4aSsd@|JM-*(p?9^rsnt^vI zLY=-^o8!hbpo5kuBML9qa}A;$%d~O@M}l0xs0PP%6FYi{HKmaenUi|+!B)AfR~)Gm z5=BH^t*ur_&`&btazQr%+hWF@Fv*L;)(Rqs*sOLE=si{F@Wp_U380S%l69ARu9djh zUXBtnyfFZM1%If6HZRsCHVOixx-dA%pc=&!qwZ!E1~9h?m63UziN?WV;76mVhXoO0 zbE}6qg(kMwVB#0mX2R7=z`j_|NghanF1TGD??KF8TZiAtyY6q%hZ`D!F6{kA&+v!l zMAL=G5@kqPV3)fFgj;>=8Tl{m+sR+s3$&HCgTX_865F}v22^>&(uHS1O0F+xVwrjE z4C8-c8WV#}DM(Liqh%69|yF126U zJqrnOgPrEi(-&OR`kR}D;jKN1!5dctb?Xt&f9}Q-0vpy%kvVhTJUc(W%ti;pO@-6p z`!>({gV!%rz1cckKDd_d9#|e69M5&)rZNA%?x<%4_n7|>e)#-Z(bax@!TbYQ-CTRw zl<&b!mJ79f=S{&I`QwfCnh$5 zmU_|rHnAoz46bRnC!io_+YYiHiQ1g2xp({BN|A%OysDbgTN+7q1t|t0F(7Z;e$foy zwJJH$t0ms$9v9lB^PkA$ub_UVeG4A0I(eKN!%qRN!aNas-@!kI_T3?Ec$F{ebLrEA z7sX-gGZ$QOvM`zlyOf+q?lG-g8YD}y8jPd=zRBg26Iqa(+$5pHpHEbUT(0H1IwX; zo(<6=8+#ocnP}wH*ElN%|3y9P-4)`+zPdw+z8&u<6TQD&=Js!vWA%l5S=U3bSEg!B zowqDiJ#rJIzJM5A$Hx=La9jhW_kDKPQbPVR-WNQSfb38{4?6F^_MGBAd$yjdtv9pj zz6;(OIKH)x8EHB!>6c;ZecE;|e7d_GH1X5uqHCB-#O2hWxar$q z2Dme^yQp3Co^9pk%o?b}-8}CQLJ*HGLFS>G8A3Eg6JDMILI~wQo>UYI@p=nGKF8gSE+tTy9Z`JK+*;k8( z?XN=r4FL;$xLj41TTPGg^SisO^SiI>O>F6VKUe3PXWq}Bo!1{#%EJ3$?ll$6p(PLe z%+qV=6HH3Co|*yVga^k#sSMsqiapSY-#nB!DDL0v2fM}bQ|$J=Y&@E(Wh&W@HMu|;_B!Xdjuz;{#Hclq3RVmj2g?S#M4+nTL+|!poq*7fkG{(_LSLrp3!g2nFEj@W zhdK*S?`vxYNQ*PYwWWJ9R#FhFOKq~vjCaaXN4;`;B|Zqxr*s`fyCrs(b(id z-~co4SjK$>6rGg-kdX6Ne88%OyHW4%hdJD2a|B`A?7jMehaKGt>;iXz;rXz_fliX< ze*uY%Ea9!C`M~cZC3F{ZRxpQgD*(Sn8sLpU!EHySP+IsF5zxK6O&2MMSKBQgW5-4M74n;(YNSW z^6hC1Z1Qa!UxXqYji`9CG{12&4G%+2p#6b5vV!kmf(6W@zC{!}iXfRf4$bl9k+YxW z9O(Stf(PL3uypDk%s#3liUI^S>G))@_0Sk2I7Fy7xXh9w4BMO_#)&Od!ICJNYn79h zw6fnS<`P$pHji({N*@!&L3ty$T4?iuoH7a;^@x{1rby%f)SE)sS<2PtyMeGX87Fsx z4ARQn+gpbBf@o3^NGtsPOk8luPf2_jl=ge@URf?;uBrU00=-3nkh(Vy^0(KB7^vnk zjpU16F-2MfcH0fa5^$ey6(O@yi$YSqxaNqREGdw+0^LDnZPc86L~vS#tSAog`G96k z^1vA%!5+N60N>t)_#nFpM^Prrb+XggX%RON5LIy+DmLU}^Go3L9tBE-qK|P`EDTA; z{N5Ipt3@){6x^{17@TR{Kk%#YnWG1H{4KKmMm?-+rpmfRk_8?&H21{9Q&A#FWdt&#NnrPd(R_$}~#NoF< z=Sht}$kiquVjp<-A@(6+cP@Fp8%}IAH}^VI=6Y9C{^{rY*?Ij;@BDl6`GJ!0mK6oI(Jdnt%{p7+TTDq959uJgwR(=ey#rO}nC1&3#*?;amdiVR~!Z zT{bu$TuMA5emUJ29Dc_ImhM8RivCdD0QaZySRbs0``P%%3G1gHp`{O#w|M+g2bemY zVC{@mxCWSG3;a@uU-_`|=J;P9H9d0R|Ji>}dFr@RFxp*tmU;3pCM5P}NVzf%Z9{n2DZlDbh=z^L!wV90aK(-U3(t-Br&PKU12P zO1h8?Ldg&MT;!8CCyT73e{wB5%7ZX_CeteWW72vyFS>MYaOskFN7G^AxvcnH+VcmU zO(b?zDA|3hwyk|>CRhZBu1!i>CV}1?zGWVC_vhCn3)u&7;mP2ZzQ`ZCTY0*?xDE8}62h;%W!;{tkNh?DKi4{M zgBOsP50Rn^g7#I10&|a6z`FekTgrLxtxe~er$COJ^}ikcvTZN~Q%`Ab^2o~sQt-tD zXyUT)K0CM1j?T6|0!9TuXz1&eLm~$7rPyr`J>Use5PQptXVY%b?%(2eK>r;hsb@cN z#l6qJ##NNHODp7vw6gnUG!~`Du1>zaaP6^$_{qoYT__B5ulEZ0rdBQv;$^Wi2$r?d zR+xKLlOqqgUV+~0k~R#78;3(S^S=lk+)_ABCiHJ?Z<>rb%L!Baz!1r6Uup|(5|ib^ zNp3U>B)im3%f-3vT$l9m?t4InGxr+r;8-xdbex_aoG+Z$l~gIryL!tK%Cv&&e}T@a zYE;tGPDpuSG>1ZPN1oXx+Z$)a>2w!zZ;#==k{4ZU*OB21^Tm@M0_t%lw5CHhP=J)MqQ%l(T=?fdQtDPo6$5lPq zK=%vr;$^+G;CmH(rG`Tl1LmofSDv`6MXq(7!Hz*0zLIy%C@`a_dl zZZCjr(RJxb<a2BaHo3eJOye{DaL0ISH~| zM-<#d)HOCgY0=n9LCFBfm$op9b z9U%%1LKfi>e8&(LckKWt^tFS0{R~eEWq_i<6c8RD@#fk~4e;yLzsXF$?&@n5_zxWL zepEW94<6IM8Z7C@|NDWi{sIwn@LCJNbq4ZMPeva7Tj(EwiuYmV2^#qoVa%QNEdsH7 zSr$bx0#gH`2_;iD(lRVrvL#ET-PZ}UZlN+H2w$XHOTY+aRuqO>r>^Eg05})83Wbdz z2!7^t#3*ITD3T*U9cOiYtqLK_*bPDO83T-B)UApJHxjb4;%bhCT%;_%ca%Ei7G=l- z&Qvw135-o6?yRSvz9psM4U7hrqcS9#7oLDS#j98dy~-%%S#ufT7(*1i?GUCYujSzu zjRHh1YB`5yet-Hp5pMVDp+TH}gqpHITWiz+v>3EThMO8lUxaGSl+I^Cz5%o$kW)A} zT%;I?H3fqc92r*;Dd{GM*1Ov9>9ahvHdMe$M-dw%@Vk2TwG>JBSGq4K)d2Vv$_Rm= zLKej1UVD=)6N37#Y5vS4L?81eiOMjN<(*AqFFvYV^c6XjE9VZ|R?fZdyASUK zs*|r3pjr%Iw+`kv39nxE-9?6f3aq8!@&^}>eE-1@kE{UG=^ugEbUY5|T6j%wS!(CK zj;5c!1g}94rj8UJJ{p*NEb#Gz-SF?{V_yXT`SVHO^3L}i-Y<6k#~%Ok*pC9gAN%#r zbKRYnec&x%k6#W0m+NvEq~6|Fm&ws1FI_r*^d{xA@(0F_7Mwh=GIu$sVewa(yDxaN9y#^1LAG{BoEDzrIng|s!N_SofJXhKZ zsHni$C3xLf>a~io|1OwYX>1xx^R&i(`U$(-d3Y zz6K#k_q`N+_@+xoz#jt-rM}Oqn&81zzhys=lFofm&}2TceCJWX_8#uTKT6!^5CO&H z*qL&?{nXLGc*?Q=2!N~hr*T^MIrerPSstw{cU=0gvRu5>Ui?$&m;*b%Y-{4vxHATj zT4~%FIVxOj^F5bdg!c4x9)O%df84%h(Q+l9IV!1#)lJAlKr6+VCmS&&?S;*2Pqg1TBfiY05=b`D|RT^vuxf(EqLJ_28<~a_h5oXScyl3$W_Tx`L+3f&T5FlpI zu9cpPUly2d`JZdOPC@KFWh4=Ja6{z_OL|R{Vad~|3Cq>B?{6p}sFJNQ8E{TdFK}5F z0~^VT7-iZG>vM)17O}q_8Ie{U-o_ZAvISWF;mBg$24qnn5y1e75$SmK^r1=_mh>|v zsmc*mMv~UU~z%uJ4VwMH+a>|hkdzIi;p?qYfEki|a zXaEJSAoxB+HAWy;2hd^yt3kRC1-=2uAAoRr9zzaE8;ZyVE&}mv6rUlH2(^Jk3@Q;} z$K%$busgj`WJ2vv$Dyg5iKV}6d*aGz_>}Ykjs~oWjGXV}Gv%{*m5h3l74~9q zWQfUf>du#2Xq}g36GUR7afkoX-rk zLgd&~E}@oqN`&k}QwC~|Vl5L@MOal>rCZ;$IOT{D@FP!<1ukQlCXkrwB?6x)K*%Uq z36n8^#H5?)LQ*DASq&EBMyS3hP_3G1##x$0t?C=sc~pxnPDQj=@p6Vo3FeZdXlY?; zMxkH_c0(VOpf>(~#U+}wYC2Gj6j{r4jMpOC137hEwP{sQ4(P1F$(C3j{rjkb6a#_@ai>pYLC3-YeNDAmC=8iMZlfiA23~?-u%`ce?Ld?OS%v!Fex)EM z0h%s-7%UVOqAdpSain)`Xrgr9UY6ztX#oZ?yg#FR*ue2ZGiYVxjl=UfWcifAN`soiuL(->KTEC|xYGIyucb zB^)_Rw_z*cf2L1ENke7sofmL{aGpLV?zsi`$g4@HH_GpPNU%zZlk8Bnd-Q-q>kxnV z3Mb^XN(LWEhh+3&o9=3T(>i-2QF{tDh?V`5DW=jfnKh)cr~*3#EsT)iakkZo>O>in;qH{hkD<`)?c&y9#p@c>*7TOp z>~zS<_p|n?w|e*uj5WV4Pel-vCEn60jP53THZd)p*QJz{#~&-8#Ji)(4zgbHCPSi0 z4ZSL~HB1hOtscoXLE|SD>7~)|kl>KVR5QEdyc?&=%#84SBtW_&6U8_9v~`Za$J{Z5?lLj>b!L~oP*HLI-c z^#$cYKi{RKAkL>pW`K)2EhmSfK}+HUQhiNbOGr6i)G0ICee#3Z; zV)V+?1TUKsJm;Pkg^=P5!h)os2^%_D>VjxYUX@mbMlDh_#oQCp6Z~EG)M;-)bi35y zST~7UUbohi-33W!6snr(9p|eK!idUFbgC_~P){>Y&f+=yxbS1trkZz@$k}phu%Eri zl^~L-A7iT2=cmeG)oMvX$gOx>$}qLLvsGqCPXWqoX(mzJ4i0}YEF$&=`lX0Vs1er+ zZC0h^4S8h7TBa?k+45>VD450|fkOPe>aRWVoL@Y0ZB)WQW~)v*JZD&Hhrs8B^V*nA z1-Kly^w~|S5Hr!cbE`AMYaFI7VO5pEz1ml&CbzIcuby2;S>>R6#>#j1vTWEASJrVL zgHyZ~WCrL^?%0G5IVaO3*duZujjrXUS)m(mP)sm0$T}vWnMwHi>|P2@)zP6LacZb4 z;)7HMWu0nNi0R>x($;9d%XGdUmSD8PNHi4qnOQ9{1_;|_?BY6wi)pvYebxyfg0mGD zEqbju7Hd$w)e5h+m1u~q+M?gDZ_u~NFoAh2ilv#ND*+WMb+g5>fTbgoeC2gPS!v^rRT!GMOyA9jXpNqlnaby<5%e5qmNM?jR>B z>JnNndK1~U!71KH#s!Y$dSt@R5>tXb0r%8wr?WIHpVBmKqO32m&t|}*_fvM60k{RG zL!%RJP&qQL=MA7Y!@jAGVvB1tvKYDll7t^;)N}4#_g^@Y4_RuKAX6i}FYB zB+Hz@^s;OC$f#DyOj^Qzis6(K*&mj$#_ zK3mVx^I{IHE>>-|)0||(=+ZqtFMvW)!If@ zi1Ed84OEPe1S`wR0-J(wK~V-eS{GL2M)@Q1slCPL(?H>)=Wxf)glSy;aoMh1?CaM2 zRfRSEj55OXv05)@r=O~kI%M;?5S<8_161|kB7hd?8MJMI4ol27_MuIzh3R6}Y9qsl zi|dJ8dcN1CcsOOfY7{f=F=YniAc4rBu zb$pkM49fMRDwrxX-UM_r1YM{vm1XL0!0xshU#Ca3Y=kCR-9*hm;$D~B7Ex)1gLHVc zjR07qOk7t*F|y%=Xr1LbWTBw^)4DN$Hf)VR{%ZzgAHv$Fvd1|Xer=k}n`lLSIU=d) zjAn`!)QyNTND=DK`Tt%1>+80|ho_HB+4-hp`BXjq-k9~quofK+_OX55$8za6lHc7; zQOn*QZd&OOQnNMvSe9B^`2Ay~wbt7x_KMRGJ4RP8TRqlUc~hcYXoKVJ#PkO9kuGS<(iW{oSqbf8?|i9jM+KI^jpr2u8rl^I-PH5g z%`;JMNqcni%~?yVc82C(5A>GFaX_#Z^1Z5YxYrxg3dBw}I9Y7_kvv(t+lRV&d2SHW z?`kHXu*2tyjzFxqMS2Ij_&C=Z?VM}1rmSwGphuaD?%`)%ak_bJ0ph=3oXK;r z>k*Xl{&ANaHzU`3y%vTj`4e=s2X)CI2!8Jsz)@}8#8$Ch((G zL2snvaMl+1x&_G@VrqDoU~8Vk>v)Q`hkfHMyj2|UVW;{QWoxpH49R&4>A+A7d5V9Y z->ka#;2M%i$E-I)HN>LG7Buh4)-aL{mN@7r5)k}p zyuRAK!YjAW_kd#~*a!Iyoz=pWW!1PA(>)@yPU(|;%&-FHQ|0>-$z}~7< zw+$$Cwrue7HQ`)ok+dNTRaGohunDg^vk8~vD%H6jQFTeIJA^D7pri2`t&_`9Se2F79^f&>=t<-@qB=<*DIjAN zw5j;7lecxek)!+#6+#Syl0L7FtGcBNWD7d0SG3xN5v75Na?~nX(;*UDpI{FqP5)30 ziwxs45-ji}qPKDul_<>&w)`B@Mmt$ei%EPgyO!)j7N)IFS8M!C?rLEQpnqv`{XD1h z_IU7}XIbsFMqw`*T$)fRX|1kj4UV(C{IS5l!5iId28eJ$xt}*$gf$}V#d@c{$R|r+ zV`mJT?BeZZY9`IE6PyJ+Y-KFZWL2wCUc-h+m%i1{8S;1_-|tmVmW-ODeeA1@L@mC+ zFKVJS8!N&(Ian?teW#oyx+%l}m1iCh0BsTG&7yZ5Uw!t(Mcei8Md4T*71>GiKTY_# z52JH*0{sZvx!@T++Bz=1NsxgVz*Y5<hfLc5Izw+Sko^aClKhMY~#bb zd9nZj%y$UWtTauxx~1tckFcI2KN+|57)>)1q0`Zq_x4Z{KqQl_pNe{9`&J z(*{ZAH!^HkiU@j3z1EP2aj(8q-GPtmH{1fYl|qR@mRa1SiBf2^xVmR!7pZ!Rw91@I zKFcbaY?-xcFf&Vt6Mvx#GxDj7|CNJ|@F~yR! zKwg?9+krW3@K3RR7l)Zf#+&%&*&%$T6dO}^DqKKB_b2| zM6=Y`39ij8ZWZmEp+=X)Tv3|EShmvJ{IoKv4#sr;4Ma__5wcz~foq3az}Z^?d~mik z#!DLJO-9DFN>;KMI~Haow=t5m82H;4#Gr*1Y6_r+FGZ7#2-G}}jCg>&NpPEDpX?5Z zu73G<+HA;eWbdakXDP-UKWk8Vc9YD3#)xDlY(XQIILuNPJ=`HL|H$;^;O*BM!+56@ z)}FZ`Tx#`e(&S<8yiP!H@nfyU+v;RD_pU%4}`52cPOvQK->Ls=PIUJD+M7ZBsP|9#!*ietekR9;1krqrWYl+cp4rwM;o)CIaUFSQe#S#@{At4xjW3mKLIfj}+u4ajj zp`*NF&mf9>ZAlU*A_^8cTINK0!qYvMc$DUDNJ1IkW$hK-JysYQQ1nnC;{sL^f6@w7O%$jHPWN(yZQD&TVVp!j=qEK^Vf}@i;@x3Vf}< zRVWZs$h6C(oMF584{1nk$zTP=JF8SFP);c)pf~4M%NTB>+WIKd+^3*g*4<&wa5Nig zl(AfW1Lg-4t4TLflNOYe%-k6X(a91q8w%HED_$dCN%&BpDE`zJkN@P$zuf=zPz=-| zs?DSr-0=GwilPGE1xECbDNW9xb)iS3vN@{Fy-o+?OZE`8N)TJ36PTA0Kx$W1A@hv} zN|+VY0^T6`ti~-|EjVJ%V1TG}NCqCtrpy88e$e0sqLFot@Z(n4%8=mBV)L|BPAX$S zWkd!(FAUggP@CAS2oRfEz{zKflmO$3L16LA)D#G{m8d|(z;ldSy&I^)vX0P*J42IA zXHjW`ukqr@}C0wopom#O_@Mc-=OqHh%7D_hAY&EF6JVS9C7$E^j5R1`G zQ3;;7sZ}xsRz3_y$1zFv3PuY!5Cz_dR13w8V_YEYop07`{f&9JFC&z=6KZ{_U# zIo!#$qxp8Q=z`5H{gzpgaUOsrSBYBcRK!3_kt`DyD0Q_xLngqs0RWb63`F2B!QnHm)XqngVCN(vc~^CWOm#v&A}8QfHx8Zl@L1RA@U3=^CT z1INc`;;6i^Xc96QH*d&Qjc!Q;&a@l!IZK9UStkWKfc;L3U__F9Mn3@Z!6@8J43i%;AO?9u}U>@S4HIvttA4Ko{WegvdXsxKtU6< zFs522G|~eGM&stZ>vLss%ETE|$&FbI_Sbyl#OBgcz`xaj)jGq$QwuZ|2wBKFmIDRN zXk)1}!mCzHF@Jft@^|NRcq{Zs`T$wvEZ~y_Nh5wFfMLAZO+t~EIhA(nl#w1(jSdz{ zMu4$>PN-suC5i=k6%poPt0a*#K)sUOluJV3tCT}y5f~OGgJr^P4C)~1W(qBCXq-$w zb2d9)pvoXenv!9_8`+)!W#BkL@sJYA2shcyAf_k7vZ^;-jRM$aF#FTqTM!DdLlj9? ze=ZCP2Hc;JWv#3dC|I<3m7-vFF-%KPK}sa_W5e}GP}WPsB}F;^@VAKj48=@Oiar&a zHK5L6QMYles@o4MBTSg%w5*@uNS0ZkG|4ERU|gzO@vq?f_2Y7@DMN!a0_Z-21z9Ph zW>LUvAOfd7uPm#sgithy3TDLfos!d?h_Hm}X%W0C)Pj<-3fM{0cFUNDK=VR0e)t4M ztp_|!k07rhc5DTZ52n--0l8o!pQ<6)pjv?GCo!Nx0}Bt5wnGO6gnKpR4vVllv2K=M zE4Qic>~Ss#O1Vdcu-nm!K)YR3^>)DJjdn zp4B}vwORmfle3R?uOezS?^cZh;23jBD|>yFYGJ|2&MZoNR+(sos_FB1LNHNbi)8SK z0_hd907~UD1uT5C-Bp)inMgAT;De=(P;3j&*MD~OznPpL%w4@6SMw2#y zL0)00ncIzba}=Kia+9%E|TU2C<()IvQ$O5Al`Ugse0VpeL zY9nOeFj=0-Y%ak7CW}Zgk{}>Lt->Zr1&;)*@t`Xh(*PxdYBEMBF`(o#;LdX3&gL(B ze)89cPh7hzxChuJ5H$;M02Jc{3AT%p3ARufJj_*dycO!kq^A|r)(Fd_k;fJ)i;n0p zSUm=QU{HpguExj$b-qW2Xb5eC{DhWOEZIm{w+V#L_&dQ+rcxnHm|T9ujwPdtdEA1ol8=aN5~%Q_w;gBP#f0 z^ieO!0c&Q22#f)PZh>`8lOJp|T{&^w%HM{?{KrTlgb&^~kVLu#vMAtUUK%CFv}@gCe+B;|w+O+sQ2a6IKiLYcRBRWM3z$FjwetWHFFI9cN9t z5k0QT?hJQUFTyh>D#Nm#{SFKFY7th!CmJo;oPoEj6B2Id50IELH2(V0+X*#O!%kRu zg9$crgF7ySSt7%3rb56?F@XVPF`AsP*HbWTt3f(Y!@4G@B{0=7reecK0UKoc@|*ty zm*H5WRHDgCRe)Wps;Jh>aEh}$D)gU`LSJVKig(mDIYls=b#XXaK8XhDy+T<|T zd-p*7oPOq~OUgQFIzRC#bCKTiQnLqT;|1nUZ)l`kkG{OEUr1UW@bAb*6H z`Zlm~Y4E$a)(_dsyz_OxUx??I-@VQCb9r<(dtU28BelvF`rT@K&)KAemWGW-PJhYu zNxQU^W^SwAt#XU}4sE0Q1+HlyNU3T=Zk1h0YTLy8#JgB2=ZYFf=ebi8R;{@~v-1-* z+QJBUU7I-RBisRt!oP)ppB0xkgkL^AW6Fu?2jrG=+N^bnYHMAI%O{@^I}bnk7Pt69 znd;x(M2AO9dLTXUVLi@}MD4jDnMGy!)em|rRIh$Oyo7H%j^*G+eob#wKW-ms`u)bs z?LYbRyFaJE`|@OI!y4(!S(o>$yLS-7r;7ZscYkH}^a1 zQYBm7IEl5XA=oRw!#=}5x0TF#wQb}>nt3Rn{k*r~Ri;2=CkB|+W7&h#Jk9_H*4^?gT5#-=JAQ{(RAH&47PHul$Z_xb>FM4sFt)v{Y& zYGTVun(ynu8#~52H!jQ4bE@9@P;-O&5IsaU{_H-x^>V@Pf)%G*>6)G{=^owlLw4K_ zcEhv$hyIodu1DxRavj6__>-zI>SgaJ;4exA;;1UXh@pY!b98(v(?c&S9L8B26H>AAM+?3v4m;4830W~kBNT*pwGQN&$-KI zrDCpuyDPPySK6PIgyYgD<3fjgwxF@PAknhBzf<}@`Al;sb7o@eHZta5ZqDa!xmk@J z60QDuu3G-a4&NJ}-%OmZdrRn?V8zcoId|>2)+f}wFL~edn@2r~QNOdT&-0M{A900k zd1%i!H}}ekPuTe6LfwLriVu!Vyggg6YlUeb^7}HUFNn({+tn2A+jEF7YfZfiqn11Q z6p4+LKuA|3she1AN%1wW?tCEBC{#EKwU=wo4Bgp4HD}YO z@73%k6SuN?&vy6UR>`)=akZO!Y`55561FDCik-#LO0IXo@o-`HsQMlGp1h6mPhpOK z%M+M_q@@pfCzfq>?81%TtQw;KQ7a~QIn=uxeZC4oDYCn63cgn{P?KUCpQ&V{E6o6~ zAcxgB!fN9A&TUQfLm%+rydB@0c!_78juxiOh`xFQ@t?JxNu z{bTbBHomo1y)&AU9r*Z1$K)N)Y1)^|?@x5+v>pAr+in7E6De_dMmiu+=6O<{e(E6$ z{qP*<84C~h!t+3WYg4c9e?R|-8`%%P;~#NP=B>0GC64fu%$1g-V=slDQ<)ET#$O7b z8~I@8&3UiQ{)6qsl1)b%`g;SN=s?T)@pi5FNQ3%9D-XXEw7s#JH*gy1&vepZ4^T=-CR%rHN4?z^MSzk@y*POKeYvJ4jdf_JdC@J zxblu%dje4WBS((Ugj;I&=1bkJa%YTmx6+-l7ivi_eW{eGO{SlAl5a~z_$i^ihQ9RD z4&2`MG(5KLsXG8IJ{^AQ+)K_<{{+k>_8!Dlww zpem2@Ml7%cKL$^i&<^kXLXhU7Ye=OrwtH5MxZF$2+}rz5n9wjJ^Fe=auHM!6EndRMhcC;4tKAYav0$b=>3~BnuO} zH%^4C8_*)j5UdP2-i)dg4Rt!bpdCbr8g16=}ayJtPySv-blER zVha0duK@b9tW~o^$d*e9(xMdtf?RH10`ugPNLkBpowULTNl`UB+r~HbWIeYDzH~E$R3!DpRJ6 zZe*0)Ad|rZMj$O1EvrBTHz5cQfCHkrB3UPZ{tEIc5UaB_3Z&l*3tU5Kr9Kl$S!{$- zbP7~ zEgLXXNXjsK9h`)`b*6d~v1w{1LQ6Plfdp#EVxHqN(kEj?Gr>ubfRY7S4IEiR5QG;J zDpZDNy*Q%*9YTXxbHd45Vo~{EAt}bD>rgLzu*R%UpNvHyBySUD!CE(j^TjL=WMV<| z9hE+rM`UgT#185Tq(!^xImOb2;LF%C6@-#8m}FS5-efMKyK&doH%Xwnvj@IROf{uX zf@Kdr3#exv0+mPOB+CjX!|=JlfPrtGhL-_$OiSNmF%eBc6U1p^(`q;g$>2GUw_pD4 zAHVqTKVEH8YQ5QynD`+57*=@&t$0~qo8CO+&5h1+5Er>7TI#YWIm8;Skj#X;WThLb z2BBmkB(-vN^m%O!F62Di64nTcQ#KJx=r&Hu#MeQbXA#nqkPXK{?xjxzS-f~q7?x2c zT1qs(hq?5dB@as_+!_+B4YFDhz{Ea6xLNl^=fw1PCRVMhDy$3>>jqDr#1ZxiJt(Dg z_|hGux=6=L7g~d&2_4elA&WD8|E`%$$r84j)i!y72m~G3=K40$OF4IU@R&x2-Cfpj znKTPuxvHT`_Ylo_8%@-1yas|f=^6?d^tll(e`4Y2UwA#GbMn1g4YVGrNj+5Lo?-YSZ(p65mU1!%Pg!XICGGaSR=bp0={0F z{kK|@U^S;g2y`gVdb2xV30Xz}pJ)=C7G*7K6c`>8)i&II7w-(Jw0NcmYoljp;AD)&vHVU=SiP03gYl0QZ&6 za1aHj9RwO*R=;S3EO?P)vU;%5Jp+{kNEb@vVya5uE1?-yf__v50A^gLsL~|FpPaBt ztRf|^wZy!-MzFN7f6rmJ;c8Q?gW@QbkN~T#gm@uffeZ%NYNO(F_9&7-PMoK@5M41Hp-sX16mh zgBWBCfzXt=X%l26RUD_OU797?>|M?9A_k1@h>(QlX4{D1l%z>hT&LIBZ@*oms3}R) zcFp=`)BNted!e*-yS=vyby}xw+V2@b((X6EpUpoZq%+TZ&hwsg-sc<>L|A2%2Yu4>2>|1m!<{F2ro!sb*5fr2qD}LuGKFkQ4hpWZZuVU8oaV4{h_i>l0*Tsk&pGQhllpzUC1q2Ci zqB%N3#d1kOg#b@VU7QfAw2S>nYrx}&Et=A3zYUn`I)y9GXDh^#qh+i~FeSx@=9f`u z!~ie(Ow53xY@(hanrAjp$mnk%rcB7z+h*G8AS(o@=_A@7TDzRe!khZnO~j?@(ZCkFXG$mvP?YsntVL=635&vRTOz(I8zE z**m)K_Iwf7>pTcVNfIkn6s*cQ8(mq08SygJLxxd|X6!+;Paefc&`sfds;BK3pQJ*w zA145_TS$#EKp=+c=i)8Y`vj_5QBjZ&gDQB6ErqHINhjz#hHP3k7woH2EhItni3g)D zfpLy3Pz_T@{C(AML`k{0%vvGGmADBzQgq_vE$l1a^_ySZ^84>Rvu+^IxI(e;wn4RvBs!=DMC7

v)8dM05XSrqr(0Jz6Y4w?UA> zE}=uhx?jSvI_hy96PZ=XKBfRyc_$2Qfkvcv40}nARf!DLu8696K_ag*bx%^UksLiv zW6BdOr3j)Q20P!lZm`}`Hbm8e@F>8Qr0uT3Mko(lwnTSHw3?6>;@%k!61K8;vQ9#q zO+PlF0hSSccL;5bvP~i5O5f>-1sUL#B*DIF;=oadG^{q)Wtq`pJ{~4U92F%hSK-K@ zem$(Wla|V1W~mwX!27D;3u(Xli$6YCTX?>H17D$|3JtYUpSp;?ZJv#@F98bg zCrI|Ny$-h%28h%;-Wu3m(hhE1LE`iRnD~YhE3zDYQHEWG1Ck%UwtAd&vi+F2NA>P% z3P}Uzmv%@w(5XRPlF|3OS%o({E`TY$Az~_n4A8mj6U)MdUH?JCFkdfj$Vmnm$kE+Q zL&&o^1;~4q#lt$V$HjGkoaD)s%%((}K?Cd{c$q#JCEZdbEQp&P>Tnr6qM^BOsP<<+ zFMMw1Lj%@-WIz{z%3)rcfoxK9wV6TW-EEEk353TS&@<5qDIKm2>5G=^TZB)Iw@(6w z^;oTl1vP0L@c|BVc3k&xuanqyR6Wl!NQ&8m^n4DBqXtkAlC25iaob^xSn%q9V$;npNct>e)Y z*91R8NmyBTcXqTyk_X|q^v&~e7m;DCeZbz%neu>*WkPFBZYB(4#u8C;(=;zR<-JC8Ygku=2hCSiNzBWo(y@DpBEt?Mpi( z;T;z85};Y|Y}iCBfM?`66Ix17U?7zlWZPu{5o_yuFg?a>R0Pb;id(LNs@vqW%fQhzf!MAwFY{S_6tcXT_={1(eLe&NDtH$zWW* zn7fX+v>gB=gN9Z_0TppK&(w3Fr&fx|;88mDw19DM6%9JSPPhm)Cc_ zn6Ua35>YuBjn#uDXlk=UG%y~7QJAZ^ETt%`CZP_eS+qVbt+zQ39B}3k>cHqd*w48&zw3`_I90gSfhRnb)E&~HM8vvv_ zgdLz@iC0<1=Sudh`G!v)2Qt)egE08&xOeVQjE$g;=it zuygb@opAY>jrq@qnGG|kdNWf*=zc*?V+R%x0_QkUNcUruQsKkEX@(%%apk>7-|u>6 z-B#Nr&<$~y4M$>PU(1FC6)JD@VzmiMrY#72lz#4c9L{c&63(n&L~W^7j%#qAsSe_D zGSLo3G3SlmW+YU==vn_&Gb?DE>tHP%s|lPernoQ~qAuC^Ga$uVSPn_47KG5U5~?c* zo2JEKExjSii;~OBN+?2_54x^_a5~4#ru8vhZmwo(u?jrF<;H*~2%k0AO85FwF{6QB zCuiOyD}XIv-5@zX&MPTR_q5stkK0i`qOw_8u|VGwe6vc2D1~b?Tte^SieJZ-h(G{# z7T2{ue(~S3#f3`AhtRS!VIl%rSYSDN)(s|*qp-F=kCp3dVHaIt3mJVTZ*^S|1-u%J z5vHiSiMCU5w>Z}aiEVC?4f{_d4F&&s|H`;)H(<==Xh{~UM@H+>X1H5KU@=oEfTwj} z2W-Q1?m_oCnmS;cb+8?Xnhu3`-P^$A?@C~5qRZ4=s3D!PMGgqEI5*V4%pnM+P94SZrS8ftX`hrJMt;>L(wjt+6LNV z8>;G{Bx5M`A`G|$P7gYe&jrk<4DEa>mDoh z?-YE4NJrpNWg^5&1Q|T8R^s9l@M^N29t-N{q*xV#Oqwb31sEty@kaUylY^{T6(@E{ z_t0nsF9+RsrxR1@Q3VZ%p;MV4gL@11Ve#8Eg#i{4s&^<1`#cp442_dF+qsAe4+loq ze2eAv9N)+DCWp)UcMbQ~a)*b|_|F2TJdYQnKh{|w`oq})0p|NX0 z06sKk2X1WYgmKqp_x-+O0Fy!5eZW9U&*QqjsIa~THR~k7zhTZALEj;4%D|c}f3hal z>oz?;5meH)0$9e1@J9NoaW5#=tQ_41CKGeRr37!#rl=ygUOY&a5Lu&myG#sblrIRD zf~{gktQmLNUZOoJd=?=Txg9)(jrcCFM^+ICE-~X&W_Yq{>E-Qc?f(Hnr==9`w*cn+LX9Oc`|6l~~VY48 z?Xd+QHRLY&Hi5W1OJ#k<$ASc5IL83RBH-)b2e(N_`-1(zYK}rfIFa%^ ze3I)p@g{h82U3!ZiV`GIVNkdQvT#5UG<)sYJqzy^-aGZ7IY9vXN2qUoNdQ0};dNkK zR@8}c;N1l*mi;~DsEAS{ri?bbJ8T%0u9(fZx1fRe0&pyfuDC8Viq-EvUkDnxlqG5)43~BAc_g z3*id3B!H;?(=UJZiM#&r!~eZ*@~u!BCe8pUfGT~0SI!BRG*c!%+dy$xD5P~ex^EKM zt&q0a;PX02p98mH8c7)n+p|Fm!z@ZBTP&Mr{yrI`<1O_h?;9A$H;FW3)HC$6cM!vw zNDQFH@pr`5W(mqKNd!Hm*A4gAxEb+lB(>Pc?2;<*WY2Z5c7RsPDoWU~h>p#9w$x3$ zAfpD0Iwy=8vA4oXV`>0iiR)WK2P%vXI^=F!anKoozgDr@VJ+|86qQ@x2e9eWurKE5 z>$ zcF*tr%hhKuyube&8^*)Eth7d`V2ZoRnBG*vX3C{LTdHhCHs^qi$SLCfMc0x6 zB%O6xn8MIhS>V4+T^>1+*h+uW7 zUD;V|YZ0wl*j(1gsyEOQmbU82j1ZvH5eaHR%&3`^0Twqdbt5iqL(T_2qE@o?P5rL< zI*d?@lMNyo_Q|Z&0ON{lQ*BHZ5-UWGK_&+Z3rS@!nH;BKGd(6@16n7DL*tn}fBo&> z_i7(J_8)(SzpGLkb;;#k;^1im0+ zSuNUlkQlW#+`B6+A+U)rONOFh*zsEptWNL^Ag-G<2gYW* zPzD?z3&=m*t44h;57@BmwMP0BsexAnrJ6Ryr|DeMrtgDOL7@-Jyu;no%-}BhV*wYM z<+_qHF2zsR!KrS^K{Mn+Q(MV#P(ai6FY>Fb9&XD_54oN|Iw2I>A!E(^fY{BKWc zf5PV;3$F};IP)Pw%iMhGDZYUgo9BtC<~d<%0-`JZH~?#0M#3D50>J27Iy#Ls7o-QK z+A+6eqFnU&Yt-)X%w_sbvc+tbOr#7!CXrk>AbeM&=5_Q7OQX`HAMwep&W#I>n=&j@ z<1L1LT*%hi^CzS>*$kw>D>vL{G9OSKf#N8|ZdzWqs=FeA)~OT5eNu~S)JV_Xv)s6h(vkKWjEfSLLWYTuUceo<=X5z%5>10lkM!j=D?- z=BF_?y(F`eEGrT~0Gs6^w5@U`N{Z@W^cn*3l5ABB8w{{k3@IX;;1o)~_{xF8EAOqt zmJ7kSbq>-nTg7fnh|#f?I)~!$hJmrOGOg}W2XU^zeS=3_`*tF~Zz>VEAskYWNe9`< z5TCT}5#%H%DkV@?K~0aUaIuf^)$1;H!ZxrK(nQ->FiABv`|Bm?oUsFxXV{oHg()cA zW|&IIf4bmpU@mLDN0qbGe0*MHjxVyTyhHUSR{iuE%xF+vuZNRF3dagbiz6fRS2W&^IF=Ys)pQN*i> z1=*KeBkffv$+$5_zr zv5M_KgR|5mzA5D#hHLS5v3&)bSQpU2Bns>w0UGH#rJfnhbs#M|3<XXYtN(5lBQ3 zuAi#;Xd{6htw}K(eMZziLi8RWx;pAw8^LPDrM5nNa_;J{-oNnv$qk3`=jL`>3^q`m z(l#vK`&}DBcvzakh%-23Ol%9g7T*k)To6(^DY!Tr7XsH1*Cz+rD3D!G*O3i#FG_B> zLy#H3i#;WjsS3T^(u`aTe%)>kz;i4)*x%;JZ7X6LT!$WSgKsu(Hmiy2?c7W!;Qa)( zkAw4;q?8=ID;U=4Kx4O^%&c2G2gVI+V;2T%PsjJtp^qTm4EIq7w;eYtb8*k*V{^1GC%XQbOo}W>K zbdqIN3F);~9U#VhfFahsnkG0W~EDFi)z5)9)7pOYGYiM7hfhgFRP-5q7NftA6mTwR(09_->3VN!aUoTJ-vJQ$1uJh;z= zY?8TgBdc^u?XC~b@nCQ^@$n7RR*$0v2n|b#El6OwJlMr;jT{$0VejVCi)}e9XB9lRK4BB_qC$%pxp3Y)7wM6nC-)1&gj-ZBlAT zT9eI>XtC)cc?Yr!2GVhEOIRkyi~;5xH{Nde;elINZ@aoAFSLr;b#|OxXUFLSQdqX< zQZZG=OUxx1*C7pKyZqRabH-i=_0syLqY1{Ijw4M)){Px9;3njw?I<|8`gds4$}x;e zx=Z?;f@Ao(+}H$jkq!47H|nI$M*qM#YIN>veS!qz=7Fg&%ibq-p-Ia%dLMW)z3ZQ&(e7Y5dt1Q(SX~3`t(idFU%G6L$3U$@3-eN2rYFS%XnM7Yb! z)h@i-kz$3l!I$sDYJ{;faUI4Ela%TIkTC<^_b}Ht*J7s&H?rXJJQb-aTGR|e2Yd^O zs4pL8nZQ1}MqOgy%!qVwQ8{9zgvQC*FCX~Xxx%MzST{5mIwBY5{PO`xA15=Y*R;Xy6Nsl($9d5?g44XnUQ~~1b#=O~Ksp&)JY$AU)F`ijC zAfw@Mojcn|`nm+e-J2|!Rrb2Md05-6>h~VR{5v;0d5+3CfBg}Gj;gg~vmnr*bN!{P zrX-&%ky-i8oV~*|pO>2 z#6k5sN9=&})5Ia@0CAo8TWnMz#LpzciIk+Qe+E@ky*s#GTxxE&1ZZFuL z$9jML*oF7Ld)J3ckOgucX1&nihB22_ zyO_U%J2ku_lORSU9M~Y6SdCWfmh+R)-3@*c1puz#K3L7@`1_sovi!5cgWuY4I%p&<@VTGk z6MX9D6jx4@^Jtvg5sI(ZFB^@a9Or6S<0{Z{4XN&@?uEus_bDv2hvHJKTqmjp*<@|w zN%6xJ&PlId-bZEk6OSJa>i_vFtb6h|BhS3ITU-COS9LnXbOJJRW}naW)@Nwbs0e9l ztpG9~lZHBullq)ppvb1y6eiy`rG(5weH15xktJ8nMvQ_81HEn;B327BQmur z&-Cp;!^~D%P}#3p8O?%V68a)0{VB~E&eK#ok7?8QQyehRywsw8HD4^KHw&~_ZTo`8 zWk5r9!o^z^789%lPT=-eNgtg16>ACH0f?!qp+Q* zxnFn__|Jm*do}m&}SMb8r3nzdd^8EB}Ena1{y@Ylpsj`vb4c zydU{Y%>$F^wUW~>#jDmbUyj__e&~btt^}&jW%%WZbBDfu=_{_h^>=UYI`iW14t=`j^tm&OQ)@k6K6K~a`{H++Jy1rD2><8a z4{8pc`2m!cdw%fBOUrmpjL=zo;<=aBCZM!@CA}|s4&!G^Cf~ca>x}wAO=s19?VS{oBaD)zRM``bs+pLywlD)q{l>YgXhyxe~1N)5!V zqhINH`?rS$n>=H4pbZ1j*{QSH7Nsv47|u>|dnc(h6zqw#xF>u@&;p^m~Cw>%dI3l)8LFeHCQ$?rMfn z0juNW<2}M14HN%}SwhN6{}l?=?DXl$;kPS}scY|$LKd(+kE5!zSL-h0{ zTMS)|91NT!r{kEzdS&Lb35Y$>}2)4leWn214@6_&#X{ z{b9;d%_I2nqV#LJyY7ti>#FCKE9A42brR~7pgHRM;9i)CN8X+KEArQ7ArxCBdXxTv*{PRCx&*d(2P$igm=i0}-aUQv_F(#9y4qi^U&SD=5qhBS zVAY7!RpUSgo7L0|E^cHC zYFRjF;DrOCu2*s$n(MG~4yfRSt>JT5kOJC{MqUCxiEip2l&q!`Zg`a~y(j zxCwkecX>rc^jlHWXLvP;d*IX*Vw!t-F*X`b7Lo#-w+2Eoxf2k%pKy9UgI{!?8g5u2 z@Saj06HorK>-p;nJNwrk!>Ra1_wxAcf=9Qx$0xY0qEz7cEp98}3`qD=4^9~gh;m*( z9)t=@g3EW`5VTOZeD`pa?RWoz8*w%f9I#Rh4>}e(#fyXDn3?3h^UZpmCK0F=B)CS| z5*^XUc?1T{29K<{$GkY=_2E<9q&%91DUO9GZp?=5@P~8`fzOS^1-{ktuN;2AF#4fJ z$7ADFjYWpX)`skuqFqy_sX7=eck?rrouSi8LXRho5z|)1q0o@@MSe5C!_l{q-eH!Z zI<-1vk6D%NMG-yB-|A5R>KG_P%4#!OF_J}1isl^$XGD?D^Ow@7K#v*RW&4_`4#=Z+vu0ivta`pr zx3AOrElgETk3Q5dXkepB9~X}98}9i#H#}+_&cnIi%u`g8`SFSFf&=GfM15;K58uF@K;t;j zh`VAlP6{PBNG9NcxJ;4L-Mfs-qj6~skIYjwJelq1aK#dN+Gfa`n|XMQbQ6Aw$=(j! z<9DS$tUmhFU%QXNB`-U3EISmsF;gV+t)8x;&`zPUMz<25O2o?aqyHMIHiD6k#t5CM zkc7TABBt*b!)fC>JB%KfC82y_H-@<^-a|}r)k-|rd@0xL;sSzw0 zRBn6*o559CXo)!|&I*sv)}HZDZ=EzQ&5uduVDt8tn@CfeI4ms$q`ye&DyugYa-Ioa zqWfsM6fN$b<}v5=so{Dn7CI%rDxRq{DtlgN>5aF=h}Ef|ao)H#n)Z7wzw~TTOHp6l z5r3cXcS2ZS&35gwA{k4+-cI-E)uV^AXC7ThD$C(Z!~G@6n`?|8k*3p1X6J-2G&CvJ zh>@}8F%{C1_lFzJd)tP(1oeA+l^3SpfB%8ceNgznuH@{@anG`tp(@2@tdr@MPh7V8 z{o-Z0uRO^@7a+uL7R$#Dg%0YYq3D5-ZA|f>|4}?v*Er6$nccR9b$dh`jD_Sn>2}jG z?-0M_cqG2Yv`l;9pt2j4tcZU7WIS=%JTHk^J(bW+`z(nOeW-Q|d&A*3b#Imyn}y7m z_|=#njK0~QpFMScj9~apvD#Q`JVu%-Efa$nWO+!ADW8uP-Mh6#y2<_l6J<&-4jG62+p2m^->N;V>~Mspuye_oKOrn9l~k!-&BCn0lA~f*SXSzl8A<8n z%UfxtReS;iZf{khAFrY_&-D9_4aWqZ^x|IHYVOPq&np8u^l4*8b;uZ+Olw1_YTehw z?|bcwgM)>Kez{@7eD&h&7L%1mY$*DBeVgo`iLq0X<{W)ApC9bCu?8-xvzpTThQ*pI z3|m}QqMCSXE8Jmsf`kOQig^N;v9J}JSvVI*3t|m|HiIJ?<#v?ul}?i_Is!I%hOAH% z8l?u_NXIcF?oWxn8;8WSe|F4@`pv0wo*$gL+1afm>L=QqQ!=`B??^T_UT>|P^lxg8 zCw^_x2Ab%-M;01oqXpfgd3uTt8#_h4h9~AG;+^K{zM}EaFrb>_ygbzDS@uc&}v6q$JujO7vi9!pzj zXmy_1VJHPdqTG9jr zQAL#L@6KNM{a2sia@TfK zNW57Q3mKmkGQP%L_V5(t)t<+U9_YX<7;ovyGJmg@Pa^X{c2QmVaVo6xcc0*o8p7QX ziS?B$$Nsya*z+Yqx}_cg4uTb%qZdV)M7#F{rmT2x134*3y1)A`l^VYFn#Pu)UZ;(n zA}7_b{#01(LFYHS5Vwl5N;4E_%>84b)R^)@XR5oTc%ohs)QjpHH}RKmJjAAgDKScMqe@rh@CKBZEJ}W-|fIOHLg$7wNg7WGaLuCP;%oLS1C*_sb2F*vD0lcDbE!6h5 z*qfASSdn_$!eQh3VSC{MH?LKNs&)Udo@3K%cD>|jktOTb^MCvLlZ8KjYu%`v@CMYS zbP2$hdnFKd8A^MvLs(byanve~gnHMfHkH&Mn z<1rG7*T0ig>jr-WL18{H zsa~vDTVa`wbO`!GdmNF@wp+2&v(9~E!a#HSrrs6%s?u)!LYXfe{T_SL8PS!4>JyaQ zd_eiQdg+QDRv!L-+r>BMdaq$^f$L39T{N=8EL8I2bZzk!tKFEHPIoCc&+i&NanpTu z`)b&`6EZQoN7du#INoPU_B*G9s}ps_Y)|X*-`_tV_iKv_U$hU}&z6qetNhAnWw#Tl zPOdLo3SKmOODZq6#3VxYiOPHIfg5{nO@8)l;2j~Act>#Nhdb6ttI%ka$!iCnxw^Nl zW50dnb>oB-v}5kX!LjMGWh2!vZ|pHL4NXI_P_;2;trkB;#J;=9Bgv)MO+OHY>A#b2 zHyUqio=3%ZJkWPCc=y=&7F8(=r=Q?2_AH9a_9gq6s$j-WWUu&`u`)~}#}U?fv$;+z z{TI2d>9BtJMr-etZx7MWBwkrqN_}DIM`QBej1S5WtErC>b}RknLX^C2oHc$jrVP-* zlA((e)uHhhR-~WX?;kn+!CQq_K)Z6++3Y*-Of=Zao4obhV>cgi>|t5C{W<-g-GP9i@hNQW8ub+zZlTwo3E;R*=dyJvlg4!U7HZ*oh zyPR)NEvjp>V4KCxnlnCKURa?V9+O|S&83NV)ezs&-g`p0up@c2oNvCtNSOUC>LL*r z59hTScaN$|Ryu}AUm;HWZkNNaTY_MpH6JGpj^^cQg=*9ch8LX>I$H-+e#KT6jqM8~ zYNxVGAEw=PiuNVF{%k(mp@_F?_A1}wKbyJ87UallXx0vA-=U@Fq^u*4)85@YsT?gU zQ)hVPHOJil)xY}6$L=khdw9dBM(bm&qLDkK>LWBs@`hwdGOZb9Dtyywg|=dC63*2d zO;r)231_Fo?iOjqFs`9OD?75Aicib4rGx62@QQToxqB-lt4Az%)@qncOVtu~^@?}9q<)O+mY=o+m z^HR7;4zgA`)s`MHW_J}ATUDm#u+rRWHC3^jud}vT)#Im2n0`k~(44L39WEW<`&-pm z|L5w|nKAy^p%SrVS(lqML^gHCf0lePxS2Lx>n#@e`6q;nazW5e`JxsLH&dvfk)EKn z&ARa*Yq;rTZ5<^@k)$5HBS4Yh*T?n5~yn+74;hW;3nUin@trG@HLjg>HRZ z=(8Ghv4fhwd-5xH%3Geiux_j!6Tc{$v)<~xi&qUbmXKCcEh;JCyG+HH7wr_VXcBiZJpIX47J+am6-LZ(Gh$?6%owDfC=BD75gY;%Xxm${fd zNS_{`FIVPe1#QHGqiUZzg0}I3qVYLKms#8Wt;I>!R5lww8iN zea}XQ=Ty=o>J@x%kyErRN#~WbL0QeyQjb`@pDjC3TdYry#1@|;ar>?wy@8RZ`foi& z$>&~d73Hjmow2l;Y$kmp!FqAp$b3hZW=ex}#J<~Jo_d7E`3Axc+9Qm%wSGUMRMN1n z9;tPvpJ&!K^^%b)N$>10wjPlttEKGc!t+Oy6ZIik?Add@U`0#Ro;Lj;iPRnm7~c*D zWN1Q4O>O9J69*Lfo8R0yTvs^v$j15>(GD`x|`E8x7yYcVxk^ z6I7k8Rt4*`!nY%wjzf5Q39sIBn0G}87bIDzheR?bpSM+m+g3ks|Alcglja>^#L+~M zYcc*mRa=dg@T-xC{_LnCo)-sdx)U)uDYjfJ*S8ka1x@z^)abZ6Yj>m;mgHh1AS{{E zj`Uo+lA+inTy*GmyVa3XVwrTc+ww^jIL#(8vI8x8&n`fT_@cdNnko4)A^LdDtkUL? zaU!pfZml3|S*LWRUZwfT{wGSZ0wcc2fWv!VsyanSR84O*@mEWt=L}v=H7Dc&etyM#7{Odoz+OuJ`LG`jTsG75tmO3OY7G2ZYeIf1+ zGylDQ%RjW*N2}C+nYLHlO%EM^9wOTgYR>XPjXB7lMY*oB-nbs!Lq_z8Y{Z4WmGd(*34o#Qe(!%~U9t%n9dc-IhsJmMtxEQ-5b;V81ES z{ub$~$nKgMR-!W_0*NSnRt=7R)iW;shAIcPt1l4oA}u6I8v7)rhtcSl=pV1LE0nYS zeWyjGNDECdDE$kl`n@5S$oMKf?YeN;zmz;xAAe6aR%p8Qah%!Gc!M`ih ziK%i+K03pm62)$#X-E!Wh5EL13&9G7mzKn}R3hDCs-ZH|@bY1KXuD$Bk}_}6ua~`j zLWOY6%v%-S5u%$us%A#28Ul9Fa%4q$#MPHv;jP&rQ#AV`d|t^&dG|1VGhnTB&y*j` zZ`$I7Yw{P)^*z+xffjXp0b!RlyNcURG%{Vp75?KN)>{AL-;Zn{F%{Li4O5q`#H==+ z|02g-<~ioD^OGRlQojIIKFT4H=Ji{7chTb&Tocv$niE2IkKc4#!Sro{H=%e+%)ASp zqIAE}E_Ammv4ku1x+SLVAX7LIy->@?{njz#W}g_9JI0yVqv7X#K|<#oy|Ja2^O(9X zmJ|e&Tcli+A5xXgM3Ftb%={j`RdnLM6!lN|XMF+GkaX(tN!}(wNaQQiRP)e!JztgL zifL%*K3eSNWL4=-c%Ok+(L)09&1ic0plQBm{qb*}F04hub>pI@ou=g|Vdd&!Zsym- z>0FOtCQM<<^4p#=vDeRGA0p-pJCIi6WnakvKt-6|dRa zV(1)JxwnbE`1AsA$OraPH9X?y+}L9 zwH-+NCb+7tCi4=n-;CO&k9bU8#;xKFV60%c7Gs3+KF>j# z9D8qXi;`y~P^ud;F^z~oFUR&se%z84lhgAq*vNxhLSC48re?H8W@su23lyOx)^+7^!G^Yezzg-qWUaOu*VOo9J!yDH&hC9-ejb68RXD;`i8^nkV~7-bjQdZkPQ)XGZfv{$s}UdnF8o z3{sQpv0H2|UEIw*U*h6b&uBtiNSK^epyBcLNb59Q{ijbqSoqS>jW^3p7#B<&3#Xq# z;oY`t#H{}s8nHcz`4+aIiecU6aJX7v_aySdjm-2UW(5D1v?Gc90p1)k(}`(6M9MjH z5G%8QFrvw`EWvsGs6THOExW*PMFg*u$f&-&ATR82f=1So{I>4V$4p|G1?6U*AG&qE zi;kyOJSJpYMWd*I_&1r96}>P>Kbfq$FPWZPJ_&@;q)lY4xI?g%(|oxNoR<$09fw@P_Qb%(+`&Q7u0 zWQlWfx24(QF!3^tczEK^__rFoA$ZMa@Ry%gc|QmH%Xxm;BiE^--#_?6 zOVMU{f4^L>n(hLpnS*7&Z2Er-AN;T&#BGaP`HqJ;2|8tHhW>)2t0jgic%Sh;FKi8a ze+JL?zahfp(THRlaPT!cIwI<&EE+H0(lNkEp60_MdKaJkWRX+Ou#YXuI>{i~N{? zv#7<8sOP*$K5d(U+%uEqdQ2gJU(fjRbp=J1R@9C-YwHxXl^XP2utQBU*7a}t{%xds z%Q^Qx;Q}S#Zq!`opFg7*+#8bZleej|>IelGNe*r1nyA;0LgI4ZFf?)CC7`I-V-^oN z-eJ|NU9@jaN;>0GPQsHQkxNLOZY!VBtPV9ltncJyk$0$Grc+({JOowbd&kTlv0luOL#Qs z&D$PcXNf%HE`?jtd;FaBjzG659-k+Gn0|{O9#+(ddD%_q8PP3^+0_-nWq)BgKH?j5i>EgZ|UF^6Y%Ex{a)3t$OS&VHh}7f&&ose#)+OL)tB#Z zuZgBv)F|EU<1G~u|9S0vo-qPp1m>3&oru4Cci&5Q6u$oHjd$7anEr?7&nlj`*z9vJ z_9esp9pAMs>#s=Cg3wX+-B5JA2h=jm?bq z+*s0{+1)y#bgJrUb4CfT@Mo%pu=T2NiG5ZIjzKzkb;>wZa<+y|i=DTb4YN{scqtM( z*^b3F`Bv++?fYs&)8X;%wn63eRLp)}*%vh?4_IVhk+E$4RGApozo?9M?=w|nS@==W z5A}2Q?00V6Ye-`^4)s*Ai3+w7lzuiEt<=>{Oh}#+k58sL%#|DtJJx$CJGeA0UYnL~P*0g3 z>k0PWafrWEyToGqdmgs#c`d$V(RiTG+d6yY?~8&ZCH3wS-(dT@>YBd2TR0>Re0!;WZ)3LJJ`^+dG zByWa0T34UyJ}nyTH)sE^eY$Z}X`M5U8I9GCe+oO}Sgdre^o}a?oERhF$>$G{VR@N9 z&L%(e<1W509P7KireFfG z>kItt_Ajf1hpqYV@b+u!(z%t?^t0(F_L{!KcMbgE>JJm||MlnB@6I);*FPbz&VD}` z96mO-q#iXoi-w*~sh#5uV#Q2f=cm+?gR>{2<^2DU|IeFCcamSF|G}J*d-yla73(MU zO~aP>llktU7xy1rd4LzyYr1X-wwxoEDy|$JX%SwVd6-?P+VzRkq->RazwdSV>T~|o z4@~<1oE0yL?=8%pBqy&gsk+1(KV4Fv>OPr%`GxF!pyF8XJ+;x5HXMUmE$td@PKS@K zOr4(@zx&|+F22oLS-Smzag(wdX@BPzW%c@=i1XV&-|o}9c-jwgHKE&6YD`W^jcF)(>R51@VZ z>amg9FWFZ*sF0>g>Dz z;_8jQt4E$$zh{MlajfTR&r2m?b^pG{YcDF%*My7Z7nLK{UcQcRo$jsY)01b=A3a%Z zG+1AkCT}q6#!g8SMfCjaph%9_ym-&mr+>86WYRd6(=1SGUnti;yTH8f?-+gMX zHo7m``)>K18r^q9e0R$IsEY5tbI}YeTNrv^F4bXR_DS)S@I-OpCp^0R_|JM?QQ}{&=^7@Fhn?lgyX$ZZ!;`T(zDpJR!*p(P%?gSu z5qvxoEQx)stgE&scqMY8tgEg^9;k2Z3gCmfzl-!VmC`4NyIfgg`pMw~RRQu~)nM=P za8v1hpB(N~^^)5CpHub6&KX~@9YVh%6xet@adLH{`)tb{L?&YtmdN_HEUgU53bnOA9S}Gbobe|HG28Ta&AEXwC z`|bkY>kwGu{rT)9j=dX+>o<4O|_d@}ReZSpbMJ4E$>Iqgr| zQi;T6%I*1ak|K8T*pMU63SW~hI8dxxyJ$O=6rD}|$p814G2X6XYZI16gp0~bpg;~x zwp{MPSjTRuLn7PxHsa&ZsNzaAlmA zo4o;{S#2h?C;)O|p}(Y-c!Oj)Yeg9{>XP>jiEn-pJ+eee*?gj@h_$9MucSuJw=z1q z!XOJSPLpdlQGK&5t06-UO1#qjsJYBrdBrxriET>HISe~9U6tL_Buv{xEVfmu?c4XS z!+-tt!qHtDcA`6(pBA1`J&EpVmPq*{3+AK5S-6GMy$LRYZT2S6v&lpzp>DQ4&u}sS zyV4Fy7pFEW-h`?+T){ImPpzPNes!DeP5ivan^+XKsG8tOH2F+C_X?($=$K^U)#4h+ z73h^OmKdb@bc==3l_#j$y2o#N{C#SYc(voqdy85~Om9L#$#s)xeH~bL_5rl+!j?=-bj^ zC^9ckS%YWuPo={xdaS8_zUSm&tL?nrRX1)8re`0Jo>Psg^JD<}3JXE<<9hLuY^4*S zSmn6?sx36U6gu^iyeII){2s!-)MA&Yua(;3i0xZ>En4|jQ@Q>teVmSi?MjLg)0Du2 z{hl(+nwNIy_9L%v=@=M!O&OVQGFxtr7AeQZ?JO#!&HGf`kMr`Nd|AJzlqCC&)j{ni zgVJh6Vy)sa8aLW-h9izXwJpaM?)k#lgzleEEW_^X_)FFxHMccgxKiW{SIimRV|=<@ zOfMAc3n$8jDizv`cGj0Il{(9ulBqd1I5#?&PPgzY;&mlPw#?A!m7D#4ZEt#Q@c-lK zec+lnvxWa8Mn$WV3?mE}Au|jx0fa(nH+pTGc`}3{AZa36w7qSKtj0gJ7fN01db^q7 zNf`d7V6@bA+XjQh*4D-1y|!=f-h$Dhb#--F*Snv6cNauU-R|x$7!;=2ku-q!7>0D;h5 zLFQ9mPb&ZH(@pUM8A1^yXN~AQ?Nq7EzE(6ZTXa7ua<13?FH=G9bT3fI=C|kio1*iq zqt+sRj3Xl@g?Z=6*hw*ix(+3TT_&lny7avZPtz?lE<~~~mVbm?ohg`~G@~XudkSUU zygZQpH{-N<=Wt+?e=lE1BXeeDO)|ba0y4*6Uz?&Q=}(jxT~*|bl3rp~C`QPRr(^)+ zRb$iFJUL0FHJ)MjoD%mi^G^eh=p+|TApk)a0hsUBc{0&tg`BE9Dc?qIPNsi?dje~f zd5}*NHSD#CbxWm2G&w%-SaV`&$^3fd9bz%VdOg;I(gCA@TR2PihjA$9@>-b&v5oxxl*v=pJ8}Ul;DueK&&jII_Mh|;6K@0a!;u|%VafAWkoho z5#vufzFjX?m6@edW-c?_%!-fgtb+V#LtWV; z=dH1%j%H_-b2#9<94aS5c507)v^@z5ZUa2GSn_iGMC$e4?yB-HsIs$Z`$0XRm(NqI z>3)NCjO4Ac)u0OL3)ng48r}XFJUd2Y=L_r6_bKI9$Gt^9i>WQ=-6ls&bap{7U(r=T@mfdy&Q1unP zx`@(+4~IbhA-SD`J-asX5>ylqVNDe_`6Av%4p_fwaeF$??h(B4I=Ms%e&)n!Vowf! zCv=#s$(8448L7x&{km2$#)*D1=%A#McZ8Z{5ZamS@AbQupl7j`f!?^Rr%cF3ObqAa zdM=X7PZ0|@5YBk{a&aL^i}aB!QJc}tX|RC~!%9YKl-MTfNIz6F8hlWext?+>Ib(9O z)K?bCYePA})xkG^b>+##_2wUh>mdwS=3u>w&8eKM5xj$Vl7$L=iYo_D2JT5?7j77l z#bs1kmX-Bz*@5MBCUjOA)tfN6+kO{zjXVxaqsRbUM+144 zf__ueq~c}S-gJ=wrpP`^BWH#bpkrje$VznuqRUR;K@vpV-^zlF(~hGJ1G)y+n0%xF zrRjy<0K#)?g3Z1Xro6g_*eHyn$L7?1&kIUn#qT>?CIYi?^l6lCC!y?rjNL7UWaThE5=vti z1LZJ$XYKOkzqv8`gN(0Ee2k-C*!0&t?-gVPICRtAbHUVJ>!W6lRH0{j*PK~KIj&s4 zO3jGWOSYnW_``64=Q+;)_-~aNy6V;R;KgSfPU7eOT?!EYb+5TIds)thKQ7$%?3nx? zhLN`s`O_-qM+s;d?d4wDiAdFC?hw(Vav_+Lc-4*w`5DDD2&I zcmMkbo`2sy?P}>i>Ic<~%y5BK^1B(uXJ%av*Y7Xyzgzj}TPJIvPj{DNA8-+`d=J}3X(YKW>Q@1gH}{WbqE3}5Q~_pR6I>2(M8PTFR=%6x_V z&U4c;!MuYv}Ht<;b$bC z*$%ZuGtYE3r@VZz0=;6I`9)6fq7w~@*H?^Z4Ocv!gd3~x^|Yt{>yZGvGPeI%DLoNv zLQBe_YU%osaTF`eFbI2wzqtZJ|NHp#+Kh`tJsle=tU$jbW4&F)8Rb`__6+-__giqr zo1Ve&y%-dcbaCH0FYX#j-1fgk!Z7nsO(-{;_AlD@K{i`|GFrk=jb@Q*8eOqPslO7K57hiH4wn71DFJ0E9YdSu##hT8qx6YP! zSl!D}3tcwgNjX|uDo48tsV_r9>3b*XM>`mFu8a;8kL-0Y{?p5-$IY1|PP@!rmDroE zCH=Y5^hy&sZ7%3;_LrRU-y#bS)_Sb9?EP_r9Q!g2_HZ0Uc#=NZ%MoUWUK#l8q*a|R ztitELZ++HCMJfI|Nk%UisA?*?>~AeiRw`yw zW1<)FHgOEz$?pr860FZE9}mH+eIr4W_fb~XQ;hRi{*6_z1?L8 zx00TTvNmH~@h((fbH&_1MsnzufzgaKKN)S?Ml~HevRzIBa8nJegWD1_<>p)YKPJs} zVB)u5F-B z*LS8^j!WG+hS8^SI{6qLww; zN0F7nPi1N5Q!b?=l~@f))+u##%1Gh#mivGE&37|DM1e=b~ z2aS_u(W{zp;fCO1+Q`X-23hGP_NPXH>84U!G;I+5*KigU4PkPRm~5Z#{dv8u@^!(A*EXvHiWKjz@*I2aFx(ucbjF9*5-##nkH#k4$45$=eQ=?MZZ{r#ZMxQmJsp!^+De}Bmf%}Dnt}#K5{D(!{7iEH+iRA zOY8;=YkXP>Nqjl;aY$sLQr2x*jBNB2!EqzHaXVDxB%?{X$<-P$(!vbvPU!}-de}6o z7#c!xZy}w)#7qKNgK&VhOEtPBG9Z<0wKHHu6GUVw^_*5G-ZuIW^x; zp=nGrUJoE&NlDASLyx2~7?n%l*PpeCnp8!Y3;uPY2|;!m5Pk|^wwD)U??Zq8?!b$Q z(as+vIJIR8O-(!!XgT^P@!saMT)lEF*wgi56=f$pwvm}}b6{J&a-V;Docq@LD>{|E z!|^W_H(moPHyDm)n=^i1JKvrD_l#!KDbG3j3U!BeQ0L55N6t}uZspEi?A=RmU%PUu z?ffg|E9Uy%pPs=#8%?B6-}O5TUmk6h1}fx?QDKN%EVl~d`DY4~Zt{bt``XigVs?=9 zvxS&`F2~gyXyJ~gn0NMM=$n6?DK|0Z*UV2I40#+KG(Gv-ugCA-OULQfW#e7ew?}cm z4CRk2y9Tc}p%W7|nYB6hvuot^Yiwaz8ZZZ?tEbTIq#fA#d47NL zoy=n^kvTVikcpmp0*XYVQzH%3uTo#8j~V#OtIlK)En~gXMDL)_^F*-aY{$Vy{U3W9 zSDsYHnu2Sre(v_Crp8|bocqULK(u!D^!o87!sXsXH`&nhEkb} z9@aH%5_c7SJK;0ksP*5b&x-Q&!FcVa!0ov;kaICjek8qPZPDm2kY68pl$!qZFEgu+ z(T??a^}oDjl4h~ATs}IE8z^eEsct6!JimI?>ebJ4XBz1}0PIRRozu`J-FGRzrVkY@`r#VZ-SYN>3#}l9BrUA;0{+M&E5> z&UV?-)ICT?=V|myoH4TdATN?{I)8sdGgRehP9+C_DDy_SF-I( zi%dR0w(3T&GhACisg}F@mS?@^Gm+xnz z*&FYob?1?c4)Dy)W8BMBZ1oFwK7A+JmC@^tb}j3G`*41ieN)UAUrVS0}XwCjqw+N4;660jzJV|MWVS?DVF5?wIpztwZ`An#dokZ`Q2|GM`6 zbb2JiO1^#3O8$3HIv)85-!l9)9a`y;Fy)@4!B?*x9j!bRDg3eo)cYZP{lnlM$BmJ3 zykqBqpPVvm#P^~9TDq^$saz%xcY?t=bm*el^w9|1weP}L|77iKW*5qk%`s4LV9UWP z5X<_`4Bq=cngox791)|Af~r;0WN6^YO@<5UR_?mtR{mth+P2{U1qtn-W##&rDHL0K z{&P@r3mIFDGhW_!$STXB0O%M+t?3;(?zg9?UG(22eA~RE_BMC49OMaWsy+Iz(4OwI zr}_JXP(Cc1$ILPP8ib$RBz}Z%54Dyweg4<3rg#6^)<|C!p}_gfdw0Iw{c!Ya?*lzQ zbin3nAU+P>Lje&*xr)9H?gTjnHTzv?nOsJ8*;B-I)MN;7<>fJ7?uI{59tWTTP!(6@ z$_V0`57F~v)`ox`aEa3>!wzR~gt;+!jIAr=#7TPAZX>VLtDs$B|Hte!k>blsg_ZtT z-#E?ew$GTVa1NPGe;nFvpX@(!j5fWB?uu(do%UR+EryRFd=r6DC1*vbxt`22uc6-- zT~H&Q)rs=nEJH0gPptCg1R-^F7OgQIa}kX!T(*5p@L!?A9M0tEr-5AHB0u4FqZ80H z_IX+yH{C^LWB|EBADCoEFno_#F6L4bt{BdtVJqTtTlj4pD(P}1+jonR_B2P9X&YE~ z9~Xo7Ds$}*h6CZOnh#xXk?*tpeeqA!Wq*dM=z|kB1Ya@5uQ@pFN+FMd?`40Ui*I^% zn&8E#SV_l1d97tchKNoVhUoGcQyEGjKP14L4+iW+V;D{)I)eBWE*8$+aMkIla=n34 zf`{pGu_t~4^slD2(pkHMH31@kzz5(1#<((9hRHr@Y77HZAVpkm>au49*~`vXq2~M^ z(Go5s$a>RmSO-iaa1h5$W_WxcXQ;jx*YpR5Q*Qmw!+-wZhZ}wyWNg;uaODGi91563 zc^`rhMA%&e(DCr{P_qg5*x5u^u!s5oLl7ePkS*YO>v|LjLDWL!su^vRR}m<~2N8>H zPdPhK16jglxG*eM4-ED<0l`&?M|#3R1wjm=ItCOxaIoOQP#w#s_;Os{NUlV{AVT<@ zJ`bRUmk)e}=3x)$W|H1kiTM-3c)X{LiU%Xwpnq3Mp8S0{0{((rl9dPOYQ6^|5*{R` zz=f^HA+CbAK>ymB9)PKZ-eHK@B?r zKXYixI?a?1yc~W@DcoH-LEQ=ZakLHD(_&zBlk1hCl@~J5%0Iz8>aGUc8{C^mmKTRE zroTMeGPzpWRdnx~ulCzb9pL$lLcVdWor)Q@Q)L&^_CLAG-&iy|(PGUAG=bSQM6y=T z9Q}%%$=^ywyPg4-!k2gb5E5;3oup^8j@}3xCWojy`7hQx8qWNl9Q^!N?{>rUD@Wp% z_j~ILmba9HnM(%i8#lpL|E>MQFBKKsJ(G;TI^%I%to@pwg{c*c?{}$=_`?^Jqv%4Y zjr$lZ@5gjat{;pq7-0PE%VO2F3?3ivicuBZKgi*696j?KRdzc44=HET|L`jRwG-c8 zJGzISp}_pE%=>60dSKP+Ci-rFj{F4m8qBZexD5_i4OghR4Q{^hd%XI)CYz^<{7;-g zPE7U^*M~Yvtefjx2W%I5zp?ruTF+-g9YcWY49qfI53W6U^@yfQZgcppz+v{S zE;_JV=m~7CWTR~WrV7WlP|(3viCKR!-hS$>P%3+SMLBzm25q;SGf(fbiqUshE9(uL zP6e!au${55LP!!+9MuwUr5e*W=9v}+fx z&XChU*S?O;MIGPkdPnUv=)1!3GqZp76GflLS5NR&c$!mUu!@SA^7oDvf5Tb*(d1_T zE%_w~NH`AC?EM)~a$MW7BF8wMEczz3joJ&>K(!sH$=m-U2m_}_R{75>j%y^o{j$S} z&Hn&hAgtb(Qyy5^Txi_w4ZjzqL zoGF4iwk$Z3bny<})O8AiI%E&%`7L{{G&wO)Xf>aUuce%UmoJe2+2L_eH^gXH6$C4; zpMn|I*>NVmlmftq-Fb2C#y;!FA-f@3X25YhgN~0-pyQ;-a_do;Vs~x;P}$aYs_fV4 z!R(7)jWibBf20L1Ki6qh)>vV!cq8}`zS9e@gMOJN-^UZh%eyk^*&D|;*zWb{zT>?= zD7h=!I(2Od-E)_7a8I{#w}TE^xe@f(+St%Bd%g0{FR8e8Gvt-u>Lo|95WlBClF4gI zV%p1MlwGYft{m9{KF{~D414X3gP}nA8JPK_N6Ib~Z?R^y(Tznvg@8uAA@b|FdFc98uchhZ{gJN>tu9Xl_l@%MSrA*}wK%d2T+rw_ajy3K?I z6!SlQuz>PULU`Af%*@nR((uI}KX(gfUYx<%c)X&CkCqoy<;s)S1`4d^f1uSDba$xM z{Qo=$s)fGtT{~-D!v)W-#*;~K2bYYNQZuyA&|p7QK^B-1Sj*9iWc_HFJ7b*MMg3iW zW;xoy-!}i<1USr^LA>Kn2eSik_ZD5{K)J^|t$2mCop-F1yB)hn_Lg-#)027n{ZpV) zQ0qwH{XLDniO;tFV5xyN%)T>~j9qbmIVPemlO)=DB5?8ObLC<~ zcqD^{3CM>^7%9bHG#Nt&+a$_3SRPToy~+KE)ACchiP0|Lb3wO1V|$RD?N za!m-TPq9oQ*nMhA_CkE%2zOm1p|5XPY(j@%e|)rzczB2esk>>bC@tYEmz?vmMr|lW z=7;cDJV2mcW8zXoujjd7Hge0$=b#@Li8%s2?_5J}_ zzW=W;arWqnmo~cYo^x!x3=jKH)N`}jt2zrOxoH5TaSb)o!IxaY-L@IiOB*jo?PVWq z3d4J@;O?++d`ZI6ZuP1c#t!YbD+aPVATZJ#kYPIGWF6nGSXdS7n*zvcfp|KzO_-Ti-$ z+X*-lDn7B26E10*2w-8_--(m)AE<5X@uaCC?PDA%Jke3)p!0#5)O(c&=v|oJ20ROt zWQSA@l(8R7up=A}b<*Fny2A7{?qrk0P4-DTh6|Ga1AJxpt#CfLx$V8Bz0)W;csUgk zRnQEbCln;_r)S+|bd@jfY8e5rH0S%w8+Zjc#3LnzX+7Ycrb6@={7qaqhMfTnepAoe zB9dcAbMX7AFN%dEhSxs4oPjxzRTg)(^s@Of^{PD)xi)}12@WGHtdRR{44|}iHB+w3 z2M)BH$fsj;mOSXcBuB+6)J1~t-oZ^l_EaMv)J8I_X=l=jeQi;0jU7%8kTv@tY*IF6 z?e-M6;G^KL@z?3G_u^+B3=jIhfxViE%i#6L_u+fG?BK1s-bV1oF&d!w_}a!x!Zgtg zuQh^8bh&qu-Ys4?#b~&=N&2n`O;@?NTOk3$!aaR zOx4BdYboKZwipe)%3SFv0}nwI0+myj4baReK-0VJJt^TF|A(%1GH~+{ILx3OUoPeB zEV)RF^>6{xFJ<)BB;LIGK<6seLYRlZQmHsKQj9W8MNsPlM~_zv!vYKu^gMp>t9*PG zlD*%5Q)47V$V!946PT91pHKw&9~_d_qJlr@@jjS+z$_-{pe6zUpxy^F6wG`^6)Z8d zI(QpOLwQv^r`D;VVhoPCluBa(gk*f`(>Pu^6BYjQ-~Wui00*Z6jDNf_nwo>s0aYV> zsWea+%E5aKVimkE0p}3nS#Q}`1u1T-de{<%Z4{$QZ5-vjb1Ah2TDf}_Rj~z{>8W#hErtfMAj$7;2Hr17{Q3VTK-LJxCafCA zsyU3X-U#&+FHE7p8HP(no zWH^uT6w7(e>0RV$dVmE@Wc)oZ<_n z2g{fE>rjBgu&SVJgpvxV+<;~f;#{Z>fgz9uBod zP3sa~fUytNnCv_l<1z#1kzja2*^~yR?^?t{RVMr@oZJWx0<=Mbzk40-6z_EU^CO27 z^%vmB@gpQ77nPj3k}b|_7kmV5E~}*#hCWRZnbk5h*Qs29HhqeQYA*Xr*5C@!PkAbE zw!H1(riN~#yG7|jS^Sd9Wuo+NeM@oidYnM`eWmmyCrIZvrqq}sRgJN;YfYMcIiCNWoutV#W)xdxQDcVp`Q!Xmjbvo;OwK~3F}XPXBq zBqN^6it0BpQqOyIRBq+2EuEt9S^`I^L=rA>O4oahF{AqnSiGM-LK3?P6h>5T)0w^%9Ui z&Mc&l3nNx;zEuDo3Q0IuX#!wVy)purV+ccI)<>*JIy{- zFFGB$OBq9NVD+E>ekt*fk3Eob{O&f_V!pGcR32hRyZn}u;@py_g=F{o9M((kwOniC zw;>x;)t1deBeYF~vVfu$dh`mT&g7Asn7*NervCPIvLz@H5y z^BlR5G`VcJyA4;#>qK^>fJb#cL0pmtpqTB5gtT9YZQ;P=(BPtX}C zGI81&V)*7{mE<2MVyKfe)?|@Dw4XN@+FzXg^f&jv{N)4bX^l-uOb9>Hy@^V- z2}OK!kH*dD*RpH9+e-1M?rWd6l?^}5+K^BuplraUrJ~xTKFo*p-gTlLuPYhW#fzCS z2$Sky$Hm$lF)Thz)TI?Mks$Kd^x=(4LccPZ=0^GQ5cLG#-X`nU==o-Hk^arBY^|c3 zB4kKuCD(T1zaWa5Fg!#u!M)0Oc9D`I<|aGq;B!`S#Y8IEtj;C}C8j$W!jZX*>jhNH zuZLRZy^(ohbt_4X*Up0;iLsWa<`q?=R&uW3fqtQ)_7F?5ZZ4C=%$#br&cu;q-D;Lw zzkwJ4!I;~`J(UaSk^sloVs9=jGRJ!+1m{w!Zz<%O7iS7CCLb!hQ|0bd#lv@$Bxa4= zKK;w@R{Yxo;Uy$5lNZShq`wk#$*^6}x?4&=W5fa7LEu?IKDwLBmQ-j!H_DJUW--{? zgyew+r-sZ`gegI@-1;HKRf(ctZDv5&bAx1AzlunaEc%2H$E$_)TnWapy zZwvIOOs%(&XaO(e^1^)1o2F$YfcdBnWupm@3psqiI-E2X4YzW96P^fZNdq_^Dsw5_ zZBiETW+TaljYEW)H5)TE`p3xBg{;~;pDktRc||=|nN7^R(XceJ@7@phq*-T9rU(_c z9#SKK6g}TlCa#Elj>}|8DZ|!O&j?yWs-embc0rk{awZ43Vw4kpGXTV z%Zykg#%V&tTw*Pq?bp^BLpEU(vnEMrugzJSG*V){X=R7$Hc5DtEXPfkAG7uxPp+$E zN6PSDN3tU>nYZi`>QU_rkrZfo&(M9Ooj4(~<1RM*o;gG=EB~vfpc(ID>P4BI3{B(r z4$AKRar+~&QZDz{C*WaH;p3J$;gZ!<$tNAsX5;a?qGnXIcSM`Y^VN**=+7znVUt<# zL5Dm7P3V_U;q;Q_hG4f}nD`gP-6{`OQ=w81sWyx>G(^s&Ou>FNGk#>j+A+$N&S#kW z8r)5I>d*ulCM#11D;nK{=Nh+(q&6pXj&YT8^~+27a}K3(=C8(o9)9P6T-DwL%K{>S zv6}~wECa#;RnySOUGK+OAC}GSglJSDSuH3~zUWb&B6w-;Qnngm2N4OqpyK&?Xr92s zaF{10P(GiQKt`3;tI>PafiOdaEozZp%lH=xQn!v+*sOT+aJf82)fl^V!89c~vM(sB zvU|(3L;Pnj*`>_E(DMBuTq?{F6qk3tT*ldp2H85^;jd-Q3Rrg$&}CR9CSaZB zv&9D8T!LQ+U^NusjT%X3>W~MF3A_ZUFeOH+Pw8YRua^Ey&An4BrBH#5&(FN^m)ZWO ze)#Oy6xU-NK75siJl_VA-~J1wctz53rG?%E(e6eflvi#?&g{G}`DEv4^Z;L`l+{(p z6!GxoT(K@iYFncXh{|zPTfwEI^H*}G6$^*uCF}S7hsVa#SIphY(qiXJdkIOKnkx*k zu9h&HO-->iRT5FD%;L?x2VU}J7yY8NV3@<+F(Ip$wF&jv1E*L>RpF{Tr1DoXxu`9V z%Ukz_eh1z6@L>A4!&_Wk!KH-V{hN7XE33#H0@n4xElK3lI74Y}G}kqk&q~=y;TuG! zt*$$%JN5X`B?$Gu!iDMeStZO*Mm8%xE^RCr$tn3WvB}&?RA-ltq==m>D@gP=s<)gP zu}VFyHLF*Y3j?{5P_qV_zHc+s@_uN`={Z~{9v63byML`Xgd$U2QETZ?s~c@cE7sKg zsCXNy?nrT0I?U~mBSnlP) z$~As0;RWR&QzI_Lkz_q?N~mn0RB$4FG@9gmAco7OH_3i)!C3`NF6D_mP>76 z2lO|OQnuO{00&<~VmOmr!lX*Itao5%WVy@N$My0SWtwTuFXjqaWxR!RCMR_x=w3XU z8Tm+NE|t@nIJu})a_8$e>vLtZU68~@(ji>RE5%HU)ckA&r=ZMHt!XK$Euc>IjSGvp z4q}2KYH|)HD_Xh|4JtCLOp7{$W#H1GPb{0$m=55KMkWa}`_(^ut+o}^BCj(^-ts4EPxdNJX)I;21F;qw@JwEx!R^q)feVSg|(NV9c6A=L^lV{o}h<_ol13S1nPxL z$Sv|)$U3|}9oy5UgiGs)5Wk$W37#_Yan_>VLT?hrg<`K0AS0X#nm*Z(+-qq7&YAuo zr7u2YDA%B5R-2BELKEth$w29u*S=09K6xNOl|=Jey^_u=MmO2IPd-U&jhportv7SN zo`>oQLW3FI82u2|@$TXhK{n27RWcXRO0kfv$UPevRgF%=B$K@>sDPqSX#)mYj&RMe z8q?^GGPx2>Y8i^NOT1ak63*oH0d-DemB6AxJ-PHTYWZu>1%M@j^|S zpvJ~-2@q<=AszsG45Q8x1Sb?#F)rE&HCI|oaawaDTci`a0f`JtoHnZ1s5LT+cpf}a zvhFjf*l(I3i6TpNGodNwEQ~v)$;c^}2~C95<#w+@-K{*Z(|BgcEXJyg(T^^q{pFjt zUwkJe9DIJ!&OFxD}5}YT20iTWYjw zWdTmt3w64E#$rZJ6~)a2sZS9YOJivrzZy!%oGU1AklKdLAILg4wkbVnc*IH+?-IyM z%tW!-=wAOGKfF$IEyd1M$=b&vZ?}msMLH-?*-3Bye5$=BnT(00O+AFS_bjbmLwp`d zD&Ckw_eYv2m*D3W)p!R0JblVI4-CGtlSUr7efl}%oOUwV<;TQawsaAF?{kOeTH^nS z{xH;nGF>1ZW;E}Kz`WASn4g1}D9kch#OYnopX*Ffl|U+b-X_U3N6-RLSy#Yfl#nBN zgi!OaUd0aD=CA|VlBjN@=D;3AiI)l^3Wz-}i}U=o17q9=3`x5wnto4Jw>aJrh-w7x6cz;y�GimQdt-9T)&0a^;B zxr9YQJQvjw(CTeNKFO&jmC>a_O*w*PqWLuNe)z4>wM4QtvJVkD)UgO`Oi0!d%0jsq z*0XFo-NBAB=^nqKRxW_}$Rc{9QS&fD3_;Bo^%km$SADni;`$F4pZj5jyZ}RyB1`H* zUPO)~wdCJs-IguXWk>+X61G%ll?Y9v`CP3C+i#$}*h}oWH1bWLSpARa8Q!4Z;sP2@~M1}lPNPloeBSdn ze1y^+Zxv+9x$4L;MJHW(SpdX`u-;-FtM19Pjb!lXcv9WIN9npge@!hQi7E9OhR)`O zTdgj)?TzB(hlV!RmO>Ru4LH_MF*8CxlaM75gFYt)G!VfD=1`AP~V4al5Dmxwdr7UWvc)Y zm}Kb{sXEd|k5fh7WPTJ0?~%1jX_9y1L*%fZY*eZ@vq~~-S&%x8G-zHg!DS3@&#v`O zx#zO0wsR6~OQjII(!xzbg{X!zNW6M^X-;=5O74+udMqxKs>yXcH?A*grRZ9o9k!99 zmInQ_pIy&1k=-vgdruNur3$^Sye^X-w?3DRJ?t_2Gqe9~jOM-YKxEp=VUw}VJh32m z*q^EuETaG|vJ6P_dw_Ex6r)NXY$q&rI{nB}h0#8x&ZfEl_^@^95c3cEZD?=Ce$K>Vd15=^zi# zj}CbeK?-`6%nO<_=>UfK7NRwU`Dj7gJO=s`X^kL4RWBl0O2}*-MviAcmr~p$#>IUq9t6qT)5W4k$x4U zaS2GoytfBg#4u|~U4T>&^n?>pl?q%j>}tJ^fw!a5igvw9?S=gAkR8DZ6crxkIh`sf zE7S|B1P)1FIr04JTSNc$?ajZazCR$u%bL9;&uIyRUePd=uXotXX&H+Lu4s~m%V-i* zdbL-j$s`59$$))V0Y<4(UZgKUq|RL_Kul8|A+b^0AwU+ox7e1el<1%v={q9y$a+jh z;WS`bz<#T79;{p7Gih`Rp-t*r2%N>ZEojyn5%~CO%A#TjtyksdjH(D}0o}kmkSg_@aR0+klKw%CGjGBX!@L`?EAOKlL-!_s0TO z)bPkSfCZ&k^lIcc))|#4y`rvU5j}vigravK6pF+H7J}8#W{iYGY{dQ$)cg~+t4lp?_+6i(txfgmlBJ;HOc(| zz}I4(pyC#Pm2vD>iF^MSH_8ixvMM2mgb9FLZ=q>^9xH~dh||17azN7>)*}n6g5jhw zvUHI}PDp+>e*uL@yeu=1FE1JJLi}C?E{|oHGE!PEuLWDDiuZL9RJ8_ZjH30@bERIH za4F4D@eX4V3foDDoa^VnJ~egxB+1uWWRP-)JQti=)rc1~tR>(s@gfEqZfIedqElPu zLO@uAeRBe;L9|u{sp{bN@3z!hfPtsxWbY$*GdA>jfzYOMRRoWr^@(XuKG3x4vZ%_0 zkXav1a`m2~#J!6#BUd3gJ_^3q#Dx#Z~>~axf0ct=#iN;GP#msx1upo7@ zUUeZyY=&``&t)h0I2l^e8s`cFC7A*@B(nuyWpcGe zU5Tu7dpyaas!>=c>pK-B(rpvtbQUxKgPoDRZYjdxD*SpP&kwwvO+d_Kh^I9M{Q|v{ z%aQyeik_{02N+UP;_{d8I=uHyuRjoomPG#Eh~A>oysqFPqgH2d>UrY;V~KDAj7tdk zb0Ss=qagJ;w2DV!4`mZo8jA)Dh6t0+1xO;DY86-I^;o#?*{W~6hvVm3Eabl zEWZ$1Q{abyl-4HJz5}d&57z5?@{y`mH%~yd#cZuN0rsB|-w`R7^%2Q3K$dbY3>_&X zSwLR3w^lTUIejMqpHpK{6s@S6OFIS5f4AVm znan}}<dR7XZXrN!0+5{1UvdDI|08 zHce`*Qwbnmxf*#Hr==)aNN5u^B17jZpf|3LGm>!YjsSL6MUt{svamfY>n+id3Hkz2 zHey!O)~qU@!%gY+s&G~-*ju=C6=2kiT0%hElIdeU@&c3>L1N z21+AVEE9SaQbWseTH__-MnGa{k>w;jhX&#D_qY6i4?banuE?ueq|~~M5~5kSsbH{U3oa4B#ivDK5%08$D1I*FxK$c z#H%5iAcFWkdIl^y>leW|XhATtDjy=0gqA^(&tho>uRQ#<|G|e-$y0bzA4zA|P^is6 zQQli+<*eLb$&}gIRrB1&EN(vgc$;4;*^Y_>J^>eXNOk$lWQi=h$E_W|*ME&e6;d`) zx3lAkzBFM&{wV)4kHBLnHwjV2)2z=fdaehGJL);^tEIcE8gd`)KsDCz>itJg2k6|Z z{m-rtn1TaIxzki6D||KiCgs73{m}IK!eV`Iwm9r4B1Tq>ygk23t%|7*IJgP3lIgMhlVtBrSS+w>CE5 zLAfVm&-z=jWm9;GQhpT`l4>DJVqYx+-3Xb!+xe{ zRafnDYddEfp0yw4MjorZ-QC8th11=6KGq;@w(;GLGicO0Sz|?)^%GL0N=$YZxAYfV zJ7%SpBMznmc7SS(gCbY=`VC^kA*wd3HrPyk+Bj)sJ=4wS&#%vkk48k_q_6k3_;`)x0?I8|Te$u(oC4Kiet)M7VB!iP+@8IOrK;r{XtT*KT+S^y*J6#NvD{VD*2GRw>QU?!vtjN?psfm-B_{%?)dnz zP~MSI)EeL0Ygt*K{CDb`*$1llu6+e(t(A#5bP|sbt?^Vv9N@a%!^bLwI#_SrAl(JX^n@QQpWfD$m-`#XBXtZpC@OB;8Ja_N&N+rsWJO5&K=#oZeo42 zGPF*Z^30a3K*LqR^t#76gXim_fmQS@-(GDHJwMu9xWDw14%ToSe>=(raNUW_oMod}%D1%c+2jU+Ed*CY9Ut>CLr=6(UyDF&q{A zToaIP)*vWIYRetit87`mCb^8-Ob!<93y2&4;E8(B<3tNrR+b{Pihp3E*PQ`=+`Wce zGgg5Lnm<1qp!DN=FQFJ);I0yPy!T2PUE@F7IPzb%k)L1sqRh{Z%kKr;qxID@;T*n; z?Lv0Lf1DrtQ}5CncTRscyX%(^R5_nb-uFpv-Ma(p_x+z-?$yZF=ZA8+2K=HUm}_eA z!xLAuhOv#!+MCJC*;Cbb;dy#!r|+fUzz{jjZiXMUmpgLNj~&6IL&1AP>@(CCYvB9R zaq)4-5mb6z%(@rMs)?ZIJMC9%_7Tga4}7i8#Xx5m)Gx+iaPI%$5(f1p1^o^Z7p+A% z*GI+jbtMI1_;yGtIp!;mLIDhQwWcm0M&OByb(V^=NNz8MCzl)$s~h22s^H6nz`g;p zqyh)_DK(HJ@Her>M)rOfY*B2%-eWzG2C(&coEtyc*5`fjT*lda(1f)bqvOQv#sCTK zRiSU-gm0sXnYFiXSSLG=;ejE2O%^qazSyu7Mmjp@tjVfrWIy=2q2-b-^i%HjDK34E6_UmiYI z9g5d8&ys~gR{TGs%g}RnmqCi5^A6)|`d_%xnJRj1>+k4^tdZyO zzzO|C){o2V?auXC_Zte?@x*P_57epJ){T>qxiV|u1=<7c=qtGqp7GN{^J1=wE(}6? z2J4i6gmW3SEi_!E_b!4Qxg|8UR1BvCIqnpu>)I(r^ijj8%qe@rdSk>QSBq3-r&?b{ z(-w_`z#$zYbPM@Dw6r9Pf^@1kdDR?T)a7GHi0b=0WVO9i=*Rz$r?-J?qe>gThm=xU zYsm})9WaH=Fu;V5QfPFeb~SS{zz{wnDR$GYYfT!vX?5)lqEgp;H!~c08%W8Q2H+wclJFC!~7W3o|8xr?|H>+&)8PMErxRI&z#ffcrv+_PEI&!LE4 z9#Ff2&6+xWGv z1T8O5E{|-QS z2VfqtK*w2$fIS1?vvi8{OB``8yr3DcMFvG6M6aJn?JhJzLv~1D&=akQlK4t!P_1Kv zE+U2QXHg183PF)g57vnyPVGd5PL!?QA{2dE1l9nAx6<{6@oG`WKyx)4TG8yYMzm~2 zn~`-8kO!XymH~EFsLTV*e2A9ofSG27&$0|dXic9&>c3dLru^^uuO7HD0;wL_dd(H@ zFAQl3<|zCl6xYL4x&ea^*Pu)pnjdEQi&}aCp7}D(yAscJ*1T2bZua>cnu zS~nlJ_`QYVm(jpVfcjXQMZ19$MytiDF7dqjS@S9}OL$-ZY2|X*BZ}=T)j~HFGkv?5 zzJi|6SBwDRko_vxlC922eEaRork`$Ov{q)w&ghi-&^k*2YTL*bqFMG36E&!@Of9B= z44I4R0@Us-e#)5!)ia(oT{*%E3w_A8j4o8og2D&f8bCzY8PhZ~TN%5B9#PG&@C`gY zyKN55POd`QuD`dBiRT)W`IArpP7ih4m=ofh zvb<&`JEJKx0AZVNgpz}KK+lD|cT9XFpuGX|N}2I@lQ0(RmHE(JQ0YKA^Ut2VQmQ}q z*Bhfu#AUxX|J4w4jXA9(n8|(?YS`!vQs?9hrzzfIO72xoS5K0EU~A3gLIEB|wNi;X z@8$7!6mQbX#PkQL%OP9fa_E71Z9^CaeJJmwb+%=S32SV1Ha#eFakUW_!hl0T;y=h$ z$H-8ddYQD6?vq(m3p9mdeR+M%nV(X5Y;g3VWgJI3hsRXk+u<5~RnkA!tSxSPx)Q?e zp{|HOf*}E;6q0wgqbE1Q$dAKdqT}T0W}$V`Rj~%~?Vefulygqt&igA$wZFO-`yO%fkMI z{plohW|AIfRy8q>g1~#BiwrPgf~5=q1m`9F=P~~A9Q?%QcrAM}R@oc1Gb^AZlIdL1 zNj>@K=?d*p5XbNf;v1pnmCcp_v@`|zwYSa1I@)Hj2YN<(ZkhZE<-a7EK@J#nX$&jzQX3FcL8 z40WjUxLA9H-mUmHE8Fsq0aa;^G+btt4<0+ioMJ#O^MA;L%j0~vNN*QU9cS7C&3$a2 z>m)U^Z!7@S!OXn9B%IMFc%dTxBWAh`WOH`ssSNoPJs&7gX0Vs95jiwZ-L>PD#s56| zy)Keaz0w=?TdJ8U3U5GiCccQxC4(z?NlbYFYHtUtbC=*jt^v#Dvar{vGs)?_WQogC zi;ZKEo3*vHk|$fMQyQZI#tHp`j#`?zMr?H@Ic(N1sPGvGz*QieHANAwOf-YgPW`#M zB{=wD=3!cx3Jz2aBS%ZB>TRg3x)u~9q41Kex`JTm|I)i-nhG5Gz6f~z>*z?-I+Q&!<3o@8pU ztAvC)UhR3NfId~%vz&+a>dZ7h$RVneiZ|ghfxYNlM6fXkcVgM-5%% zEFQx8aHHUF3lG-dxD8YBM(lT$@z53FCK<|=Ro<)oge^i6jP8`27Fk{rMnkVt96c4B zM|z%bH^qtyH6~ocUXa0Cd`Lc`EW-uBD~J`32%4PD2C*Ox7K)H8V4}Q5_*NloqG5ai z`PRrPLEx_)LP{Fnfj+l;82uuG_Vj8?k37~jSYRLNQYdptZtRT#iE9g=B15nX5~gyG z7h#tPuzoI$q}D|6{fI=;EzJm!Ib*mGC7x-Xuw2UMB#bbhgKv1PPvf5 zVTWLk1YK$PNF>66O#SHFhwpaYwW`B-t$Cu{nPS)V45>nEFgx zbL55BA4c(hrWpurz4tOlo;_vD`ZiWEQuxt(iSXHirop$-i%+h_O;UacUp>~?3z`2H zo!4yr2RsWXUx+Q>x7mJ|3dI0+bcXf$|Zhe>>S>|LS;&>$Ax1jZQ}_y1;IYoVY6eNPaE8wNOq> zy)2=`3>4lcJ_R(1a30$6Rm9%9Y^r!mxN0v}4~D%^H*{;=ET5=-Ie;Gj`DLMYgCb%+>?*~OK`}}zE4fTS@N3w?&Iu~y4yA%ey!#A zTbzkMz3p;Hk6t}pJ5qY;V=8=Jx@75(mS1{uFTT`NO22v6LFZH={Pxs8p}^&lpB9z| z;WZcJ$A=Qm{Otew3QA$Vcn6Q2y<(~`*)NyuvNw+nPfv~?zC70yxw~cGVpTqR@!P`{ zg$IxKn}VN4=Ao=8`|?Qn&{}3|xNF|g7yx5$*{@Zt6bAD{0I~pz*)`t3=FKziN zAn?usfcxy$5n*1w?=65n1c2#w@vYtVw!plh!nt>$9Cpr^h4LW>9r&8wljC^AcadD& z{wB(=Yx)Y8JI{8Unm0K*E?l+eZTWdPkJ&}O4n#I{Z(%p;|DR|6rQWmfi2lZO(Pcx~ zsg}qI%_QoeyFH69h7-&gHUJ2tOgyhJFjuri^7Ny#>7b@Sae zK)H%vOfA~_MubP$9(j0={J^vd%}Smdbuhm>S+C?Bho6%&TPNUz7O#N4SWV~x@}0(T znI^Ylvu@^u^-87K)RrrZRkxooXB(bkz4wadiVB8(ggMK-FdiBXjz+rGo@^jMy7|u~ z&?&o7`|fx#+cVn6oR=d#WjFDAhN#+50a_d}7rh4S5&R2>nh&Y59AUR@47J)|ia1r1;y!n`&aZlbt_PY1bce);; zUvKFUfpd!n%9^y;DWz>_vb3(@Sb~{*f;pW#kA*zO!31Wi&xT3KDvx|=P43vaHk9+SvBfx?r?~s2R zAH!EdZ!jI6v5A?`5s_Q02{)?!o%YL{e*JOh4XJ?#KQO~4HisgK&DuZN(6ECIUDT56 z;{)>NiZ(`OD7T(k&ECgP(Zh}G$s!e0ZJImYl((+k@&)D1J7Dq+_xKWw!xgx>Zksya z)6vg7$B%F<%arIsFc34jK0$RbM)LAkr&zw_#BP*_wA@l8n z$$j*8s3m7Z?s-$MyA#?R)(;dQ#b>8xCs)>tu9fzoS<{JPW+&aMf!LvH3J=(`;!Si% zKzxt+=`Cy*Jp%20nwV4cOh}oj)8mnFcK z`gfxNW3mFeg+d05mJ3Y84~S8Q_Vgwjz`EMXL%bw*yM2mypx4Pz0kMJ=DkIu|V?1{(+cQU4(zgT4XF;q!W4YI&~b z?#K@_whezjEKxl%YdVz|;kl4$G6%Y{6|tJKgbup79DZ10?h}Z#Ldom*+%nvY_a=*) z!&>#=3OHfXN@O*!5sJ5QtdLToejW9jltk8%y*NE5%cq~Pg5CkXtjeS}2-i*Fc1336bW_q6mK`io4r3L_gautLV~T$9 zSC1UBUVYYjW87BNxcVfr@9&}4KD+NsiSQ@-qTx@mNxyR6uECAkClm2T<$k`{`OVDW zA6kFLesLSnv4?HZN6x?04YT6qH!O>7FJBUXVzR^cJ9S}S#BpAwJC2R^@B5XuXw$cw z=Hh>qV;k66;gHt#1RiiOv2pA@^(8Qjyg=GGRg>80Um$xLu2{}+{h6bO@di-T6HiF< zllqp`-iqR!(4~2;+j90dhIz|>eYl5tsOU{5vt!@4V;SZ13N@*}m?Ejh5bK!2U~jn4hQ_L+u;i+4lIxXY$Z3 zlj@q0yQ_u<`>K>(mB;3p3X}6#Cpx0uT9(r^S|Xlro-v)ycZ8>zG5ck@d*63s$X8Eh zibsAp`#y9M9~V9|P0Pc79&PP=2e-!Ff5=<{@WvTw{zQrTnKXx<*bu$DI`_XB`n8E& z&c&JVKps<1ZOx-*(0r@@@yeH;Yke_SGd-eyXu32sntwYU>uR5#(c1qxgV`^`?YDok zuh-j)dVJgV+~?cceqs!db^kdqfBKhy;kY?|dBY|2pKa^D`{&a)1i3P%y~Xr>_Tndf zGok&%{T;CcQ_&iW*kbaAikDbzzKeEr-Fjw?a{58_Hw{zt6*E}fX3l|8yyn69*}&}y zt{3MY6sDO&YG81e3)2fB<9z&%@H~bWRnCP+%c`fCnB@Sfea}Si*KP~F(H(MNbrI$F zp*gh3_kYUZ#Y&~WP220p)P_4J9<&`-?@Jou{Kc72VqS9;;O{KmmV@gd9r>;|nYF?{ z!){m=Ph_Ij=F^VA?OGf2N4bspvlQt(9Z^3BfhO11Ef&9vp8PS_#w@zPR)7C^&f4Qt zKYa}9SzGR)+dY@@>gxPyH~W`rPmX-dBz$5Sblkpe{|6g{_2~1rV9E#Fi-<163w4cP zneP+F8ZOcGhP#_5Va>g@!#4KptZnqJCd-~3jqbjJ|C;P(`k%xJX7rZ~nh%xIC5D;f zqeZj8pKEq}!aQO4(oO$eV6K!mG4=HQOg*zTuUVUMdyCyKzTLeoM+i7i3JKxhZZsCS zGUUsKbz#0ic>2qayleLKy0OVOZTQ_8<+mq(gU9|pA1Dqv_HmrYQ>yk`UPv7OKbL;= z!2d7DnB4dG7x(u)*n2T3{4Oa+Adp?R*q;=&bID8czL~}5zrZE==mGX@n>=V#Ew!;S ztsTYBVm2|{A0V$)g~Y)f@*#Cr1J{7Nktqq!Yr?NO4HM&R{G>2#p3E{|3TZ!h@1(io z__*3}{9P4f=*<;Lf3(#85whhr&%Fh5y1ujnm2z3t4xV6X0 zk9?2kOvR^|gz&j9A@sLS4pda009*eI*!q1t!>i7M*Jr9%>_sPi)8^luP=0p?uFzzu zb;x0WE7Z$X_5Wn=wTIVTdF$v6(Pq#XAnQYoQJ}1i^hbm#7077zT>f~e1_&|aylTG} z*laXDPeL^~)4+Df$19?RQ!m6SFb3P{C%AeDJnOO}%C;pbZ6m@09tJfkB>#i?1UOuC zsaAPxwxlTR% z0=XcxfxD)d*+OmV`NOC^3iajABX~*%wweGX=ZSEI6GQR2V86_+#Rpk=fv*S`PBCrp zq6>A0(G1u~-T3vEMIeLqQ7_@wAUTLSqQJYLF`%P8k7i7#w#xQsKL$)&Bp*jw;f>1a z)!|_Ek*ewLlLgL?-^ZS`CdW@mCJrl}X^O|^D|0A=_?fR3{R%+0}?b~?hi z8W02&KEL@ot8J?we+q@_KY~27&46-&qH}vA{~WHM!v7utHi6%E=Hn=w%}I>`st%}m z&o{8s>R1@)1Ty*~f5^1ko&?o_x5YFT1t?zRb1+*2{20FAn*ZV1_y3Xc%=*WLeUryU}RH^uUb_s;AdzI>*-TJ!9%b~rX#)1I*wlgo`)vP`{5+mgjTYQMKq3p zyW(Vhu2T+m0YkoS6oT_G1+>*d9q(&`fWu%JJ}+}nh%W;NP(Qc_>i>s0$<{SRaY=W@ zpjH#nasiA6nTJ+w;=0G;+3Ow)6*gSodn`=XH#0#QU2t`Q%i;i?opc`* zp;=t`XAy;=NFSUQb^H{=0HFw_*dP}xz>~e~K_~XrViV8=cHW04Y^<|!B~UFrP2o3l!Al0Avm*Y=$sa!GAAkP)aX;OJ z4Y4`#?cPuNK7H|%pl}7-g)9321{(4Pz{r8EKm06;fB7`)%;vHy=9BOp_Eylhpld&3zd>i$hX&7PYJ+?3c*tCO*PEbB zD+Vuu#(e}-El?{^|LQQ{Fn2V#=M7t1PmJ|mG1P*peFA=d(QsYe-uY1DwtmlhAA!2v zm0Up&x?mJR*8-(5wAQc{6z(rEd#~eD=FzfmTf69l>A2zgGRoY~*e2#jnEt%hInLMb!FM8`(~?^EpY9sVF8AbsDf?tU9T-LV4j()FcwpKbm@c~#`X9#8aYmW%bL_ha zdke!bH}2!M?|S^>ujHq{dgPTGvQ9s9UDW?@ow>_8c(2af5xXWAg}#uJODgEP#o1!F(mM+qAng#oVv27&o{+Xp zJO-^|ywwv)Uj&8?QriocEN8j!KP_WwqHhmlvmbGrOT)0y4eX8=>^}Wdw&yVHj~alx zCmcF`6=bX(GgkzE%-vW}7dk-B3)kg*Jf|eNH@Yt8_U3oo?b|McoDU00zr-cW$ue+E z^xX9u_0ryw4N1SmB3?WA8Tci(=VQ}M>xq0C=zH^q{N@v5jaO)pat=tD{6&+c4+Nbk z?e*+=sL>6z+++m9~Gni1G~g(M z05T7oCC}~PRJkxW#T*Kr$SV74pD%w$P11Sp`xOmtiUqTJmtzNPlLD~{UsKXeF}E7T zJ2@W&8H1lfU90X2tY7&1|5<#=dPBY|r8zP72+nhdJ^>l8W&1m%;r`t)^@>9es=mIV z27Cl$jLXkt6;3v?r_6&VA0M{G5|{#m?My-Gte@8k+_>(BkoZ;J}Fgw6eG5eYV$Z zXNLDM^=17@xegu62>v(&>I{pZ5jO2EyrIfCqZIRmQyJ@z4#{>yC_X zP=8g3z9jSrm-hY4Qc-CiOWJ$;ZC4T4Fm*NK!h9vVUbZ>z96W^*mTThq51zt)wwcHPlX?CjZ%zraaZ1`5}qA!CQE|?AP4cYeg zqW%upB3;=xm(P55^$g7Wt8rty%x!P_)bW?U+@u(92$hgxCCV3@VkLD!@p2N$CURJn zf6d41Rbv|+Yz{hsVw%ziUS$H* zsqCqdxi$%W4f+c*zFZ=gvsyiq&!MG~OE0aWnBGGFg2@n3KNW;w7iCO37<|)>cpvf@ z1o2s`D!@+5IIb)k*{Kc<)vyl&JOUQMplg{~Fwp$1rb=*sKztviD1DSak@W_nOm?Er zog}*)B8hAR^i-K#O$+DlXKiTU>B=I+%_=L3aP)aW{G()%Srg6kABr3p_w6N7iizgl zLYp-(?5#7-Wf7ydi~{o>hYc(f^|CrJ6?>MZWvXJu%r4PWOfxGDp?w+woyOFAqR^H&`7rov;dR7HmPqx( ztpcgZkVlzrh5O0;T>{b&;m}zLD0+Zj$u!#QPr^L_)7)T|h{?y{k7RhW~=jk03Zu$r!?1a&2XSX5BM2oGv{ zXj85lWrCP6s%Xe6-)kK)6H)w8tnWbSatJm1)p13IOy*`tU57N* zD!GuH=9rZxoXS~cg$@#4)+mb6AfE=FA1z%Gb2&l=4qXb>gJ~_DXJiii$_OoIb&zTY z#b@c94AU?NQnd+Uim8SnB($4`X-#b^UylmJ=vP;Ffb?V>?dwzW`~# zd;_ZzE@L~772%YgNTbB7fA9B68Q^ofaYXzcy+#1OCyD*h>4)@$+e)xH_(^8DUnk<} z2@O6UB!s3LAtN`5OVb(Qsqc?u8ZG>w6u$)oI2g zQ7;85Vc?PLY`)|z9Qe8*LGXkwnMSMgUq1iwlTt?f-@W=Sn;z*pNCm#$ayI;FVsAxw zWcSW%Q!m?{i7D7r??(SJIqGvi-vKPPE?}`a-g)b)eV57pwH=I`13P956CXX-RbfX- z8rzo3+Z-Fii(Ae*@wF|0Yr7P#I2?r#M)^aqAqVo;2-u%T6Gj@N{LJ=FAS#mGnk9~|lVx&6c31rvP8C#Rp>IA@3RhNE!aF3a^_ z*PI_eWWNT4W*0Eo*1)SSCz))ENhTWr(&%?!JHGdw3+KOeJ~wi3#ProW{cO#=Y1fXX z%j_=K)MAq(_oE}T^L1Mf0F`a)fgOpq)wcpp4d7^QI}Fhddt3POfeO5T{=lxouYyI= zwF4Mw2Odf|U$*B?ZGLjgSLTDw;ji9#+xD_^>KfYW{OIZyhXHVPkWiJF`hB7BO{Ah+ zfZ?j&a#=Y0(3S=EtaI?%maWdcSM49uu|(i*I=cHIAX-0IUjA|u`uUb`Ob)c=Upwk| zJDx2Eo9Wrmy!{D$&>We{No;!A-jH#Fi?|BbVsw z(pmk{@3(A(vwoB$;Z0RuHk}wJlNIcmDJ(<{BI~qtfwu(s3);NkGxYy97HpU2wSo=rso7 zfl2ExR&f}Qg)dP_^xE4P;?Q59Cy^Pz+qb@r_Mxl326WB-K@O1Dn4hqH(F(zS2y9@0 zo3B=q5HSai#ww!xSa`~CBsv9Xw$-?ldpQ@G!I0~4f{9Xd)dKse;5q6Lqdmr zDrW)0J~JktEj$Z(V^LsYUxg&IZabWXdp}&tgZU?Sd<4rV2ErL?astKQUd;f?yx{e+ zg=jeoHa+Zsg=9y^Pub7moxByeFo~XH0q)jsa{?E9&;=$fVB6&;>Lt4L76*p#!_@Qb zz)k~mH&V{_Ie|Amh8Lm_F+a`$Ll**VldAzM-kmgnSz#8;Z|G}fhdi}~LU;+|Fh^hv zH?2ms_)sy1zX$Ai64T}!1IpSi5`1r$oVVnH`77;IsiJu9N|m8a*=qDT4_Mb=D5LpX4xT)c@#KHrm;(em zU{#kx0ShFFfhK|#W+^N@Sf>}5aP<0}#QOP@?0M(^j zYVsb`E(pn$XC)R$F8O!Y6`;()z2$UzE<*|_l$BQrb_J9EG(fjf;JqMw-_HrndO3Mk z0$Pm{vXo*3B}gYf5!6KCq>?3mzJC0>jP+&7yKvBw4#EYT$a;;Slv7zNPpA5!&c+Wp z!>Ui#>qvb%#zrV#fX|a^G#jBb2i`bEkG)1#(&a*37HD@*E|e0ayZkbAYfqjl4T#2bieOC+2%oA?_v45kN;wpN%G0bync#x}7a`;St-p5QZ&%Ru;f3pv!C7d?D4U_b zJ(T6R1C6{H1{-TJ}S6_>;#n^rS3B}V^%E|^=;x3&CSq~u^my+V^_qU+f}o%F02_) zC68^Y*_vL<@>ZV5%uOuQNiGR`^lYpKrR&)|XMi*tZ(=ms?_CYf#xy)rNiDBiN-kA} zh`m`fOYCad$fJVrYF1OlvzPYF{c6=;|2GKj#-X#uBx_V)tjTEtQwylAF#RSWu993$ zX~5|To-UAastoBNMuHaz9cP5sA&@_%=&VE(M5$A=p)`relGC*Zh*T2daWFwrIm!sD zvQdS}rzfCBDMb%`Yl&1pJg5Sd4~`|WbdF9n4pY_?9`-^M$)xI&6;aTT$jZRx0baro znimRuthyRZ(*xFw0_lH!o{{x(@~`2LxwI%ADwlK0j*xT**}>2TkF|;|xCNnjv(0~xw4oCoJxw>kEIw5&NRIp_)<;h0#_LmVn7kz_M{OR{zU5DR3sxv#aTaEx}A|GwhzXBnn{Yqg9$ zXb}zS6HF5>Vul@k)H4n0;$fu_Bjx;gW!aEbSEQH=7*7qql=kSOC4ys47R~D>o~6#w zocAmal83{5d`2o{hq?+QrE0fN$&;+=cr(*tM>kVN+MG5cxkj*?-QL0<=D0}{Cqrf$ zB5kC=pKDl}D~(Irsrnw}L@xC9_H^;uk}zG#4gX=3elri;wXoY>iAU;LzY1EEQ(hH; z7=7ZAA+DMkqhEO1S7XpxAVHpckT0N+aLBiGLTkQHsAOyC4viW*!HZ<-e!3&b)3P}W zQ-vu$iX&|$427C)!qQq@1GPjRr^YHz>%}xyEW&NFd{bi?EiXg)l7*V=f>R2X6Ku35 zei27#2jn-^c>$%N&Q+%J^_p?jf1fS<<*M)gc75^^%Y>s7+vsLvtd`^T9(^p7`)NeM zmbiXeV%jB5a@RRG&m7wEBqo8BGlq$Z8Rj!gp3`TRl~$%)OYSrj;Iep$YEKkE;Z)k3PVQZFF7 z`Iv|#L(71bPe&>h0>bh%6hEhQY90nZL~0F5I;gONLw&OPwq$ctGX?FmK(w7IUOe$P&|a^*KN$GF*TbJQ57Ae zIS-QjtnLB6*+_U+cd2&BJp=UJ9J5XAw51wF4sxkj%~b?M(KLlB6MYhwDNU9sps%rU zWp)9;QZ-J7MD1Z?7{Ci`sy;<^GZf{oMpLdO97`?ZH`B{ml3$^zD=XtgJh@b+6)+-G z^p$FkI7<5W8sy0`Q8Ls1X4ROR_h@1Xor)w0 zs6N1T6m*4%xV8VS?=ENg7yoVdATM zY9~%ePtyDy=336;z`|&jdz8AzL)Lgk`5O5mjV^{IU5zp)(Nh|GK7NjL3F|uC)UYu@ zZ!&Z?_cN?828jTT^j8Bc*5Aqn0M(c2*+KC`o$5{Y*RrjmN9Yd;+FzK7J|0j$;wSR0 zFNdQG)Gx$eiMhkMpSR8QOzaRc$ic#N<3*JTOuS@D+h;v@a>@g>l5FPFklu4pX^UH+Rgf+N}SCRA$|Zr z99S|EnsAdElx5mQbxJ9A)niN(#3U?Nby*3~7h)JF@NHJT8XFYTUj5>{f4Fk-XaDi_-luO&u{5r&!B-Yh9-HkT z4^irQIzIHkd!8XGsC0aj(oZ)$dHB%=YD|e*as9OR#&bD!1FwHcRo3HjAjcKrKSwec zc3D>qeb*!9_WUOD3+C_J@FpbQA#RnO!q=TQUo1ySI=l_asGB$TZq2}$*0BVyh8z;PMv`B6F z!Jg54j_fD};7UEWrMkWEy|9vbPF|Fn_b|q}@-ebwqC@o5$u;<+r9d&Lh9D{UikK# zga7zCA4&yxH61YQZ*1V9yDe#KVJ|s4$|e0DI&kJ@ll5<0#ov zhnjigP!ahnGRv@xY#{k5`@7uEk2D|0NvMPq0LnLT0#g&Kdsc6>(G%{PB3MN$pt@1? zi=&0^Q6rCs^MpH80dP(&XGn1(w134!yRrhgX?<0m=JtE{qtCzl*T(<2@~HpDcrH`* z4e3H??Hi3M6JiF7hZtx$CP}q=C&(J@RVp+$UL*ydQKgY}2 zLYGCVE+VwtW-?Ia^AvG$qqd4;x#3q*vYA#<^VGO*RwTBhl0Df;K>_}GJV|npkh@fq zhVP{(2e#R6qRGPSnIew4t%zTlHEyd6D)YH-X0Ml&aqm1;#baq>sAj;pDBKe-R58^K z^3wC3VmUy08w$MrG-A^;5vwe#(mUDg9|_Og=Dui~K{|g?4%(D$p4RwSOz(V^V>+JFe|`AmRSo_mi?V!arEhDpAdHmKvA3pb@!I(=|w1 z#0IHplCuskfzN@`MfRAy1X!_aWxF!z=U0l$q#Qal!|{~JFC7&u{!yQGiXEo_EFro~ zV!E_X3)|gctp|rFUYKG4uHeb$Ma9hLk_zFg>Y6bzH_JqzX^$Gkl z22#*cxFVU!2wg~I{jHt_=rt5E2!$3ZlEB)WPr zz+-;2U(nJ`G0SJ!^fc|UmRJy~(b6m@@-p?SA~MrOA-CYrs=N+OTxh{O9Tl7nSe`jm`GlH=9&epD zTgUkGw#tG{l2a^ja!bot(QTugwPFQ#?`B)g=7~H;pKdS=@HMJ)&+CQ4?E%}xh7xCI z+&3rCfUuGPWTdt*0e^MoGn#Rp6jBAr&9h z={xvC0*dEUF6GT^NZA33M{$Z`!4M;QC);ECl_uXh*o zehw&9;*g1B%`~s)&Wn{+NfejLsUM4@x90%KgMI}~$k zB}#e#+!@^`Ab+!)(e{wsQFr%(S`=Q!`J=_RN!Isk*oh-F%o4BIWh-=bA*XS&D|?d5 z1H2B&?N+ztQu06_c96p7lALZ6OP25=U#W6*V1gUHr)6-DO0)O@rjJ$vvaecMkdA5|N)h$Li{k$hi9OSe~HdD}uapi1o`IPEI&Z+1SI%VW~NjKZnJL zUzA%>*Mu$plo}Z&txM6Ykh`;sG#B17T;sz7M(6K1nyP_~zre5=h?6Y=Ej?45uo7U? z_XwPm*II0vuVHvQSWhdNB~(nbsVUn1+OS+x8Qp~cB000kRHH{?rF;?@oTL4L0q9i#Gs$OT@VocXJ8_B4Za~D*lD5RfgX;F*@VnvZp^NUnv|C^!nzs%V1 z+>NhFw15k(67t4V?2-sy!6jPVRy76niGKJj>7-R>C3K20J?wY-A=)Jp@mmo$q!=sx z#GsMJ&LV&V5(0@RU}~j5J!Az=it+n*p0MiZ>>hXu!`mdohXT;3RB$^Y z%3{oL74*u>*Xe>z==2bkmXAto7^9kfzIh|RSOP)4x$(=pS)aI6qVMkQU$ zVlFB-Gk+khc1>qZS9%(tR9-Jnmn_FDI5-PP=xb28Tt!5sl^jqsJptgx2|rUxCV}93 zQFL0{1reO6Fc1-?n5VK;0N3%Nm<9Ym5z)jmMNHbggsu*1X4wJ#MUsWy84{lGr;(}x z`)hV3>5a&6QSvLu(Lxb8ha?OUWFC;%4Mcq9yYk-PLP0cmT+j z#G)eWp%Q~g%>)urs*4%&!~8$0rpO#;T&XE3l9iEZLQKmgKGP%(V(%L8fh6$r>Qp+Q zl}KpVOj;pUuTR(fH)*e+08A03jw6IZfV%PehmG4DyqGT6s0snwvyh-OMhc;IJJe`Q zidGPE#9kt$vB!-X4{W)RhDet_VpLPC1K6Jq(OoFFXIiY$96=QoXt5U7bLkQf*>ojE zj7XUEAPUxxAvr<+W#%{k_>W7^SZ{pKWvnr>R+Oa9O!&wtk^GkT5_Q&rGDaym^ZW&DKSxo)MpL|MX5km4PbFfBhNdyG2L zI$gQnTFAnMpHdh}&zgY!FO|xabN0k zL+S+2tq=(;5=BS@7hyWD&n1RsMU+&d4vJ6Fgb5>pPwjEhDVz}rv#N-f!lf_O&?%Zc z+JjWW#~76s{|c3e(0Y}HFjvY0sn&G*>1LzMvO`9Q3@KGYi6jv8Qk=#?k3e1|RFp=7 zO3)e88?ZXKhPDz!3Kj)@y5uK@;T-}HF~Q`;u5>>xumNEX(z0ck8UgB=)Bm2~pNr zWdT|n0a8=Zy}%PGR-F;Za0AvDoQ0Sc!+JuE8Fl{j2AZg(^a_R~2SSl*El2kzuGNH9wca!%$kiN5e*9Mj|X4R*aB&B1fUsgP3Am zr84^F9@-YC(bK_H|8i4y>LfO%Wv}4Xa28u>plB*RAP~}U4oWUIM8rr{6IK45ppNxv z8XXtv%)HJn`TVvNrkk%+f-)gj!Mu|Eph|IyUf~;LT3AlTtwqFymDCA}>LeYmiiK?b zNB?gB+$?brpsTqk;s{|Q90U8uhCempUKOF}Lubwbl zmmv`%i7O{kz`>~MjI>f&W)_H4BfsA-VTf~ysxHdGMqUR~i`VIOe(VHxA%-)sNk%9Z zlwlT_$poBNN=CF&jEcq2iXxFxr;02A*A*;rE22a?fHAG<{z^U315;4-_|x_b*km2+ zZy^JeWL~Em?*F*zt6jhTx(nVbd90FQjU|YsEESWCOL&4$w?b(ed@|7Z0w3T~+*Y{H z8<%v!g-`I1-{J?NV!9E&H!Q$I*04*DQmg{(m;!$`jKkgZJujP;2&=&onlW; zRuzv_GaL1mhAQ2IvGd~}sxJgMU-k2q4cljB7pZ*VtLzE26|{TKk#A(SJypXUsLw$M zP+4o_POXx?{?r5Nd(lW)R3w)v8-(A=+Wmbe)>CD2M|{rnd>MJs zm!lNk>?`jvZkKvH94*l*KH5*edx5_gy_xza9mGqRL)vhK!b#bzAX4W(AMGo3IHLuw z3T1GLI;Sj%4w9ZY>Y+mne_n8zv;-?vlb4;RbIUH}`AlMlG<4ySg7qq!14 z&8%)8@YyZ#?8sB%O?Yw(ylJ^&tqKe_h9m{rOGB{u?s5`9g)dw(18O-zOu;@yq3; z)4l@s+}cFd9{B_*Jsix5z9mKi^gO!D77AQ~PF^Nojc{oQ^#=pOOi3!#8z7%lLceYe z4mn(F-=*j2`?TK-e_$BvK$*J@G{RGCg+K453^plzn~{$t;k6;TI+yp zj=jy?!oElwhTWMjL>#*ZAAX|}YM*!#l6#wKDRGKswltId6SfIez0}XTD&qEQp}s=4hU(Bh z{QNNY5fj<-k|S=8RpTS%TL&cTHKju44?717qu-34ZG6>D=I7!Mxrj+lyU+q+ef9gG`;d6D; zoKlk5TZCHHXaVgjuA%aCv2<_9MLiKobiN_AO7nZOZLj7GcDAal$5DW)O>*1Di`p`- ze2sx_Lmj+0aj>ZQaOhl((3}@vZ}>)Nv5@sbMfR3OkD=yt?VilxO`FBP1DloI*Skf3 zFY`9@%H`@;+LXnfeo~0;Cm+shIa;|^D{xmDcD#P7yli1GZ)kn<%;YCS-|+bcwj||Q z%{=%SYR$Rmo%mqzS?X&1&YXNCi-$+T$H_EEXEkE%;y; z*M?{Lae0yYfzVJgE{_+q;pt(xWj(!iJ3u7hW+#rkI=W>izG@F>uH;R(CcOYC!65I$+PK#etgWU)IFMIDF5J!FHkI!xv zvWZF983yu(5SaHcz$`yqTy@h+b?3bU85R;`rHZLNg{*cHt+~YLp_jHZ!$2m4fZ&?a zTuxmiO8k|Q5-;Ab3a%+es|`l$wf$a?gm_8YyB0z$iB`YQl34AJxqf=R@8_TIPQvcY zGw+#s@9TO0p67Wz^2)<~9sB!sKawe)+9(3C08U@+dIwN35(KSa(pwe04L!3tg9 zonN9?oapkcO?OcRx#>Z+^^@=5HgURmIsS6|Nig%u zDBMn_$n4V@{5CR6>Y4qaBDq2qP7ej=kJlT@eZkq5`t0a<`}(O(4@Sp#XB;H42T$~u zCBC?HSyI&q^HqzKM)F6z*p=1SDEKY za#|UD4d>(2kr5y`e8L^SD_md3RQ_EkFCx#-`R=#DYiTWhMt>|spEM>QRQ#+vGy1E2 z^-pA{eenmEluE_jV0{Yy3U(s1rHah>>R<`jMoRQ68DU&5Q+Q5vcGD_#hKzQ_7z8mL z4Kw@^2q@9+wzU6e5X2X%GVqGB55gpXjHX7MriQ* z_Q`pM23)Pd$V;Nw!gil9xkSJpwaSbjqL;;g*J*IJ} zGJ`pU>_U;jy)uXj$zh|jPGg%?LovPhC`3jMgEvt%OqGE==cFO`^6k9YR*CY(Mvif0 z!@4{Vv%_#X3{aqJfC(dw5z*6Q!=_#8VudkAHJByU>=k+wy4{v(T->+$;D>4d-17-a z+_--V!!Q8`4mJokwh%bjD%vrO^c^Y{G`DFA>68tfTEyE`MxIo_mTL)KLYzEUg*!X5 zBeFjcof~}Taeasdvt%XADax2XuIg9Rz#@!K?qX97MNZuh{`GoH)%CNAjeA!~#T1Jd zD@*VKZJFCory4Rb?8Qx#eQ<~II1fR*69nx`L*Ucjt5jeZUV6Ep172W$!IbXX9zz4a?qaEX4Yh2Y92* zY!UcvM&%vN58cTcxEjy#)o2;rDSj2)Y&@e2+jHgg4YDyJ5M#gA*|dy)sdbF;UT^5F zgv7C)$^XiuR8+EQp6b6h&asjF0PFR3SF_a;JqzLIzi6(-H8OBMbRoceS)*@9JDs&%Au*CZ$%^oQ`sHkWvTA&dl*wO}DN8BHQ%~!@ zb+o=KdwFmL%9I zu5DOfa}*T_`eAd@EInn!>T@U4I(ruex|+}AcXSNwlcKfZ$V!@T>v(lnPPy4q7&Ye} z?!pbb2eckvaOu4zVhyu%c*7jn!r({|$?HT@Kw!{t#TMn}mHwEl)rp(zkr4E<}omQ7sw?9961*!KL& z44~<&+#M-z=idKo?h^Q^PvA`qyZY1|UnjBeT(|T_UJ`a@&YpCAtvQv9vA4GL1>*YO zo0G;eUuVwOjxT)Hb*A~~^89_xC(sLe#~~OF-i7Ykmftbl@oL-2p_ZaPy>#bT20E63 z&anrCzbD#o{^+jE>rEblCHug8s`I)kw64mvrLoH&nOk=+aWol4?R`c&Guz zGAgA9cKjQ&Cilu#>9llB&e6dxH|Q~>F;Z-Sl7Nu%|)G`+>{uY@Xa4*TK%G`q<+ z%|@=0h7B*%O+MEa{tGQHz;@j?Voc=pRpkupqa9|X+C1Nutu8*|Geef+k866(QE`xvY#p-afp|69URg*``8O0BtltRk$|z7uk8y zv2FO>8qi1HTR+;8ztr$w(ubYfbR(>8h2(}Z;%|7|w@$1g+i+SjarpI<43MOi2%QLc zXbLBTsfQO5@X{H}QrzRn-RN7x#`1wc?P;BlNIjZpTN`UfS{f$p!&vc_kO1Dq){cRt zNr?6Mo9|}WCVq`5w=ri4G0cYzw`@KPp2jRtk?~I&OMp$M-5i(8NtP*zPM|2GG>6zO zw^ZY&al0Vt8oX4R+$0DG_%gzS+YZF`llJ!(!8_8ZYlvSiaFrdJq^B{g*&IZ@HKGuE z+zex2qR=`*#t1uJ1-`vC`~q#vcp39k8qj*+Df~mUSkj?KkQOrvj`2L3LTX#()8p2uz}C1wM$xt)VnFmWp9OhGe=#?yLdM&PM|9B1>l@{v!}NdM$28 z0z1P#je4a3DUOwb2^GJIbqxZ?i5T^JixJyYNE~gF7-06sKvzbZ*FtrQHU{Rd#G zFSa0&M(;spI6D2z zI-JJ{v&@dl+rW8}{Uk!DMtLuH8 zr^`-1H(no{_SI+pU;<5-+}eDxIj@<#Px6$TPV61g4-;@hNxumkWe*j#etl;2WYfdXd%#ry z-0FpRMPC>Q4B#flA+cpS$>XmEBc+TQ?+m-H@-bi)5bH_9@Zh$}7auu1^3(KlH-aaB zbHs`cE_KYJi|eNO)gX?XwnUAM)=^LOPn(^L5uA9+s5ZOs*H#BZCY@qf6- zYu7I~YqP)P-j)5ALnU}cQBf#Y{E3?!>YI#CxlbKF8$ADB-oEhk*vkEnvHMR}&xDo> z-^Q&swGyqV!##{H1aBjr3+qaly!Kt-nS+~WLOn*!`N#IXkoL%_%SEEawTW4tU!$~? zO{(Whwaptkgjl4Fj|(u8==l+TREOEzxiyQ1qxccFUmOz%BeFhrV+FN03H{?@T6+%x6bYH9=O!>{L1 z3uAhL2(ixwp29r?gOdoF=sS)BK^A7VZ<%V@w&nGp^iP6uWc6tzoko3ccy=hW6^bV(C1B0bP@9Bboq$q{VhsQL8(5$_7<>*jnVctWwP^apd6V3 z%EPG*Pv(%H()z>DUq4}@D`W5J)5?|jr}|adPe_OQq=DS5vFDi+XzxRpQ~^U%vJf;edsWRiGa@sy zf}sk&>Ub(yxD^#`Z4wJa{YKD2M^{>QEG@GaM%ZVX^*wEw4Q=`hI(wX9hBxrcc&8o- z>aCGzJL+p^-(tkKGC>KYL&N+TV7dVgFAQu+uBnCvEKCkT3DDctFiJ$|7*j6l>Ko&= z8^5COYOVrOu$tcLz0gJX`+t6(Y`#^W!o3~1LmM!LmhN)8Tegj;e4gktd&5biXq z_hIcz>Ezng2H@WucfW4@6MGshYtXu}R_;-~LVn<^(!vNB_%*M9K`Jfj=h!d$0s+x` z)nGVFo5JSIjpG#?a>k2LuxWxl+|g9jS&An0Sveh_CPU=}Z4S@_sHE8xYbuxc#Obx( zZuX_CkPhGvl1FyIsCF9I4FLGNvSHc=bUxeg zw#dgvKE82V+ViU}*8|hRg*j->HBlmd5#D1__hE;~&CiTpj5#t-d}1)e@*eviWWYT=E(!{~s;)MNftk_q#oerTzcQU9hJsz{3q zUUQ8q^%^ZI{c9SxUg7Ql%XdGNnu(f zg0rs&Jb*h9yNKbHrZP4i58m(vu>RGZ3e1f9xR}uvqU;EkuNR}#=rWckD-C!6ywm`J z1I8&}ov2ho)XsHpUmr?O`{9|(AjBUZuZG7jX?tsavDk5A}KW2Y2^C}+olfJVbJ z$aOMmLu=Yg*|RO!cp16t}nt_{X-86tM4h(^D}ADJLq- zBNH8dd#a&nQ|-BAodv>6oHg0+S zJw>1FZYn%>|5fAlc-M7YM~bd` zbZe;=J#*JByQNtDbcd##FZ^Qd)hJrukkir=SiJ|BmW^xHeXcHgcbSoS@`t0wkA{px%yd?*Z~G~&vKD>O zvo1PX-XCCQ?=TJ?d$(&VI=8Vt&_6R;+q4!RVBdoENvXUM7o2(atJTLO@)4;j{V#da zx21a$Z8eKAkn_r)fZq{V)s^#7leIHfdFeOz!wkGFjeO15F@TSx?lk?nt z=5^>4nUvoi8>)yvq~J9(ExSqCoksR*X5gb$yU+ubmG|%7d0%J<2-41c!?W{9eC39p zH=kSe6Z-WuPkdOLuFcywIT-})dFD2xyXU?aZOlMh`lt78Ql@_#MAMyl(ZT6pZu}|m z`U`HuC)OMi?|m!n#g{MFgsCUO-uKA8-Z%2vyc6PA}XO^(gn++eG{+YKv_hEVKs`o0-JBJafRDqty&8^_}(pfCu7*{8x7|<-UW!G*U#CPxe$HW46JpZ^+&;OCM8) z$rAzjoKdWUGMB$xUg|B2o3G(FrgufkHoThoa4o9$-F-IBoP};E=NQ zWMF*Q@}s62@f^51zDa-gi8r&3etXPY+@8i%;{Lvs`pU|2_iE7NW*k4qevJ-Dy<2P2 zrzCKiYUXDj7_a{_t54*-k51zZ*S{;(AWFd zQn7w@w%(F=23$^lXvXt4?2E6={{+<|ZEcRrB{hsgjw2VE9^3-Kl%M@A$TK{faF@ zzqofS4HYWW`aQo<;*QYn4eg*sY1e%{pui5$WX|T?K6(0pcb$IShNApOH`@98-7 z=-ZjSHQRs!aPX|&+Hs&|`9PcJ%#%MvOJa+z`?m27w|AI-c^C7w{-?cXiH= zspcQIO5Yy8;`5!pgf{P`$J-dFkSou0;2FJ3df)f+<{8hM2~Flem7CWlo*r8s$m{TJ z!)LyW8b)`}-(_DzYof0KM{NFd@GqFW=o2thl%FCQftlSO=j&-t{P=Q}93DIwT3?d2 zhDnfO;d(6I@_gtqWB&|WPFC!#>Yt4jfmd@!3<8A^AhL?>#_cA!bpxH80p7z=W1@4m zpn?S$AfZyrKSqFAYaK3Ao`~KDNe+hCIU<#VWAR#dSMWt)3`o4PY6sf&-uf80b`G-_ zNew^gezD*dm;%HO0{0ep6T@&?VPfq-K33fDQ*z6$Tj~s;*CMw>;UO9@p3Q`INx;`d zz{z?rcRx6EzYS3>>fOpL#Pt}QVWB;Xf@*>Z?lB-DD-Y!jg3I=*dZS<>dlFv&KkQjt z4D*ea6?@kfJVqGc9$S;(CJSZk1?sW=-fkckdy4I>x)1~~rtq) z7HlD>-P1~~&_#|2kfnkWR6Fo+Xj-i0zX4Im*N|LX?LJ=BypDX4`4Zku66nz2Mg7gS z7(BMmN1*9?}2{;qwG5qm^RfV(n!i#Ut%T6d>( zWKf?qfRC&XG%)L41H=PCK-qYbJq=U*Jhu|B0HU+d@)&rG!*2yxE58wa0+7F%?Pm5e z4bKPjqOlp~VQ?m2yZ=$|cQ#&3JM+WK>JMT*Tm)k-y%b)S;9$e^A!CPuOR}pqS*H4S zkS=nCp!I~J6FN-Zhm8lB`9Vr9#`}oWcR(3@gz1Hqlq&r|2~%z0O1%(fxfI*?G-CUt z;_S*>#f3n$6>BgRf5S6Pijq%;4R*#5s)^FdGfzlEZ`1s)DbsKOZwE_n_vVpY}oi(W<3~f1@J6ZhB$U2Tkn-Sj4QHp!TMXO z%*5|DHL~0xW$`VxV$^%XP(Cc)9}?*)ZEPie94N=4+OQ!486O~@X}|-+h5J#1>IndO z2&-ILBB(dqytnMyH{COE{xZ&+3Im%m1Bn*%UiOXU&|n6k5gy@dh%|z`epe( z@f~p+{GneJv;&!BeWKet1w@L|2iQ-#zB&Bp2Jx^Zeit6tjD)j# z{-%~|2h^oleOO!t?dEXNDSf!`lzE1nITbECmFV|QK)VU;|zWbhceD(2_#)lj7puvRu zL-q6+-yS=MYFBg9McjoVZjN^yai7EEC7$sLj|{K->8evX!f;Wd*_2nbWlKZX-@$gi zk70bi*0Y~|D_5EBUX7-^@??nkHanW7-A}*%^=kUv*2Q1F0+=OxA4I)>la*_hpd1qd zDYxywc@dv90^2Oq;PrH{bcTr-W@a$8MW5+2I_{5%Dm{Q zR(xUIwJ;>UhRjtT+*mpKad(8trbXKvVFS z(B`Oo{ZX_4=y!_^nwdD~&xnBQNZIJ9Ain zWL}wPjpN4Ij-hJ@^=qD-5EqH;Tg8Wrx4Iv$W$y7krLR6xx%^bOQQlQ?c^o6<{yivl z=w8t0UF@dPyNg~f9J{;Xb<{QWGI?PyP<2XgOt%^5I$mYoiY5j!apuD*^UXk0*9r0C zjcWrv-kjdGo&zwJ`9%(9K%WIegUe%&j-{iIlr^|}>UkOhgN&}k`(X`Wqnp{@e0oFV zo}*%YykIcz=;wa`j1K2fO=&`p6$ypHK*n3q7sCC_O8sv=<7Jg5Oh_l$Q|vj2(YM^2 z1%nx&$zIWx_hq*8oz;57TKYL$zomP$2fYmXy#7|YKUZF%gHGRxoWSFM_3iP+Uybct zuJ!&6$~B9m&*@v~ibx%<|@U?wYn?sPk9cm*{tH)??6 z^cZTzb9MQ#3+tA{Smp(?t>wb@ynk#@>sfobW}X=c3x(uf_8oE7qkI?rqNQ$2_j%zJ zy>$xm1d0rM*KE~xw0y5c+mWc3tLl-SdCr6>#t@81zYQZ&pdf4B!|&O(@fEb^nbt(L z%r~a>^{g`9scj0jJ*Y&r8j*dtSbX>__yoTO!_lGVjl!{T(O8e17|H8oYy zeevPy)_54s7LI+So9lj#YB&Dkr1=7xMgilk=)%5r`=pAf#C&+4`R1CY@iNkrnG@={ zKMGnbfogRSw0L2RE{qMbPY1R_bOO123YOP!CrmE7zJM}7joUYz4s74@^X4i1;~G#R zeI7~m4bZb-V|4{>Jn=G_&d(2AaPup4*1hvmjV$-9NmNKsVl8u3V7LedF)%AJ-)|T{ zxFJWML2I|{XV0V4z;7z_pvl>)6?*QisE2?SXSb{@R9|>^@%CQ49S!f;cKnPU9^Zji z#5(XtZAM=HKIxt7ra_6T3~}<WEiw zIKcK+4|;i32^W%A)TgTb0Y(y%Hx~59aFQ^3Gg0ZmrU5u70Fc!fngVbOq z{;BM&!wsgZR-+dhrQXUMg5M3q%OMK&VS{aE+6=*4PRG)jMOuq%!d%KIg{;}T4g(|D zaaw?BHtH!*;GL)5(8c;-2Fb*rgD&&Z*;Oew#!JbBvN#Cq9=Hq6knoH^P8Qc zfV#^71I|mw38O>Gp=yaEFnfel^Tv;fLrSyz6JM~Mf1~KcOD}xo(g9_R0|N?;HuD=Vmyiyx! zWk&t@6~8mKlXv>#1$wMXhtUIzk@0lC05%MIR4QeZQ9}EreueXtVnYwfoel9Wmg0n+0S!uqP+&$mcxi@HkW*J-fvK#Cqp&8CG{J1bQcu-?{<`q-i;q5Z z83u~7t6+l9Q5yUMGekRL6n_FwFGo>Af+7SysRcl-51W^hD7zo1L8KJf?gs&EV6vz} zlFJI6*94dbb8rR>iD82iI+*&KpjOyG#bDL}+ojKhrfsa65P*^T{L^6fLeGw8T<_oW z%Y>Ms(n1{{G}VVX=CXkftTlKcc=Miv&$*{gUwkJo!8dgQ*uX*`*3@rhFJ=SJ*S4Vx z!LPev=mb2l7vBM{sjoIa*z#cL*EMTm&maBHjZsLHpqomNff)x!_5-(WS;>Q;8K9ej zz#A3u5um$zCz_y}5`bo`z4U|N&C!a(&&@;+iY35Ah3`85T+vj8F*qBm*fRntPK&?Z zSl=#wu>J?e=8@R@#(#>}8~`@3>~}VOogJKtK^&Eh^&R4cjcZzZ_CNPP@M7@hk=?!> zJ2#8U>~nXvho4{n#r}1BzSE9=z3DIaUq4s|;aCO>W)Anb$#fYIfwlZ3n}iRppLlT) z7_K0U%3XQSiXVpZHm+}c>@H;{`ZKf{=)L-Y0xLRo{y<50ee^K!q(u+U9bLZlvD$1H z!w&;Da!d4mm^lFn*k+^X{Bw8x15DNd7xs}%tc*!uTJ4&DgwV=4mC^JQNq zw=okR00&sXS2sPU z&aJ-nJRL=r4i&%)Uzn83ox2M91>wHI_wVdixHRKeV)I^be?Eio?bg{f?U z1ni)=v6VEGhcdNE_mnWVVI9defT0`rJYw|if{ETiT+>RF=`wD*W*zxU4w_@SBI#>)HyVuAx~N5KXlg!jT#iIc!^at6Xp zt;DPfCJ1Z&YFXXIiBdB=K%A~7L-CKJOcA6&2NNgfFTzgNLs{p-=iAj&If-iBLi;g7(%TisJQF?+2i%ms7{ zI}=)uW|+T+-wq39?Pcz6lF32>T+wF$iCNRjK>wx9u-^zSk6tGfwHF#w1>S?zkYWx9 zq=DiKh^$xk0t1`ylyR^CKL{38v$$~ZG#FQa5JVog^V-3HxVs!6BfXZ^gPGYg_lmm)N>s+|oN7)`v1BD|oL5Elv; zAl#B}7~*J-BpL#PmuC4X2%pZ4OFCjt+ zc0c%fCye=FvvKeNuZ}2icZ6Z1a9J|%E$B4;6b0IY(qUtzc@6knltWc)lHbNrkkk)u z^(mgJ?V^mBk#NzW@}d0BYfo@+P{MWE$w6-o8>C5WiC%qyo??I^ zL6RoDcANjTml7wSjMHp?7eAp7trSbOA%fU!2}mjI>-Zh)!2)nu@<+ROUOAA3wusGt zD}27!NN2p#)3h|W0@c%=)X||59!v>>eg7a{QRv#F3#RNp9^8>iKf;FY7oVx-l_^h? zSqemh!c#riyt-Hv#|$z5SuD5@;34j~IT;#59mbM;6Zh^%$4ifxqh@z2ufJ+8(9iU4 zSKjR#{HC%^?lo_2qIWM}OYb&Z z&8=+(bkxXD9Gu%(lwK$N`WpxTIXi7TjCtm_We_`9k}?f*X^1Zf^QKJ`*4+4bi_nS4 zabXlI{Z;v9!!d<&)-!DP6xFyACSy@?784`TFEDf3}E=bp z4dZ@ErjN%%Kn9f}W`)%jUF{`=J5KDJus{~*aa^ZYr8dzwx%oqSpDc0L1Q^`Qdl+>P zILg4sM-iHKw5$;QQ}lz)Mx}J8VN*l9N>%#SJkfN>cXt)o{j2zln>vUf=qWtBrLbvT zO{{e1^Wd7!ceIlQeDlF=>(8&?F39R7kSM0ZUQ9Ib6vnBn>`*BOV|FFH_9!Y_!?3jIO4%w<1{5o_JA0d^v4$nK8>pygw75qDJk87T|#eyi4dI6 z?3_VSlw?!X&-*1lla!4?f79=RGDSOFGAU)k`3!4p=oB1SwF{ZbNsZOS_*dOMS>}<+6p9Zt`xAM zDnbUk!;p*Ey$X80Fmr)O?&Fbs9LIAF@lLc-pz*Rs{JK0@>2wj?m?6FGE!6JEZ==&~ zOO#3N&<>@6>xdq!lFyjK1^u`~q!|&D!Ag?DL~bS!O-T#avzv}**$_RZRg3pj;qaL9 zRJ6%|qF>%3MsJT^Z+hi(bYb0#UtXNw@-i<~-Af;5qFS*ODvH;YdV&LUyWcpW&gFdn z5}=E-C?UTSio72ER#S~Yu@2@5V0;-BOm$We{jKsOBH%t+UMi`W;yohQtO5la8{<6w zUJcxn><{sDCm7O82}?VjP$=7IHdT#go&IX*@RX>Du%HlC!lu5B9{}1W%u+G%8x_Su zb4+DzoKxd>VjC2fN;PxK__8RQsrNxAt7`V5klJ^H-9-X|6Ps)%*a3x@ewPyhxQYPl zVzR&hYXu+iFVZP-G(89|h)?enf*Vc$kl?4|DrRYW4OSc>7p>{UA$1yyneYyy=L_QO z5Z8LsrQc6sJYGny(mMD~hgr&}bl5oH#d5eRYk}>-cRF3j- zHZH++pcomt9`ZX6u#6n>GXpFaQbkyxk-T;E5HEJfO@^Qz%F&L}FENa-LtcOjx1xnH zq)F-(;1tj)YBsJ?og?MCz>)D%S_D@zTChu5KqMH2(CJsg+Xkj8hZ+)ewM7VHHQcMI zB4fY7EBFaLQHO4vos^x=1q6666yg7-o%& z87h>n){DoGrw*rztZr*;Z+BG37fAQ@f9+q=$S*Dy0$CY-;&nnJ7{z|aKXaVkqF&YR}9ezHQI9wzZ-iu5d9B|&Mjx`3@L>5b15IZch z_Myypql*TA1mG76Rb#O?;sV+dUP+Y=Xy5#MRhCN8$E$P-+cc6cvMh{m9J&jfaasQu zVl$9K^;W(`OoAyelS!-yVN6pP2q#nt>^m#{%UFgN)u@?IbXE}}sunJ0sEb7H(=-lN z^!$pA^SOA+Yq*dhXq#TrURCHT`_-+?^t~USxtMmK^YV{xDh!DEIHZ)Kog3MwGZywi z85cdIt&t+$vj506*6_=-c8W@@2nE=ss5+x%yVk;qVcjTZ|4V6!7$JchDx^bT^(AOa zc(1XaZFVWr-EAlwC>|@tna3l*Sv;Ue4uVZneV*vHw-LYX<^ zjrdpezs{%d-a;kS=-r_6h;0<4ezL2$MBKq&Z;FS8W*WKU4YEe;H-~p?Hyp`035NE3 zUZFInOqIz(V9;KYo&oJnqjKe2JRO!@e@)BL+n1;6jp>@$lqHR5L`gA})mg0fuX<~8 z+~h32ADf?3fwiz)Btv>YZftDE^}Hwx3i8PNaLv_s$_wPytN1a#LMa**xoC%ZRW)0= z!C<`AN}s$+NV~BbV!C~B+vAt8Os%n%q9u|7Rpd(3z-%fwU^5GlBS)K)>tsi}j*c|K za+4%%m3i=jRge(E>?WOZXnqRO0%oKE(G^h_cj-|9oS##Tz1$#|AeM0Hz)>u3<#olT zj)|@o$tCBIm>Snp>>>hW zGwN>^{b5t3Mi^q#4IRv|G&WTP#x#np7L~=q$wH$sonfffpaaV;9Y_^yrd*oN00V;( zb}=$Fo_F)xAOC=x+x*g{U+o}26c_LdDycLqMACCo@uHp8WX#=bN}gS&Ys7#TaY*df z_?HA9v)AoNmp$aA-lJH~?=94r#RfY7TwESqU>>r2j4}fngxa5qq3d5k6FbjlAS*Yb@BJ_TX=Nm{R&*tj8 zXrqvn%LRYU_jf~A=yl6DPIuA`J7|b=qYzJv4Bd;DCw^aV>=CdW7pJmiySavjc$H^M zn)hcqmUorN0Ycfnr@3U$ijFVQY8w!RIaiDy3?EOsd*Bj!;P)&EcGL9^8$k^+m|F{E zhzoOwdc-e*YcZt&g}2=W)K^57qy?rj?y`e(6oX;DjZ+nV0Rsg`r%vLK;HSd8dK0HK zJuTvew90~QzFF6RsKsnk8*7*Pa(G)zBL#})VEoK7>^dnAr~zk;a7#p3V7B|6{5qZ! zB>9N|a)3LRH?}?-r51}e*MzAw^_2V_%C9C)giCjl3F| z5_t!@z1bxXjTSSsSK`D}hB;|Yu{pAbxt*nS898W^u2^~a!r%S!qg$@LjDVDij+-`> zK+p*t1)u|vHPNoCsd8Lam+(5S^!p^8v#BW(nrsg9ipXXOuqJLdX>LI=)6DUBj4=>6 zR|<@aqVIsk3>$Pz;7fsE9YT=(RC|n;71>YhD<%116e)@LLmU<2wRV_Lr;@8#ZX0W> z>QAAah2|kmfm}6kkCey?b9*W*3)nL~c67VX9~=Fq;B+IJR5EJ9)=~>9_I0d_Uj(En z+7e)b5e$fw&L!3rj1s&y==C|fiWlg7FZZC%Uwr2~d%DuD&$|4(^(7kR>@&bY z&ZgQ_yV^kN8XSH~KM|*#g-{=TeqdY{bg)cqR4Li#*LAyCke#>~I}oofW@V3nTr{&- zgSBNxwV(i%r_5X;{mE`>1$ zfwKcTGhVl4(83}~r(%Ubm4PP^un`be@Gk~Pctlg)$Gc$KjWi(XaI$`EtC8$}n44n` zJ07P#dcESlZ#upOnVa9{7kWN9_{}%00DX1?Pyau8gO?`UCl~3T?7+8^`_0i6cRcpB zz|!g)E}i|uIr+?$fBx=op8PwvTDbWqt5&Af-S|7=|NDnu|EG^TzI8tDm;d*l{M%1l z_`#cVccnFa{M*t$>uA0_eEMN|uTPVFT7u5K@mO|a+V)#4FZu%~ey0fkffS#LK2s(? z75?7de0d(IK+mMT@YwI2{QpYuhl?y^<>N=v&9rs*|F_TmQ!w~%Z*=J-JoOJ`XW>6z ze_$dlZ_j^wga3I>66@f9e4Ec#+MAO{fBDfJSN`$jzexd05x?Ek{pQT2orKQy-~RZW z6qg~HFG8PwTx#c*T`Ye={aW|$Uh$dZ|NiNpN%(uamvH%gef(ZoPUN1VK78ZS$g>_tfwc=8kEfs%{Wgv z1rd^H)FKQ-YLLnf%R4G0_QMn@ai;{q-29yqFHzx6iL;i^(XWu+Y}lGM_cw4|V#ARd z(NLs$e|&p^(bqw!BSebxlG;~$x5iE?kSa`NZge&xHq}$qYQvsp|m>?RYxkj+v zlkJ&CpD7!3z7ZEy9;~9n+!cn9Lj+)dYhe|dse@H{y%BfdCeE(^{x1M$6oZ=o1)7U^ z;TNQdAAg8%pRV}#d4Q-C$ya-e>}Cfuq>c45_SQ5Gg2U>{V7lB=VEBTu{at)ZkpWcs zx;O^EHkW)sAEAZbx`JV&d4-ftLYc;d(VS@xEXT!0yv!^#XTVmY&nSa|d@I>lBYNd* z>0ikd4hQ6hc4I6%7OvxuK=d1+))mLfjVJLD9`=L@H1b?WcxlVG&z+bIqSxklMYz8S7T4SUI0jvTJdsvUH*u!q2~H`rUt^V;s< zO5DL8;(b*lwzH|FlpcY1e&U4GTSre7H0+Wxq+S9Mdzf9)3b7qmt`ye^g{E%@cB6PP ziR_lsAy^XW4N51ZjcZ7{40~YYdLrhn=9>b>)7faOkZ%o+;3mF{hoUr9&{QJ9vg_Cv zQ8hgRbe1@>R;;EYop|OC!E!R%*9MEdE2ChNDSNB3CIA;4UU%y+ZoVq5Ai+5Gzqmoe zlW2SX%rBrE+wsuWqC$g33o&T&a$WrSA|Eu}>bCJ3XbP}+HV*dm%lYk`wv#Q9K z>(rn5l`LV&5|%7sRtWrw@;|dKUcNk8C6iUss^BF{m=!V>@+4puz*vcsfLS49Ax{Ek z0gRP6378c!7V;!u7Qk4ElYm(vV3kwiIad?A!8v=0%ie> zl{g8Q6*3m`Bw!Z6Sc#K>Ss`N~PXcBEjFmVEm=!V>@+4puz*vcsfLS49Ax{Ek0gRP6 z378c!7V;!u7Qk4ElYm(vV3kwiIad?A!8v=0%ie>l{g8Q z6*3m`Bw!Z6Sc#K>Ss`N~PXcBEjFmVEm=!V>@+4puz*vcsfLS49Ax{Ek0gRP6378c! z7V;!u7Qk4ElYm(vV3kwiIad?A!8v=0%ie>l{g8Q6*3m` zBw!Z6Sc#K>Ss`N~PXcBEjFmVEm=!V>@+4puz*vcsfLS49Ax{Ek0gRP6378c!7V;!u z7Qk4ElYm(vV3kwiIad?A!8v=0%ie>l{g8Q6*3m`Bw!Z6 zSc#K>Ss`N~PXcBEjFmVEm=!V>@+4puz*vcsfLS49Ax{Ek0gRP6378c!7V;!u7Qk4E zlYm(vV3kwiIad?A!8v=0%ie>l{g8Q6*3m`Bw!Z6Sc#K> zSs`N~PXcBEjFmVEm=!V>@+4puz*vcsfLS49Ax{Ek0gRP6378c!7V;!u7Qk4ElYm(v zV3kwiIad?A!8v=0%ie>l{g8Q6*3m`Bw!Z6Sc#K>Ss`N~ zPXcBEjFmVEm=!V>@+4puz*vcsfLS49Ax{Ek0gRP6378c!7V;!u7Qk4ElYm(vV3kwiIad?A!8v=0%ie>l{g8Q6*3m`Bw!Z6Sc#K>Ss`N~PXcBE zjFmVEm=!V>@+4puz*vcsfLS49Ax{Ek0gRP6378c!7V;!u7Qk4E|NjG+K~YXWe8A^# zfnjs~*5MyHaB`49hnsNlK{-`8m>0o8VoL}UC+ELDIs8O$uDWOM=hJlW<&&4cE@uh8 zXpsCLPf z%>B={M6Uk$w)Ag%e$=}Zxu^Yj+I#Q*ZkmLq z{#Uj?l8H~B@vFPOddm&(Xa4e2$^ZJ^AIr-n9Mfw5?Saa)@7(=+j|WVCr#}5&lHV2l zArJVdG*(VwM;HKODsekFw zPnwVf6#tV_^2zN!ee`d&DxZpfckeeDqNp@2>lX*p{$u>pB#E5-sml5E>fhS?%yTZu zE%3N}(f<>>u$ueezi#-gd%(e;lAGUqWa4`6cklQIk3Vy1+C=Xh?Z5EVzxpKMr9L2W z^rvLz(*km-;ZI!7C2sPW?YElZg#1$-&SwZNfCl%`{qKK#`?;D=p>gUuhwQSSS|m^o zE|IHLT7j#LaeqinbTIbBp9u-zj-XP$MWt+T;4~i+=DQ1GR~;%vQHx}Xf}JHYp%!34 zqewNS+c=Q9Fpup}M1z`BOiHetO2}d34a^cFutXHbTne>=q9n?$1;#h3REwa?MBu7YgeBOg)tPqvNqC4v zQN0ScD3f94FP8+-PWZtN!OoduE?XC7?SA-A$zj(L7E`@kN)=^O$5m9N=clp(yZ>Ye z&Ix{Gb0Gw0D8b>Us8JC=zCx#H)28A|qeifGi&`CTR~Iu5u9Ay$HcVWp#xlXoG*St5 ztBYb78wbDEg#cs4fpSDvAN6}MTYL0i+drorJT;#;_}v)WRW<=RMV5ppszD>55Yz4_ zpcAJEa!eJ{4I1P3sSedCsFaNZ===`Amr5~HM9L%-qWDxfm9hH+CNU|>#x3n)IlgZV z_RBWElk;*ELmRBEMowWVL9$nu+Er4wj8R3ZiBoJGJVKOsQW{64@X$rtBect;F-uVZ z;DGUxor5G!&Z&#)7KIj45J_bzyB|7eX8OX{yS|(D-D{{zn|n|(JD3)wzpKhy)5OZW zC}PD|E%{`oP~KuF5^1NLY!z|38r62@MpZ$h)44of!!BdU?ff-dDL_g9I7kZ>lHa{hM0XidPFQFUj(y0;N z864zG9jguMmPeF+LwLxm#?}a82G52N?_ouz1QF4dE+WUc(5}aEkTCLSHIb*0Yg)YF z3I=f@Zbs)7E>dXR&$k#y#T#$86|jxORL82rLkcG>cIW-4i6_zV;= z(#=srT^y8stiB@FUNz);VQh&MQ3ZC6zhNt}x$N9**5miGLaLV)2R1>Sp-Etg-ki~| z>(UiQF*{_o^QrojhSs1(W{cUoqI}dpF6(j!Pp;{TuUgBuvE%-TQiN(dTzyxb;bmni zKc|1RXUw~hHQ3BLXwt)Ot;uz!!PBGB@pOH#&Aioh_sKT;f|1^G;QI~!t=>j;n~8X# zvJQDO3+U;mc^7WXrNy!v{eo|UcDz!*`=wEJsI-puebYQ4HD{H#Xhh$|6tlgB`VvM# zcd}46nK4!pS2L25sZSaLbVgyh2))!`&~?hg`GfLm#Z`EP?m|XGJ1?H7OgmD2&gVZb zUG6Y#Mte4)BQ7UXOs5DA83WRk(-m=rxf87Hc&JzP`MpIZhZhn*zQ!PuQ5rC8;tiA& zXUir=4T``mK?C~jj)w}z3G15D@D)h%JF?NqOp1DU+z3+CAu|Q~&{Ts;;bmif#Bioj z3AsEvr`uGA%b{^jrG&VMj@&kXjf3WfblLA=Ng45n2R+#^kf;-B%FaoYh!)~%gJMOQ zcervSyUU61ggz|7rIHlrJL4K>_w!?N%_wv_(6ul9_ID01pxlJZ`#B_9#6NVV~ zpJ1twoYz+8*vZ_oi`6zLnI}+Vr{362`+4T&+sEqo<5xGXrQ73<8Z1`gDvcEw0kyo; zyw;GFb*xKS=F5yU=(uqObCviOzpuLMrsoBznJ>jdpKmi%Wv-)BEF5@N8!8|R_-zK3 zGefex+>DiRcwP)N%vHkIaB>HVcJ8(@wZy#(pJ9<(+*fz#zNA#j$F>va?;B zKpK)ItrDy4HSwU{e~->JtBz9qp@M64FPn#zC3;uCYnzzbe@5yzu*f-!`M?q(MNg%9 zrvc;D21O+jnjR1|-4)8m4v{tyjI3@yuEh3=|1T}?AJ)csrj3qdfd#ffGYt3zV?6IL zh!J22L`i9zZD(E$VvxZELQ}yQGJ9`(0ZI&JrhS&octIyZg`i>&$i8=*LK!x!>o# zpZmGrXC^KblZ$%8J?y0u;yFd;rVJOSnCe8O?j=c*8Adp$3)2m{RF*%d#!bu})E|Sx zj0P~DNSY?&R(eTE#`P&159z_Z)D%#m#HiO3cmHcu(E%V&XY)KAeKJm@>9W(urHPKt zm~iDo`JyIwx)r8h)jBkc624uMVNKSwUbX)_?cJ-LuoX8^t`Ju#%J?$366D{3zHRAg zYVl8#o5dm7U7@Q*$QL#8D6i>>VWd%y!T`hgrIw?|X+{#cJJ=O09@9NHrEHuC*zPK} zM$Vg3?|FJb&Ie2re%VhFCG0WPy?2D?hLPC1*iQD6lVVt7MJ~|;8JoR5?js%n-7})c zheZbW3U0N;n()Q$fZP=?Y0D1b2U$euU)n~`@KVC$2v%&qCQ;!Wl$ehtafjF|*P~7~ zep)rvUKt-|OIv1qx}`^r7Q6PYf1Ce9@%?`b5IGyph`}+_uAZ~#l3%GXb92 zV;Yw=StnCnmcUFC#nv)PA1ijNG_Ls;XgomeRwFHVl2#r+;qw~Qq+Z2snLfs&e3BTJ zhoh<~%}G)S$IICQi63I1ZjSkw9W-_bX*}D;xr~l9N2EiYMCiv;l;xB;Bt(r`o+640 zRVho9-v1^d-+Yi65L*%gRdG~^D%LS9w)k*Vc`N#G*KNhtw;UK?8?a(Os)!xdPP7Z5q2#!HG1)L^6_~fw>XUo1-wfjo(^QkBM-f}4+x6q&Wql?f-m3ZKJyu(5 z9?9d;pf)!uZq_u_;a~$dZ=2s5Y4t6u+)Rm;kMc9R*jG24U%FWNLo=F`=lQEL78fnQ zb;;b*Q4(E-kLR`~J*?!u#gs-AhbVTb>r2y9^YC&$y6ko7Z6tu#Wr&0_D zeJxEU%iY`cuh0Fwc>hngfMmWuYUb+`a*{*x3P*8_C^ItOX|*UjY@MJT5J&w(_D*a` zKuoPluNvb(?};~S9wXMqxivjRD6f0zq3WTCTv1Nkl_HN-sBW}8s9@5*Ik90luEeqm zv0bF<<}jJ67@0(z!lMcLn%O5RK_Ner9j0~i03}eL2~qoT9Q-&bS%lijo;15e_JX_H zZx()EyF?a6keOLq4i8O3lMM~RjCcn=OHC(GNUveu9 znX8iJy_O0!RSa8@kSjyPolNuQoXtw@nwiA!ey8b{my6#Jw=qfIWU8XwVsF;)Br#-ODErDFk`t9yY$>%(>IyYkqE=!SR zhKoK;v|U&-^(95rUA~VP#4;ro#eA~M7c|_`z4nYUbCUNl?om-TJ&H%cCcVmQ4Dpol zQ-;LsV4HmlECO30`R^mYtm!KL(B$^%GLwex^|@8aG7uF1$_{o)RW!5pC^JbbO~wm! zKWT9~C)$F#19XX7m{IzT-7Spiy51uN!CpXA~3Bs@095b zvqw^xVM*m=#w}G7M#NM5I4UY$y;@{C!9ei2YdC54%+y;`zx>;1ao;)TGtO3EO+M{C zI%oM9)olq-Rofn_xO@!jGB}TDScRfKZEDD;N*Q5ZXNW1eMNRTCaUWCIhBZjUR@(4D z-XN+AnRe$W=a>Ut@oC^=_YlX(F70W{%?rD!2yVeScEXS*gaH#w46ka7`xe!&4ik{h zHuxmEPXtZR*jV)1K3AnSXUMxP#-$#0`D~6OZD8PV_XraT!^jy$c6zpRo%YM3u{;w-@SA6T5tud3RE_`%B} zm}FeE7m!x`KjWMGj=p!xKW?4A+mfY2TD`4E7Grt%QJtuRNa|$lZ?W#oVg0B_waO)l z(I#j;0hR$7Vz@<{l`YgpwY0%`Z-Vb3ZiNH=Eh;dUW7s4iopB4^lcG@o_SPH;@v>WS zVZ+pl;<8kY_54YBu|wu|TX`z>2tn2IeQJp4HpG&;gkwqmIIGSIQqa5|>_43aCkz~_ z7{yP|DlR218Y16M6lRgITJ=K^nS6`E*w1iWHcb1po9>xvK%P)Ww+hYtDQfj_ngI5J z?rM7Pi*Ma5yx;vzI9}M?qq^u7IfYtx`51f^)T~EfqMRL|E3>pSrvG;hkfOg9mK=0UBam7Z|(1D$*5TA(775 z{x*)sb3UeDFm--FW=u=83P_)Nl<8GKsoA{S)?Zbb0wTo>tAg=Zu&+nJ-VRwd&-e9f z4JnTgxlp;!+rgPH{Ik&UopM?7a(er}En=S!J zP*4kbgo(_u6MBWnMJ8CmSx#_;AuJozJcsm+Hio$*P9<56*dD*!YBU=nl_!08p5<2f z7KPeg6_v&E>|*rw<)?~kUw2N|*$R0NPlPl}1W&KeZBSibs^GyXrk-0hm(RoNiiaA& z5m+*)?3X;I;1OIzkYE_}$6N}{vlBkYy);lQQg1{(^&}fipe+a ze09>v{SA^mYaqFR&Ir*u$z%Jp3I?WM4Wn8>3D^GOpL;I6^YP!qOU~9S`#g_Xg>h2?FPmlH~>!g#eGQ$>`f_zRcW zSXh*c2v0PhDH5juaGw|M4KD&w=j$A5(JTOb8dU3Wh3&0aB#b^`FtKV}!&)xIWSDio zPL7sVXdWM{gJ;Mbg9HzMf(pl!y7yU~h;`9Q7P(91D95S-qcJYSOB5In;BA(QXj)*P zuPSbphpV2V@&q!!dU{hYh&DmOnb!ohyd2eTU z>a|DBppQ-;bwB3h6~+x<@;(h7=G(5M?h)t`M2#A@-MqoLOZDtkwz7mRWVuwoP~B24 z>&!*GTlSQx>TZo;!F|#=-bvho59I!pq#KKf2KFk_j3|f}EUd8HtO2uJhR{b7zO-TWm&&HZ48}RHu%m_H35NOVt|TOnz%qcB zRpfQ`;rB;&z6FQvY=vC59cQD-ygU@4+n}HHUiW^LT~aRU5FMO>*a^UO0T) zYKPia|J$-FT92{Jp@zj{ZKuu=b5(0ud?2(Ll-e_=a0taKtB)veRnn7onEr|`Mt;`+ zRk5vg!Ojo9QoYzSZp&QoFSYjR5oz_;S+(p-zgm8q#TQl+zoyGmm8*R0MC5fEk`uC= z=}ca~%pPp!t7vzGMoX5CcP{u>8lFg6F?_cALrYfY?5iK{{pd7z9QWJ*ThvZjKVYk* z5qY6}0srdyRJl4hz1j4GoA;aJdS_lDeYjl42U?^-X|5ZGUeZ4&&3$e7!}`m-{9Ek< zg4S?s>5_Qa}*SNh3hN#+N06@4>u*U-o1r;knFv3TfW znbv&gL}7Vz`_+5ZXgfaPr~j)ZK+f}yfa&g6C>m8iuZBfBnh>&y9YI zk9U*S7b5&mlh^oe;DrC#W6g%S--vzRmnoi)1vchVKYVZeK+pMNy>Dw|7=B$ECg)}+ zUpbe(!~Z_*p37{SO`>%kZQK^xU;W_!eSf<(M*i1rA$nZCl$zE*jPy|Do7zI#v*qT> zEuXs=U!^NcSe|Tb8(w;*jvkwziQJp8?|f*1G_&2O`ODk}P2nl>($MF_@)iF=c%@@D zU|&6^4W<9)`Gcjx>IW`sdjk9Ry_W8O9kt7mAvJNwILVcF1NRM${qg}VOM`kO+Xn{^Yc(DsNbcV06tGcx?9rSmc9=}=2 z9zQsJQs%`9`r*cwq2Y;fR-Apc?}e|TkHod7T0XSKwo6J+7w%5=-TRXTYvzTHI}eEZ z#lGgU*`@K1(EHmy*1BIGqyNA~rswY;O#ezcuBIOzMpx9oZCSe`G#y=}$1H7Ff!xP0lOFZ6tR9~sJCNw3SbkyC7!`1*aPzEUk+4qPd{ z*!OMuV){GZtCN0q>p-&qpm?&Zoz%z1tI_&oeQAAaEDSLIt9;+cYk@10xIWT8aga;; zSD)GN-?OwcafpOiZmqnjbSP67Zh4fu=j8XnL|<>XGyZ(L{)6~y)>7l0?Paf<&&^)a zkEi{Ek6b*yJdhqG{ohD-TNUp`esG0Wzq)joJ@L#Fi2L%-_|hA21`wq?wm!f9CHwzV^fc z4gaR~mgK&Vt7B|vsdP2=xqJKnru8frJ04$%HYZotd$a=;;_-)m_2}_e^YqZd$*(UjJRo@+)%>_KqX&|5$zIFB|re+HZNxgPxVf%*EH4~dSbkH>e0TdcYb$neri2^xaPqM>#Ozccby4>xxk~!aM$NfsBmbg)w;3&e^MOlrv(y~B^F_Ve)F-}5 zUew$BPF8%i_y1DcwUb;G_p;3QeZArUcmCUbeP8cAz)cNm19ex(THvtUPR{np$EU7N z4b)vDuj2KoCcf_41Mq-0@%7jAS8JSC>aQijHG^c0Jk>|zcj#-8r~3HZ-;usYdxPWr z+)MnUy|dl?+_jnZGXZhng%$j0?__mqWybkKS7ru#m$~C~<;>ubW$uc+@m%|tUSylN z8F)}S-Yl-w?QLl1$Jx5I`hA`4edDaWR$rn0cm0@rC9op>cl{!|63Bk1Z%DrKT;^+c zp5#tE*I74^XgTqm_EElWjhr~x*0M&PCC4xIz@c7=$3Mock?)l?il4&ceBdHpuW1TI z;MlpXNArO-@qpO7e(CGnm&LoQd;jo1zBm2ZwYPr1HSk5G$LO!7ZJIkwQT9Gb<7Q6a zhv<-quX3B@rpY>rR;sU3aTn4WX&v&EBGLTOS1g3}AzPlSqV>qY`9$g)wTndFuGDr* zt7N`3xump|QEOVcii^0>kavu)186 znfhdhJRlX~>41KvW>70=WBOqYT4O2ctmby&S~`bxVE|940@^uMjhHwWK^qvBULZ%A z#$d!s>Ccyv*4GJZ(AKV+QHhZrM~!J|Q$z6N`ao0f7xv5x+sx-y4YvZ1 zEzoiBA4oi8FyOWL3M`YEwhhpc*kHiy@LpheuP~+T5E*xa1e2u7hWWf^<8HT}7^Q)jCJ=6z!Pq)2_?fe>88Vt2G=OHXJFjN&8b%;?X zSRcb6D9>l%#~Dp#G|FgG3R9fg{O-HOH{Yl{xOqBHGl;``X%odBs}!x^6>RT9A-ara zjGfM_dP2#)s?k=p3!g-a6|5y?G@%rcGTh%l_&v^x8MYd5N$9>fS4LK_cNoo6bs4$# zQa_!cmao5&pcF-|ZD<+Mom4!8U?B@$tfZ^N<-C}ctI00ZP6bnpS2@4SETf(=Qi(a| zRk;GW4^X^Gc%#Q0Bl|IoZ99bHJY_b)#V?~8Dso=U;t+Ap$=XRu;CT9le7ua{cwF@e zylYf~S?5I^_atweXU`~NcY+FaPBUHw#{;L?2H)$gCP1l!gjvCGJaC$M@S?SKnh*{7 z7!Ib2_?%ZW@WcPlPdAS|{r-oxC)KXXPRz}x*w2$0B3W?Y+OM(QGZrpME+cE6?o8IV z3JGPC+-j{fYiOgI?P^Y`t$Hu}YJ| z@MW)uQ5QDm=_Nk#0AdHj`EeaDsbl0e>!*mewzUTLA$>0u`ag=)A}XxlRdpSu2!9L} zBRV@oOmQ%yzejxWs#Hzo3Wnj{RoR8rIfOm53%J42YJ$|L}{W@Cs}$xq;aI;7(2&OBZ^1Gn42Do0n{Xf(d5^793yQQEDCi#dZR*OPx*K}e63PP2SM76V zKJgeCpVt)ZBJL(;0K375^ZLTOSiVEH1~3$TD8kxVo7V%pRzNh5U9QtBBRez`ek!A( zb^Xla$#_80i_~lg2Tzh#;aP zAK5MKW<7Qnw!&ERBGgEHzv6c4%JnZjeC>C;ex$&8!&Xo>E7<*5D3IyW1}mvm6m3=>feDpy-!;*z}&X`JsB-vE$UC70Q!iCh`o0RYU^ z%g{8C01_I~3azLBeM2s*n__j4xJF2t6Ff)fSq)j2RRF>_Q(@`mWz}j`fSxqZDDs8@ zh#9I(9FllIf&)-|L8Z5@6&$Y;Mso=&Da*%8C0LqhV>3QrB{`b1Cc+$%8D3@9oyj*d zL@<*LP*+`Rp1zY4vJF~7F?SO-qe97Ux1oHj>84pkd}|z>fO_rCyAS@N_zySch3RQ9 zR|#WT#Wvo-@%jrsZ<{uUWgjyuOqt4xYN{lkI8i|biKK_ED|iM1S@-D_YI#wIXK|k; z{pY}l?UGA?aT7|$2Y>cZCGPXWBEBRQs@P*_g{+8Ptx=n)siZ5|-TFirFbQW0)&3eA zoGY4`3IP(xoRzl111uX$OQ@O;v${)x_QXn)jY3#J)5~Gi2Vv~y+erynTpTAQu`Pj7 zTVa6`dB&v5F_9x_fXGByu~8B`**q&94oT*UzQ;--Y6lPXDq*`WUxSZcYCQm0Wsa|ihygrhP7}X$g$*Mr= zoG;@WNbRJ213phMcC4-Yd{)p;&{o6%HU+?hK9mM-7@dguT6}-gmg(&pJeNvh`K~okl z#<^iN2wh2WCvnCrP*5!Ai^x7JgoA=-3#Q!kYN>*?nMG%Kqkep!WaVbmJm$2X@n7x# z_okzNT)(h|QbCyo^BuY!t=+cH4C+~|DEltkPqyc441?rq}geuzmlFai>ZX7LZ&?IHN{g@<0W0# zBtGb)UBS#e4Wk(!vNV@y%MQVe@B?gyvxF(ZWABHIST-`v#)vS{DXH07!V17KbAD`T zu-YXGg-CaWutPJViI^5X+3W#`OmbNVVlI+m)d-fsT5UxuZ1pHHDMeC}2vaIg)vthP ziOO09zk-*P8M|Cw!Mj<#->(1S*_T_2{^sZnXn<`18<#D20`Wt3w07#9l$+4X?f8DF zglu5wP~>N6wFHziIjqykYC%|Lb#4lkJKR-Q3+0K1oV%{wQ8{;)@3))JWI9;**VERKmn!^vj>Jj zpP?0MV%ofiir#%dKy7MJ0P=+{0H6{Z2&f<9I;8KQEnMXUOeeEviYrwUhY2@qFJXmD z8_?q}#kegF+F{ch=Ma`La z@p9e*myj(#gIN|mL&{i4A{5jgn zAS$Mn`}hv*U^jJw3X?El^+WmeY6EabHD!Rv^kN6S zQ#PCxpf`$Cns~wrhNuYqrK&4<00(j4M$Bo0dXB>!OlPRk3NsW^A8@YvSRCRmqRLT< z!(4nH#HKo-xDQA;=*N)%?kne8t$onwD+^j2y4uMLG+FIRk?H*scbGkeX^QNC>988t z118Kbz_G^aqX&ZNcfV--asD5-kaVY{LO@>Ry;4I;;^aZdD_1#4tV^0jk>M}UZ3z+v zStU!PgI7OkYn&!un1KqH+7>sV`;%EHF_yw~7t$N83TtQ&)oaW+65@zjCvDyDsHHq@ zGD&ArSn`4x|D8UWtQIHrc2X%yvXyNhZY6BZ@ajlhLj~M!as`8M+N+{!I*nvf4XZj+ z_F?n{l#noPYMhg(Wzb+J^@@U-;SsD2<+F4`7po?QRmkYHUr75Bk;Qp>4uhEJkX35A z(PU@XAsG*ev|69n={hIsdPYa(GAWXTMN|U|b`qpdeFC96XnxMui(kL*kHK$l(%ZLi z@>WU0+&IN8f)@(8luI=23xJcHqB@^JMvHH1gmSqlxk@zYajjIRAgHp6XhIEWTS0l+ zPpMl!O#OgVC!Ry8X6dqKMa!@SNph7WNDeGVS@m_u36jD=#U3Pb4z2z`L;5gn5QCyQ zPB>sVeRtAw6xL~oIWJ#HX5cq~;y_}dICKvI#i8(s?Hrl&BrDw_Cy<*_Xu~GNd`~nGtzl3%bs1 zLX%MgnJy#TqOVX@xh?E`D|iQ%`i<{=V&G))_r9?O9RrKdCP3#0prqQ24+?Sp1@RdQdbPb`#L-ONlyAVQ+}g5dbovB9}oo z)pEJD8yZ}xIH)J|ay<=M6qen*6`~4RNtX*%$>u=S59&Z8YPtl}zPE$7@D;>Lyaf_Z zO8cUti~Ko^wE@iXlaOF6Pu;Xc`AJC0q>t0f<^E0rK?f&g5s12NRc1vS2{bT=@u~=| z(IDc{NwL(@CdWVV5AXc#?eD$f+YY`ml0)!T!Ls6&DZROxdLjDK74{b2O&cv-hd!+~ z!gzY>tUj(I=s@U_nzA$~%9WTbLG3zXC3GE*fI2EIQ(-Q3VySNlCTpdm({6;|pFsQ@ zJWe!-W$15$3A+`72Srm{gb)M;3=!x^wl~Bj)!@Qjz~eL#AU-3id1rw;8V z@B|1{VuNyE8&llDF}p3{+G|6DPC>35tJEH z;SgVe3_?!AKzwk)hgd+DLWEhOevO;5jkIqT+!<*}F|FvR3VAn>W#IR-vW@GfJMpaz z#O24fBUWb#Z}TjNxL-5X`t;!RmdS6--1SRBv@mCE(mG17kWEPOU*j! zZZ~m4@Ha?|f}SW;Sv~E8iPnYrgVX@(MD!}*@QAb$q0qDk_~s5UMMfjY&y;a{ReZ{p@n_iO>!Ft`h7Jq8|WEgD)zBaaJhqrb{ZM z$0Y7!1G*h;46_lLY!XeG&}-~;IaDP-*WBeiSYUOQ#Vt_C^l^5Gz_X}0>ICJS#MX3L z(H2zS>Eu>mz-iqdn+rIi@AL^O{tX@qLo(vz4F^Yv$|B%JP6jKpkpO+Sb|pLH`5cx9 zsV^!T5SUBa4fzL$*iz!KV@?3pqY$1pp$6qZT0%5JyappyVQWjNZB7QE@~I{crmcrW zu4=-nrNVM~q1snQ(-mk7R_MG18bEX%bVwWlWb7f?j#xX$kfqV9+#sPp*I;ft<<@02 zhi#7)QG14*qzpf3n$Gc*!F~hw0c~&^O{9oWjJGc2GwcUghX? zCj~BSu!$A~fb}%0kja8{S@rJ6!}bb>7 zILT!;et_C7EHDD#(~rf9X(4%|_2A4Ye1bL-zKrGs z&j)jHJ6#6-d6VOR{qXuD7yfx)zrk(gLmxEHHRbvG};mlQ7DhE*CiZlCi z0sLR#{ffLH-G?>z2`4u}_<|+8>?p|%ECDeOIaFX*SU{`OtRu!#PHG2Y-G&(AWiO=` zt%5>tPMD`H<&L5(B3w0z){zq~x`Ev}LR&5e@1h!%riW$IqopaQ=qbqeh z22>1ZQ-OdWCJxD9iaI3QDghG!-o;r8p$yt*Kix}m@-j(tjzSLa`3Ywv_Q5K8Ev*5n z;NO4iL&7_Uge}Nj31G>~D&$HFZ(3|^vs^|~t%J^pycOVg zW}zhpuD`I*e%uKcF1~|65y>3^H%ih%r%=*wx~ygP(pHulpgbo!z#a|T$3}J%{XV(~ z^M%;plK??qf!3xz5DqXfZobVjmmb2PGe`UTrN@cc7LZ8_*JmxqV<~hq0~gVZmz7)}Tcwm4k9QpQ$2H z4T53SZ#>`q)VGR%^5bnfm`I4|6fKE+^M|_1DA{F0^x}FWWQ|rpM779dq*N z7KrGRkOz6%n59W~t7DDxXMNk>(SSpr1%fe0l_oy~h_CmvK61M($EneVT=u z)uPAx<@;!2Kc>Q5jm4*|xUa`p(*0EK8CBB&CRh>62sCI>JYwC?g2qULmt&nA0ytat z<1v+2fKn|e8(a2D`d$KQYm#@Sz8=L|Ye@6RL2yTq+@^9~{z+1n3FFH=EA7Fj0GGuE zl4rk$4`V;fc?M?NuVT@)Nj7v1)(4h(n9u4#R<-mt z1n&^eg!#Mzs}~1Yyi?aoK6Cw%$KE=(%>=L*wTd7tWy?zMg|K9CoBcuw73pOS0&_%; zCh=9UTBo&?1_6|9q<+FZt`0AO&;a$DO;!WxT$mGr_0t_PSQ(a9XC)xdHCU^}l5zoh z6Ve@BrRpPccqf{ub>cH&`z6wk13V~ipPGh?DI%5XdCpM`g61qwL3sKnfHPOH=^3H} z&EOGQMbOe#YD0u(AkcS82@qZr5x+Wh0#+F<>O?4cW?0>hP^Z4t(_Bj0Ww(JN3-Ga^ zLM?8E&8I0o!|kW95@_thc0|(S(^Xb`2G08!c@Yw1!hDg`t>?gZ(pSkv^Ltsn(F zH5aG}hbI8ed>#EE_3)Ro#k1hb=e7x<$aI1fEEjcYv6ii-(NqSmF`}#bR+u7k6~Gv^ zbs(6|8-RAmpB!_-g1nHV@z(v$%XK36UFw7?@-$k0=#!zDN(MOOp{1{<7rCrkwy8LoEWT{RrjrP)11~PQK zJf*RaeWPQfO7c?xI9R9VLUx~}1nZG5fdr_f4PZp*u%-h%plQ(>9wl@&Nwd;X7RJkg zcpt}w^`7yMd|_QZdadX8TM)Y*l9)}xfsteW4C%p@5JDNWKb6^mnE>sSAZZ*k^v!rz749ZBM6lub;5Qt1R2Y!L0Mmr zjsUL$4*;|iAz0v;1qA>pq%Fs+5i5>vQG?cqn6pK6xJ)2j0)oo-Py7J(gJ=YHt$~F@ z&sc^%)Z!#7C_RvnZIoiQ_~dju;0V}OsG25y3*@%mg{2gEGEA!-G9Y%Q%~}JZlw}kf;5|BN1I-SR7-s@8XJGlKlfqI2 znzr^!duc6(3t_V{9jf0Pz7Ek&mQk{*>rVW>22x3S2L{&Cu)Y`H#% zYystQ-6C^-hiv}?%weHs&uY~^gNInVI8NqjrlVM) zrl`vc&C5t$z&&FsAbk>k$YI=4KOpVhC-N047??n~w-K;6<>tNAF0Y3CUuyj)oPk$h z{drXFglg0m1*AJLNHB0KkmLk$%#XiIgN@+|H2H)I3upC^j{EgCF3|}h46cy{s4HRc zwP+Iyxub}HZ~;*u>N6vJrVNzt6;AI@;dd5(@%@`NpS)=sYA&P1K!oL-PYA>l)X(yT zi;lwG)I(6xAYk8RHCpW?H4VzuN|2|p+Cg9#KCfp34g=>B8!)~BY_W`_bWVp+$WRlx zY7Ca{^*;x7BW=miFkBwF&BKo!C$N&DhM>BIrG4z_kfoXI0m4BVtg$=|*j!Ri_Jbz- zQci~bDX2l|7OCLRfoTm@jNhK#E8Wkx_#8UEB!luI(K-~$R%?!(+*hKvc5FpBKR%Ul$SH9h`e z2rsanq-ZS+c(j4^n2iL=wILG@$;ozAte+0p#SPEDqkVQw-R6!0E<UDM^Ty4VKT8fB}`J6DvV4*th!HqD- z+yDi2RtDV&8@ANwhzchGZXw^1NQ6fwPe{2q-@+c|xCUX~0LJnb_~555quq2n(5vZg zV&>=?C;))SAlSDV8^$Fkgl{9fgFhQQi8`u*S^i_he;4Y7TvnVI zBmDQFcFk2CjuKu-?QpyX9Rz7L$qhhGY&@YZNUnOwjs1s7DO79O`lUZdeV%m;f)8>d zf-dD1Cm4^C)d%Nvlv0IG)N z;3R#mn$C**Y;ejP-Jy$N{1ws}28Y%JQIU)8&jWZb=ldb%aNig}}GYsX0C%Z@|7= zB6*UA$*~c51EhzZL5^3;=e*jbE?|0^5y>!0SCS=dh%S8wpJaLE*U|!h1aYPci@!PI zo*aVuONg*a5&pc-k(<>Tx9MP{M@LP|tZ=YHa8zhp3GL!W}DkqEjN5c}?dh zM*!hYkQXz6a8506>Kf^Ql{KfP5*%%peGnazt4OKlasqfD2eL%LFbi*^ynr(ya*?03 zbgk5(?Kn4+t3xVjivx32_y4}_v+p0?rVuYDPu~)hT|c8QYFwaKo3tMS>W9jfAUbM!>Uy)CgfLWdpK=Z$$Byr`w5xTVZ@FJ+60bqa<=GN80GMf7Ypbub1Ct&&?MJ3xj&IRYhT zDg>s^mV6$WE){1iJi^b$hUIo>6ps+y%s%fVTmS(8!gmUo&)e^A|478dH zU&uM(hYsQoNyTSA{3S;74hIpieA!(=~}XhZf-C=XyQ(Z*Z3Vs8*slf4V< z4Tq~CnoF$#dl_Bp{i>W1uHOVBr1Oj>q?s8+B$)!c~B!j;5YQNc5Ebg(4h^W z=@cPgg0;d`q;mW=n3U;UU^}WBeob%fgbuHqs?G(rV*r99>^?cPWv3T~O5jEdjwAu> zriVo^f~QCLbFpHA9N6b+C|v@D)-yvm3M`GC^=i;1Gr1ZzqpTsI5Ps6(=vN3hZb5s| zDF-+8Y{=p0oVwnMaOifEzY2;H#Pt?O?}u+M%0e~aq{-Leol0qt^Z;o>QRslb8vhge zAppuj|BtDc+rC)5zib=*B1uFbPMAqs$SISZ)K+z2fdVtLIg*ZIsA8xIOmdLsSQ^TE zItff78?cuB8kv&?N0ay=zMZt-h!O~`RcxEV8XYiq<~>XfxW0M1BbON`4_N^DVdPlx zu#_V4j=fnucMzg{lYrLtK(`)9_}xIYj=A}5P(x)C9FNjfTon~syJ<6Y0pKy{3RiM2 zhE*fNLZUqp5n;hh+|t^*G1LNv#bi!@`vB<_arDTZi7*)y;a)E=Of^=TqaXmcd$fE8geq^3FMGAWphAtKi}yz08N_#~qqq?ecM$e+h+FKM(cCOZMIjIx*)ZZ>2{)TfJ4=^gC`cf)nnH0((1%cVVZvQ2_878;ImTAr4GN zz6CN>qsxw|{GJ}(itQh%o4MFtgE+Tf9SP(+D3DPHcMy{}CY{g$_xMX|L(0Y{zPX(! zAu%}4G7G;C`fewfe9Dc*xFj_vDVU$oLj&QRMve%%tX)=vxD5fTl*44%O{F+0Z-@g| z!x9Mm>|uybu$x!oumrcY3bJFnmW2LVhm<6T#U0#(^-hR~N35Lg4grnBvJyl%iCB{s zag?`1DiGladXP?P^%exU<1+t;2zOlOm1Zbuz>l@V4wFNWLq+K@IT(+YoRcJl;M?U^ zm$nK`5<%Ozzk?vs(JyZomQb_TSbLz|Xq!~Z zO$c{KeFLR~Vg`LePnPG*k^QvHDJ_9ht~6zCEv|a>2BX7zNzT%bJC;7AfT*8^)64jB zCC@geBUtetB-`@)Phk(40^r{#LW}Dvkh&B20M%?Y`BUUejY&TZ+>k4rQ_QRJQj`mQ zF+~5%2uzL>XapnD7O`)I{@g*)0>9+XHZ)XnRM?Op%}+W~4z z4j7rMffCR$O+qnnqMdO7Zr@rELE09xlZr4CL4W8ro8lw)nRyu_1tK5;UvX9&N={0gYDsP=7CcTEu%mD^9j><`xnOc zc;x5G^#pHrXIujAD%!XD{Pi`1UtRzUcRq$@&V~B-!$daXIe0sj=9-^K}AKW%oJf^?cuw%XZCRam^()XcG~L6%t4?sPK$63E4cX}ya!#q zyF>@N9K5zB=^(HrAO<%KY3|xox*O%BI}diJMv4}*;Vg-=br9J@7jZ1r@M#c@4^!A zyGMR;s!q+h+I4P zupGJe;=`zSGt!=Jno3`L;O=4h{Ri$oBd_=V@_{4Qdw+RIUw-0R;9+OqrX$PmM7ob~ z@L$=P&E@gaXV!biY2fWMXNLoCH=n&fvXR>_@bHmKzlps0;ya{!>iqRLo|<~;I(e!F zP&!+KH(omXpneT^-9O&TucaP7e`f8)?x~rz$bo|ou0`%XbN@hYH~8;s^JlrKbvoAj zRPUvCkKEn+#=DVjo_^ubK+XCaXAcG*?OpGED$@J*JV5^TOHJI=HT>{PFTin5oPqBN zz?Xge^mpF)G63p~&iQYge(F%~`+;tbfA`2!+|)Zqei=OT2wXgLH||(p{&vm!rI$(X z;E{J8Y@hkY8&CDp>qmasOE-`Fgq<0TyhUIfzwqF-8W@{Ldttoqd}(8*>5cPm%Gcr8 zrZ#(jdCPO>B5OxJ6*wDs@Y;(_fer`trqj2;u4OO18O!Yj0NirsZT{>nFRt^?UtIsy z@7FqR#1)x*2mO#=?>$u69gtz!JF*$xOAiLX2&QA<10is@-o_Ae^Yl7*5;*THg~W56 ze1O(YfoU=r`Cau2ekai#;x{8d)g0}c=9Rz)kolh zvf5<*NEqxTxLWpWO*~z0-HdeAzlfhokjKX(1ojx|Mxl8M;^5$NRk}9Pg--ys6+c67 zpKroT8#S@|O0@~mH)~cizmb2N8L0Ugdaw6DKt~%d9gO`1&I25Q^V)oN<^Wxp|I+3g zm2`RfbNCThPYiME{8;=+{adg?`>o^}ewfw*6qyf@yGQha=D~=hr_kjIBAKVCBgXJmuU7t`V3eVn_ImH$ClBK9q-v9my$3qUZvvpv z&aB-3UT-;n39ac}u^)?%hc{mPw_7`kKlq3z}!OXoJM%ZdL38Bb>DD>mC8kc0+Cp|98i}eH>e}^qj?$sorkhdqSy|G)tJFe7S_HQaZ9h@i^ zRx_V(4hm?u=^>o8A8C)}v+T%&FyeWHaXaIk+Z>@a#4Aj%3nJ`FuiUa$;#+U}CVa}-^cc~&eQ=*d?VskZNPpEZ57qF7nW?W6 ze*4HeH^Lt`zha)IgFisozs&4^(csr>+pWc)@T$0|ie-8>LmSn<$Rw@IxY+j=h6yh3 zV{OG|uJkMn4)(PC7`(lOgeFn=4UtV!XIf% z+Jxlm)8Rf|T8JJq$(0syx!f~bT`5POZEOBq;1U|he!9w>Jt2NgCNbmDa{NjM_txS* zUh=bpeDhz!g+_}%TuPiRwZ)$1>N%<%uGHgI+|<673;pWX_#fJD5vy11zE!@tO&t&i z#T`1dqV_~;?r0m@d7nu||FfmmW`i+8$$1 zujKQ5SVXhc+&w?SSG7kg;HF9E@EgnOrLtrHAok%dn*9XaC-icS%2gEg98|lBT|D$} zZ}nby>-FDnLGFFdY6(z%9U26oAyyI5ycCi(wndWoVSaYgqHRODJ0=FNuyP>2=-yxLm*Ji`Ry`sIU1IbuNssWxD+41Y60+L&GvY z#s#j*540aQo`LKUTB_FzTcakw+|P7CgQ zG%~v^X`R#Qefo$L6X?t#KKz=jnsr4aM2N&aA8fP)SgCqz;qH$eF8({<)!as}h($=G z{H4?S&Jv~V%4s~`yVATWhT}+2T1b=h;20WJrAy%}_ANwN9bM7lQaG4ZPq5nQyChk> zw~Eyw+)7JFOvp1`${l@s|5m7j-g(|rChs7x7{5~cr85Y{YWygARc@<{aEXpiSJ;f% z!b5djA@^pyK+T`cmhew@ri$pWv_qejg6xo-ps>C}6)q25)}xHB!yi%Dqj;4WNj?jMgX z4rudpsyk9qs1>!|^b88cc91z=VP(aLMZ8{aTmRrGtioV)BI5l6|^8>9+ zRwePGq)u>6*Z;@QKUEyNV;jLE!&)1p)}1&VXM{RIaN4@^$m+NxeFVvP;*FE!J&k^j?_$T@E24j&tj^*AQ8KmrVTdCtu9oO7P@`yPyrk&4VeYPUL+X^8Xm8r|2}ypg>%c<;@TSjvyS z%GW5z)W(pK^E1`2UoBVW1!&IdJ5}uVv(37TXQUI7+e|c6Z$H=I_StCrcq$r4zG214MM@Ye_n3h6T&YmbFUY;zxZT~yy*}C~ zsS2mI9?D4`|l; z*;VVlPr1?x-4)z&!OzV`TBNcU)k5Xpq#x;o>yD$p`0tcAe)-+GwT%La9+Av2$gZ-~ zL@HUYC{^hX`DTS>421-3NX}$jc8(d^4FZOWi7`sQLH}j&Uj5;o`yBZ zXJn=piU4Vow~KGIlaW3Ss9ExOImx$i$?RGglFsxfa1uv##DMQa2N#jW-JGIw(1V~J zm;nv)y|e)9CYu&!wdHJ>F%U#If@ueKsPqJsEs0Dv@{-_)k&Ol#Gpt_2%OpwKfiILK zQH3d+7SVym}tmVArJYL|8f~R9}rv7$%jZ$o4g>WUw9VA~FGlQuA59=B_r51lXDVP1! z(s|6a;6B=*obQb1puyzz^IoZ&x_xN2%DW>q{du~YT|1r+S9yEy#D{`1 z5$UIAHrrC^vGQ59zU+vDJo^Lc0qW#9elnrTdMGwdwis zwEXcx_g;wOcl>=aWnJM-yATt;GrS{TEDBqG6M>Z)q#h7Q<~-^xb=ZC*cmnH0V~e`F zI9-T$JxDva@LRiADk&=FVL9#sYGPusRYNbC!MOHR|Hp!>^;`za(Oj1 zv703y(09F$j&p}0{U}z+qxa@>k^F2|Kko#@y%KVsXr(__$2Q!uQ`cTx=4Y1DlbpdS z6>@hL>IrYRfWmwJ*9DbX;6qy-SuM8l5pf!z-ZBFPOYg)5yuPEMc^nF3iC89R2B4FQlk^uI^?0s z3fwh8&DE2|*^N&kOvwijdL+rD2xXmzn6$y85O-LO%(L9BOcwKlE_yJX{PQ0c>3gs1 zznegAO-ol+(NIaAE}`bME`nUfqSt7kqNusFO7~7+PV_x9u_}r+rpcx3aXgg_O z)^3m?`mnIPFK?wx(kr-n#Sr$@EZ4t>lXk1RF)=w)9;LIG4!KdzMj&|wm#68+^nJ3Z zBbG8Ngt9I`Lp!(+Zf7)pdU(%gv%*NA;pnU&(d~gu7E1tWqOA z>T0CaG%E?upQ#cD$;v{p!!&t!mQfw23~^+nL$V>7rB3S^Y5}L}w{?tP9H*z(mifF+ zphHtF;x;~ik|i2kegHK>OF5;vhGzKV zN|NkU_@UjrgKJTfdzciehjs(tn_)b5Ndc~)R*y|+xzxqDS>LK-B4G(2`FW*^u81Po zflZrCj;v*07RYc7t^$pmf;pPEo^qurWp{AiY<>jN9hj$SO{t`0$s0RWakxib4t}2K zq}9eekzkUuDIQ$BVc(R#zEc73PMbY!68UrEgZF}KB}(2$P;YdCAjU(Adk3 z`b~ez^D(IU_$@3cGxxxU7qA!&bh6nPbF!(#q!d^DES5-!U=B;nDRtR1M_r z-y)RHYcZ^j^zl)x50_bxL3Xd@x`PguSw^~8AL9xZFzFoIOqRL=;Pb@sQ5hXm#KILD zMgsb*aeI53C9kb*_yqq%7pQi1e7n@XPoD67uLDzgq(Y7xh5Gk|x$)HT`VM(Y)u>b+ zn&ukp%r2k@HalrN#y4bAAqKEus(#vi` zC^d6DE03WS_9~nEqsEj-?RP^5z$OMkLK5`&2154Ot-ckb8edOS2S>hSpeC(y9<4AE zmyVQMBQGcG=y4yvl4n=SkbyLSYTiIMi<$aW;{?E}G~<$wPhz3g!#>$7AYl~Zd8*#0 zYV<5)*TQ@NR|q6t$A3Iwml;nUr>vka0${P1@{T%L9-sB8d>1%M3^>!FLDB{kXJ&YORK{n z0g|)J7}$P0^MoGh>jmGC11GDJAy~|4M6A<_lum0y{gB|}wZQoYPe7X?kOs`JR-H5p z%n4%17u2bQl4Q{6WQ|=^@UlcQ)+Z3F_@E8~0VVN{^wJH64{L}R8dyEl4H7FvH;By% zcP}LWAhEZGVeLE*IX*wIE2veNfap5O#}P{qW_W1@@YKHOG5ACMq|c7|BO zXz#_#Wz{bL1YA~GtsN06MGTXA0}B~vHq%N7_3$AKgoe>ENebkueHw=VIYJndG6RuJ z8yKyRW&k#A;3y4g=Osc0tSvy)-9D)>N%Ap}2>0m|%v)kOUu0qaB+3*YaX6nLEc8Z5 z2x|;Hyj~gwkY$3EA!`m;XkIHYK7tsQ3=*MXA=nBVz|1<>1=Y&wY-%FODbvYib-(!N z$^V-l`;VKSXa%mXVX!(Nz5E`-i1!j2yEYGot@XDdyQnP{Zo{0$>nmJYGhWR45yhdU zTyrlLvy$~bZaYSt5A#c8j*#fBoNqyt={2%p)dVxh*`ajq_w8zxOySSf~8rjuck zBqJWQ6Cf1OidNB1H1d8E(F`IUIiza@w<;-%Ry4(w(5_};+CnxXiu83X5yluK{y-O{ z^^#1d@)s$Sg*G%4n-o%BCPXkGKRh$twhj)IgXa-I8ZeSm0_W=T9Wl zshkjj|5jFJCW!{_(rNF#U%#^F=7&u-NJ+i{gO|?1243?UqKx;^O9x^3P3pnIsxsvw z!#2bAizhpOS-;jkxyfmuZxOpGgSteO$d%L*wI1oBILT1oMMBDi1`sgt9yZE}{HU*) zlkymmXOk7=)@geIh&?swmQ&FfIGvj)+0L+|N;#Yb8$qg_-{7I>bOEy#p*fq?_#`&w zq)BGj2+<(TlcE^BS8S|Ah%2AuSHbHMV(WOajv#LDf&@MgmjI^(C3b}vkBLiRe`Xxj z^6DP0J`XKniE}K1$pF_EGqh$?dXGGz(+bwt^@JriUVQb`-{#N$=H^)3s&omBIsqtN z+5ytYL3x3`0ujm(R5Y+?B_$~ugA8hVmJs?ZaG@3=RY~?~dmu4x1nVZrKCRtlWLTSS z36pG>$a+RcCU-DE+)CI9+Ii?E4Ft3&0F4TxTZu=NmxolQm|m%JgvAH%AfzLSNgc3S zFi|h)X#-}oq)q|?H>UUj9V^?KY|Hcj%O)0)y(5X!C6PKUWYM5A8ZZg-2#r{PvtcO6 zg&_ue86E6UQ9eS?bK0`N!#!Vh>*oIW`!L5{JAePn> zOQ9b@Dv|hC7{~r3gkUNql10NRtfA}`Fi7VZ0z6S)GIcL8#c>(~lhjd&1Z(~*Hvk(W zG8Ss6B$|TNnjnB6gvCchO$GQ8v^@!w@`hxlh_o|F_T)nSF;NgwDmQ-n*#BMquRZW` ziCZC0K_oLqiz*J&-TvD&9Ag*SIU_;Bc18ngc`qpfv5nNTmD;Ok=gH@mkgSiu)r>Za`>ebC1-;I+yvkW@3Ude-Ws3El=+sccLh52?#2i(y4+vH=`rou-ZE`3@l4Pswdn zZpDDv;i?9PAg#PXZlGCR*g>sQk{(&nK(tAuSH=v}r3id1Pus0DkcGn4f4;l>;PTJ@ z^|2LNA_$nbCJf{j`Zt;vU>dX!Qt+OMl~n?C9bxhwP3ILE^HL@;39?b>v%@Y+jFhrM zm0R`Db3-9vuW^;WVkv`?IhbvLSpy_Hq$QD$I6*RC3!B^kC1 zB?Wd+38E2fcUuQcin0i9LSpI55|J#DAjQ-J`f?Ye0K!ZI2p9Y3`jrf{93nLKq(L&B z(IlFT>REV-rI4Jr_>^J8@@;o~&+v8q@8NfFOR{fZ@XJYvW=Y=8h>d%QvddO8Lo& zuP*GlEdLE2!);~AR^aX{uc#W9pv%t(-CHFy=CJKS8A7$=LfI?auLR49YPvhK@Kngz za+w}~QvKn%0~k6SQ60ZlXOt)`sxHrX>8TE8ChsF|T-P@EpSGcVdG6BCeN}Dv8@YJ) z^0}Neo$Gg{-Hm|(iCm8YbkDwg>MdqbEb0uLbN&k2Qx%R)=2prRZ#n|>WQ)uahlaH9C zDtGs}obkNT?5KJ?)2a;T3ZbdSKkc8fO(<0_g@oFzy<$@@b3vRHr^sK~Vk~ZR1+&`S z{V44htv$CeW^3MbwuR4fe2TQ`S-0PlFAnE2O#RRJQ)FJvAE@Jv1raK>5ZF$&WVa<( z$bDXi;_g~gvG8{JiSZy^u)WlE02PKWv)#x^lINe=B2xh}^roZS-OF7jOK^pwv4+1A z>h|VEvZo74%kP8?uDk2k5NTby<2+BT{+=_<_6E+a8k+4*>3-v;jRXV&0Kuh1OZLiI{`z-65Q}*iH`17jkPRCc$M{IYbk703U!+XV_gnLzdIlV}J zJg@hK;nbGn(x01m>c@{Vqpo~qk!M>#_F8(C>%10%k{tJR#Ol(~o}Lyaw;y ztlMzA{!~-hN_U>&@ue$lZ;nSyLqR`jhlOn z$ED+ubGD7f?aEweZTO)Kzhl7`Ktq27Y;8YXo<4Qov)+ASF2iyFNh5M-t)rqMMl$K1 zfl8s6IiG%x8N+SEQ|o7P<5^~ws$YXjn|mycYnXKU{|&T@Q`ACm&7foNed*S?-l1&W z!DfC!F6bXJ6{a?n>I1KxOnGSEcNSVW^zyHjSgdq=b*PZOw%NR&TgboPcwS(hjh;WT zSfvk$;%M!;Y#e^bO1sW>J*t&?mjMGY<*hz zyyd<;zBw@9j`tX4PpMj{U%y5$$%k_*XIq(BslByWZlPQ8oIgXKs~@P(??SDU;#1Z( z*myqAUeUiYxO`tmO^^2^Td=ubuzt90aBrC*D$V8i&SN=R`W&(h=CoCk_y79D#<#Ls z_&KSIp0<`1vdcn)XX_6YKH-whs4#xYQpYwfq`sHgCcj!scXn7F7MZq;>57vXOs-K{ zs0h$>_(4>H-VPmazAV+D-E(B#pPJ0g?6L2=pJrnf?t84J0`Cv?4=4wD{HbT4j%41_ z55I`nI!6BGKCk&HPV{tJikfSiyGPU4f17pmj?2q8{OzUzQ{2$ccFtAm0D8C*SkJ@? z7nE{wo-D<02<756*X@Pto8T^;Sr4r4&JaF!e~a^}5T1LXGW}I2Pzeefp4#4g1m_ez z;CL0+;+#Vd;6rRI6n(p`&?+2x?E!ogv(C8}3S38U_-OH#r?`c}O18+@?F!&QjB6AxGOZ1Sb2(Q_;oHVosC`Qd7xz5m%;C5tcuB><1x5JBR=ned^TWN7M+_+z)jM)0{7NrF@92!{|Eq9)CZme@oWSy(t zSb3N~npX6^#M?faW`cX+`vtD&UkF#YTDT1lR|!us)^5`Sot0z}?nd`_wlHrWZl$6x zv@mh!`y+KGSK>isXW~KL<$pbhZJ+C`gSQT6$egqz@!)b{ZejYIlJ5$=TSz{KV|Z+A zZp^&3clvv`ZvOF2%O~?v7pA7f=0`M}MzS#*;Tc)i!2tRrpyaJ!%Gh*f7ale&gY+St zWa65+?AOTEAS{wqO}aCisPACKLD?5!#2N?D#vWI?lH@#mj0@T$1{}-NKueRzZ8)g} zii5^`5ea>yR%9|ZG2e+0xytFCg#B&-3h=2&Dx2Jdml@j~D+Fz!Jb>*TK*WhySg6GV zEg@7QTT7@a=2ktR0*jCjq37^4J>gp^k9AH`DwzsIZ87P!?DL40t*J^wmmnn-0V6>X zfF`C0@Oc^w@NI71NasQCH5(>by>3bms+IxN1*MYuB%B(GbHCB%Q2BltYLnn4CmQW- z?3mOQ5kaT4W9^4?Z|%8q?z=%-h6n+zk`#`uAH{Z(V>Jdv!->eCL=}zCEA}fdLqf&QfkB@A70|r_}E)$9gylcjc#zXmfXWGksxgYj5%~GLI6hsYM|u$UU2v%k3D3-OF&K|D@tT2Rne*$&U>{GhOWZPlvP;v z3U-+wu0I?&nVRy`=Wm`UgomoZt2=Bpp+7^8J6uU0E@zi3hUQ1St)-4)yugUUT8yg1 zsK2a~`_1fG^)tYpHlzdp%a0J0Rp#&4?g^cir#n|E$7vx3J3`8kzx+<$@yR9QWZa*Q zn^`8WaE|v4>#ms8EgO`v@g>ru#R@qo)UtGKK|Y$ZfPiSu!eJjrE-IE)Ffm67_< zk2teF3Om4M`6^{Rw4eZWGq5<8GXdJ6LM(9>u+lb#o$z1!INAu*Rz1`5tplNle1Wd3`M zP~Hel8e8#jfAwUZ2e<&xJW8Lk+xb=LkDuB3-9X2tXYmAEH)1=_eZFyjH+|Mw%_*eN ztr(tY?|xqwgO(LmCm*3Js2BP<-=RqDfj=vs)sC`4iLwU3fG$5G7xr^GRZ<-tk){Kq zZ1pL>GBKuBsrA*|aL1%{rvr%9X3LN=Z}RhFGgH$kiaX{x(Q@W>ej&A& zAEr@ZJ3sSha^@_c@P(~iPuR+h%R!vo!yQxQ#xJ;NR-}V}Qr@KMtm!<8>gAc1_p&Y2 zJWUrUsjXh#Aq!rnls9pOGW3-8o4n;6(~7MQHfqfe@ytRh{bYGDTff`#Bh+&@P%PMi zf_z;(pDbXqnWs%4uNf4dYzmkZX@G*6pL-AHBY(QyL|Gj0@d=iCo z#tV6Vf?ezCx5;%jIc5K}dhNqvvcT{^BlpuT;oawsM=wCpYmAHL8W)NDeY{@^sc9Q!&jx)6uLG)}^<|S1js}EUEYp zbb32)sZ>(iyz5X*Zf0KM!X}QJ8oCv2r!uCMjpiS;2$#bYcvG+BVoPKb>ao)E`iQ7E z>Ei|KrB=^!&z@HF$y<>e&(M=hV8ev8Yhs`@`|o6WT__JNI8xCt+o8WDlEbJ<(tH;vZ*u22_TsqyoU^TsVpeh z?lm?SMILgxGDzr`3@r&U8x4}v+oPaC!dp2*JzWRuU>Y4(VH4#|6H_Vb9%RdrH(g)v z+nyZ)qK5v2$XdTIS!59HcCKVJKZpH>;;DU-Et_j7B1!XhELgMn3rqz1F;IghZUxoI z=OK4S8JCJC*t_)lE|VNdRh9(@Zg(zI#zIyar$Mb=#MMu#W}~P;O0Yb{tT!y+-Uk*c zU;dWcb8}2r@~Qx?anI=IE+R6ZrYj;Tc|{Oj4j1E(=|yrMpMpdKjR;EO2hOvZw35K|S z)R_qq(B>i#57sKBtie*;Igt-RPB&MJv(XZk8!S<>7_a@}<}jsH&@t00Bgd^^PJ}e& zplLneB=5)cC4^cy0>b21lxT1uA5XlZOygT!_WFII44@MEO)j4b$ps;%jzR$RDo4Pw z`S zgd?dYxX=;mHaUU=jO0+pP+N$23V}(cXnr}W10u;ZN3n5dRu<95G3$%Oum5|`KX?D_ z<{+;CCEn%gn$CZ2*gYKhGz21@@EegA)qu^t%NaWaBA%gI|Fiq5`yZCLZ?*)c>Fd_q z&$cYOa-S7l8xbOHRdw!#)_=3$bR9T8Qa20(a0N{Yajn1 zQ|B3)f2I~64Cl`}A&)Wfefr~XTD33U zAHd}24}dC0*_H5Ei}3EuKsh~}+ljuy)B)rFW*#k>1;LWhdub;2-sM?P3-d2POAq!F z`YTuNqpwAk>DoW^f&%K9!MXInmUS^UKK(#N-rMmi(F{$oaBfDTe^D9tmgAg$etkk< zQ?SEXKi04y7dRiLk4MQ*t;bArnIPqubLCq4qeC+T@nFF9i%aOGhqjoh@a5NkXbjvp zHdP;6cSR|{2RHQ31p^j&;Hc}xOUBQ{blDXLBv4vGA4qVNMmAu8=Pt2T{~H>gqcIl8TmG!w+rwnr1#sD;gV_ zODjR$j~%&hXKl)tkZHehi!nm1eAGNMx-W3)#1yQQkQwOP-mNfAc)Z{L$m081^7Yol z2|})&QF2?vNKR9oEy70q(zV}~Dy2DpQH)g=xck?B(%0C-L^8Qk13RiB1NjrP__;_3 zw06on=EkV!PPkew}OQBjq!1d4MF@4xk$IR_Im|k^)Wpy5{M_jbl>OF*ZI! zPC78NuCx-uykRb!)0D$N7qY1`?pQu|sMycUD&)V>G2WTf|5&sRO8&Ld>axg#5$%tlfAWF|dNZB@pEc7AN9HxEs8^3g0hM#@ooe&|v9P(NLrwN)9& z$zsfI)LdGACmT!sL_bB()6=^*Y^TOL2Y>>Qo(dT90#C4;InY$hU)j*ulmDqYP|AHk z#m}yxGHTqZD0aU!gU@cN2W1kf+c`O=OdlSo&dH@hp)+OHi~^s_{AJNUPw)Abf8?gU zR%3(5@L%e_{!b4xbM)b+7WE3-rR0}!IjX0qi!@i7{$jh{ny^)qSl{1R%l&4~4<)Bn zvp9a5>+}vPby3h^?kz^cYpYPHX*_>IXg;gtq1XfZm<7CJ@R6a3Q@bcn`=i3$YsySg z8A!Xf?g-QL6Wlt)z+B=9Io`W;$Xj#&QvFJ3 zZ$U56eW*8QMxDzJ*koq9V>LylS`agD@uLp;`Q2@mw8`qN25tKo54Q2@KC!Mw1AVB^ zQpELb$X5nDRcX`1Oq|ul@}l*zon2s=KGbg+tfrk*sDrU)r8BLj7`VG=c< zvjLhHTnbg5eHJwHE~fd3rkx;kZlM<5>7k;a$C&Ov)Pdj1)30L+aNyBDUNQdPoc`sT zX3>BO^<(;$DzO9|#@wI;+2K(GyWBM-ZVD-&LPyvaRaR3ki{K*Qk3||qXv6X#Vg*R_ z!<4S2Sd&V~?ao{1ES8n504GH&06)OVgK9Y-_95xMl22i|Wk??EWI0*G`qX710e0$; z))0kWr%Y|oXM~^)L9bI;9`S7x)5T?q1W_Zg6k5e{p^i|*CuyLEJZv08$krL!&4M(^ zmy|88Mh3|LLoXUbC|_AgE>o7dR`TFEz;b#EjUjAfmt!4`ou=l}5jH07~JZ;lDEU8gqZBbisYW4Qhew*w->P;Ujeo~tok z+6nu0S+|O4gORxB%;%Rj)(wa{h$dGntLIJ1b3>Lt5R2C1fI!=ny*Y&)7jiA z1>)#s2t}!2tqTIFH-M_FFb3~KVFOyhEJOQL9TyEwW39YN84^aN9>G-uTa+ufYa-R9 ztWv`1oynW914XjjFv5On6(G=B2vrXnt^W-V&qv9$03R$}$(r-JR^Vx?V ze7HaUL_zt1S4%tZ9$P!zyRGX})7AY_3CHD9=SGk2-zClR3%G+`sO{_Of{A!V#3*x|r)o_Hb=Ia9~!D`mB<9qOyQi~V=bC^NIt6Pxyz{XGtSeWuDk$&BQB)cPanN10~* zR~_uRNLgv7@+tBQ_Z_s*lWU*x^YhtnZurLr^&g5D>Qa8y<9((hCN1(WZs_~8%lsEx zi~7gj;*Z2b)#*H0ZvGXDmzh0ePLtQi+?rw2S8^q@W9z8saM}SLotizJGc`)Q|_4l0=>XhvcGMw+i~Vl zNAp`1@w2sUf6KJy3dTR~xPI6F&HuOkrZHEa$x%vW5P5#SsdxDAJ`92E*K(meyS2Hf zqj&^;*<$Ipe9-*KogYzS9W(B+j`^HI`MK87{LU}S(O30;d1wf|UrCdif9S z6S)>~K3Hd&oL69q9~8%;F$su3MN)40iNDyI-kj-B-|pf*>h)G)y&7I=9HRB1+JTPm*8Ka+-T`4Q!qflNfDE)K|-*3#~KPmf&n#q2#n!aa!1ngQ< zC_nLx{G98{a{QIcH^qEy`>-kNuN;_F;O6)K2rko_vXb2gBDsM~|4#as5WZh+{LZfZ z{^owjK=^kx=PKK_XYmV`a{5Bqa5w!rm#}MGDZNY0c+Y&^@G-kwKW5pT$+AD%NJ~2^VH8b?9hwz3fsHJ>s;ggUPq32kD_n-`Ai^T zTkf(t-1Bs(I{n{iXU^rybiDA2E|&Ub3#7hY0kg{TRsHU=B5D^ob}HTs7irvBtUhh} zV%nASkGl{!lJYOq8+HZTPM?kA^saNfHTRTgUGx6Wk)MZ46;-le*AtW9%c;xebsF6Ay)Dn2)+ss*)8(akTEXSji6`9P%uL|im9(~+CI~wbFC@h zBB!13!8-vSVdP?H4ES#gN`VP9>$}7-#P9X}uD#BwUI>$%pn`Fdaj}|t-qjjxF#-Dv zwC1oaOT`n)_Ly-jG&QtfEXsoaZ6{9z=g5OjJZ<`l+|RuoYzs~GUP8a>+$AQCco=c9 zk+@inAZB&-jbsFSH_zdJ)Zc zt&2R0#8~)T#T>atD9WrJeo-;IV5i~5#2XJALBkK|>q_|K4`$^euGIzV`OV*y{Alss zZzG1A=RqP}0ZE{jM!$0Taf-=#s|$QKGL$b()+$UsN@Oesb#qiFeU6<|7-zzlf$Nck z7LIUH;{%Xl7<^5PnWhE@ASdK5h4!{ma-fqFJdhhz%$VBzI-LxlgCGM558x}x1;*l$ zpbV%=@`W3T3s8#%5FTX=?XE?52VpWT>oR06)`p}a5(i8el7C*9nK#EE=b+RBmBW*5 zgkD~A)Em8&oXBA84H=sg6B=rSoeyIcq%a~ck?@Jqm1~%;ME0jlkbSt3!zh5InR$i; z`+?goSob3E0+Vu0xD2uwsV;VG#G92!V}S3|kHGnv>Wx5!zQRglow2YmhL{+de1JTF zX=7IX?kYYHOSTGT)TDP)r6Z`{sjCkKaBv%+NM^L5jih~%d>(~2b9@S><|s9nR1(>Y zHCfo+Fj!zT|Kl&uETr7|`FB@ijE8j3oyxbn`l5kXPh8*z&Ck|ySHqX&=`MFE{bc{$ zN~wCcVm@X1HNCj*;32v`uoL>ES!?F~g|L7Y((zC4_M5YtW+P9VzFY^ykxy+G=qsj@ z#(-zv)ge>b)%~XQ_y-%w_?f$dMN$rchA-M+x;aH{7}JP=Ll?M+&-jyyLmZ zFQOxgS=sVAAA@Cd0R=3BFV-~;!a^FEuPLI<>!YIu3ZS=tI&KTEnd!&5D87>%kFlVc29HjU^*aWsEuwjOuF~LzuF+0+a8N5?D1a#$lEDrYZgXZ+N=0$z4}*=LMKuA-0R>^x4cvjH}F!tmoPI->qpT zn0>n~snlOVuCtLR9+;_nI}eiHX;{vE!3UM5 zD;vJ_en8Ibha_%WW4u3?Ld^AyLGvkbZ=jr-;wEw*KO@Z-LkR4zqoQLIafc=2(c7MW z@SiVz_`BkiU9o=|+|yhtz}orhiI2H&pY=1tfpw0S-V3j|3)8{irDw`&{b29+O`lt? zTh|VE(jVO!$+ZeM&0R$2X3x(?;{Pc17nC2I;TDx`si~rrwO2Z0v@D7^4&yVJ^4{m_k$ob%-(%2_p z>KDOp$`NxFOLXI3Y_acwodfM%E#N>U>JTTbTbhf>RjQR(5(BspN_VGBR)vu>Lj~2hL zKFP0TV8Xd_=V>~A_yY>o>DoK|wPlSN1^?l?=iT?`cH93P`j?p-oAa4lW*AJ@%$Dp*Epu>?b{on^fcvI`gJ5>Jm z;_frx4u1h?yPr_4^cBuNpZ)S2btL#ISTqV3uh(1Zf4bZfC}$xR#ePcL`dd1Bqm$?4 zsR&%W@_$+&z{%q8r|T+gSLjaq3M423`qPl|paL|cJk*rz;cx~Md@L`JO7nl9`L}vL zRa$=ghc2*aw|fo!5Li_2&4P>f?A>|bJR!9^O8-&zR#Uh-=b@YNn?;ySl89x_RL?o@&)_s+&&WP?GEczej1p@GNFK14m{|96TpOPS1K zgSsPe*~au&-Z^cXFa9lEM^DVumOXy^I@Gp~X*fs@e0n_Puf-G`goO4V zo4G+$NQ$e&hHyirYqSRU0#KQiW!N*CQw;GfRJX!)V-=PMljzxx21kEC7c?~NF$xT) zYD;mZ%8!c$!BJ=o0U*ktfl@DuiB-H)SX)NE}5#HDluLUpIW? zSV%$_F6_ZV>c0wu?=^l#+&kfjt zRKg~TbWJG9&hj!J0x9~+mryUYLIQEb2&GF-M?>~rVp&ialw{vD=t>GfQBTn_lm*ZT z>W){8YIzy{iy+2L%B`vo5N;ZKxQEdi`4x&5RG#{!V$in=W3-e}2?+=;;$W|cJRlm; z>hv1ASOidIvJYTzETPBsevyQFQKY#3=;1TRSgfysQ z#}IveW9>!VI>XIRoMb17m9*9;5E?t7Eaelw)%xHYJTalMg9Q=;oA@Eg2lonm!2=Bp zF?(ZdNl7N48WnC!Fl6L3B4~!74*fg3Kz~#$fxgs`_}QNLEm$P+MDPgeCx|4&aH7(e zScZYK1jWhlIvQQVi0~?QLhDO8Ke>?goA|H(miP_Sp1SsazU8v1!1y7DdGY^$>d=du84rX0TJ{7LZWalpR8Ce4D;{AMG#)uIcIaJmh24EwdE{%u(NpHnVaeS+L_Ba=Pm&5Y;G!di|hIb(8q4z;ao#sx%yo%x;`8U?>hbU zmKTSPnu_4bOxrIXF1Tb)XW==!jsx}V6!(p((iJ%V+OFEKw*{W%q52Mh?FHX59Hx zQ}w*_F4MauVykOz@4HS{_+g;?8IRt7{PKo6V+kPsMiP{{Oy|7#L(5U);|s=njN9VI zyPXdw3}YtWzHIt1oH#6GoZs@WDKfw1py@O`5lzCkErW1IbiED7rNeP`m$*yY01>zT z@YLWu;QO5COjr8v{jB5WSw+CcA4;I#TnQdo;V6VIivV{kF+tR~3)+G~a2Q-bbw*{N zZUpk?Q$rAAazKa606JX1GebEN0jwLPv{GL=tKD@-eGL}AM9^ktp$w`WCi!*%;AIi@+K1RWGzkbEgA zD|)F0gpac*iZ4NNMj{aILkG73m~G*39**}ploPNJIHyt6HS2^Z4!Ce|*p+Yz#7ZuA zQD&l_kS7BJYL4aFj8pDJXir1-V59^cg$`mx^d_;0#s?`j^y1V(1%3Qd8-&5|+RBoJB)$jMIAC&@&jY zaS$3$n-*BJ3x*JwcA>!A2qHieJbigHT#Hjf+tYeK18j)drNmGi&%g@s{OuSv zB}LpKdh|ykK(CF9wKxOtdtcFs1H?HPe>0m`mgkHicj;a@V8ICo;6G7QZZHxZg$lzP zkvI&W(R~BGM;Q~%8#1A#3?u~-%~ ziC@F)Wgrp{R{+{l*4PR7odQA;Sdw4~AqZJW%#d)?>SXv1k08cZ)=F9eAKXuV&*4 zH40lS5)o};ga%Hfb20ri-Ofb$6`3ae7%$}S^9>nPs<8uj;8@!&=%)2S1LIHb;p+MP%vCC6zjT~~z+!_=f{cQe zH)ykEISj)sETwr_){`FO7_#b=uor3)8QA`S&l9DF4O#&Ntx^3noy_v7kO?0I=(vXS zf>(mh>nR7BOezdYL!gP1P3Lla9iA%Y6%Wss7{cA{DI!7q|PJ_&bF zo9TmltuCpsTpIT!&a)&Rt;KL0EoglN&7>^$%@w@--zVYV#I5;VUY;uqGyp0{oG2Z5 zmfO_?h_7-QSw+c7(hs=XCWSO_imXcZD-M^y49pbQ{pmMH# z(!-#motXbZA3?>|CAhKUnp77^sS_u&Tlsi)={6%mjd`z}?^&swm~2-q zLUGjF6-u@q*XMCR6=!Al8(7Wii;BueL*tu-7rY%IxjR$}wi6D?+Hf(&Xy~%t(7l@m zyi&d+oX;;X8B|*4U;*t)KF;y{tZ<%_nv2|UNY6dRSE;El{wPO6S9>v(_Dlgm?CAHm?rID z@dE#ZN&}}Rs_ax=p8Mr@FQuz_NN zgz%WCn88!_U^PXJ&}odQ4N<*fvY6%YC<@7Owv@KgO0QLhVsDEvMP^Zp%Yuw{P)Fa6j2pPsmVTQ0+CM6uins=*y79?G<^w6?^I z<78PC>H9YmJl#IP*dXDuu@aA`Ga8;K#Jto(2S_7H%NpVQAgb2H!wh2((4YjRC0R+@ zWLEoYWlg|sRcgz*h0|24l7Jb5G)*X@21%6k{ALV+fh^80X{~BOCG#_qEmhNONG{FpLIZ;P(Sd&1dD2bBb zxU1{~K_!%gEK`y|@=^*C7{;hkC>aJ%RQ9aXu!JZl3N*(M!AdHmTyrj_{wF`5z2~mT zjsN<-IV#?gyYo!#AIivIO=GFqVp8+`>vO7(jRqge5||W3U&hXhX>|AK%n?%ZQypR& zl!~WQGi*HqubL~I^3&OQitE|wja8wBGg%i;;(*GnppB&HY*!XkJ2Ueu;@T_zpe%H? z$l)v*^%=-A1If)0C314WnFR)fo(BxWmV_5o*GgND$K66li5|2~oGzpa6h>f6avIkv zBg}e=IS>9)Qe$-GNrKDm%<;0VZHzECsxsT@(hgSDQ?TMgv<{WF$;8x#^bl%C!+Cv` zHiwrmrODYvLP({m& z1mOWLPRIzaw=sk6DI&gB2JXgszFIZ;4Wdx6R2pRT=uW`^ssD~FXKA|9kkiQ~F{#dv z%wdStgfQ-Sv*`$_InFVYf-eMng(9*@D+qQo|>`*LWxp|iK#?=gly*~Ekc>0YzK0!KuY`3V5PV3 zl@HF*$Jm80yo)1D*QqT04l%9!FkG z-p2lslulDsc?FNJimC0A_|iInwrh018gnl{e0I3Lawa5NM7iOu>7M9&#P^rKDRBE_3M;}z9#*Z ztu170RgxC&Ebmej#=R$3;vM1RF1w&f$vLYr|EU5 z$hLKSm|#YQQ@kzn?H}J5`kxy&{^$1K5Vsxkva2%C=;pTM5Q8&4^bWC)f33Wo7}zF| zuQlrB_ubTZF=zW>)j0Q%)2DFfQ8*9Evf>gzMP*iE(&aXSis|7-*vWMvre+ zrAmwGBmm5T)j;NHa zmCAEeb*+#U*3so*BXg5Ig}OpzLw&SR)(b^CyrUof?sPS7rC(_0=n^xVh!O=lj>#?K z+(+1KC=eas9wV#47b_AQsH0tu6oCf#$5b+Vnd4oM!@^brp|3C7)wqggnmMAskf@?M z$vwhuK|IE=BRP_t#XzgwK(xsX-AaM*2u=~=OAL;!PD+SUA}1C>*@;Q+0rN?*gy3_N z+Yuyyv0G%zgXcs`sq%ZFJhhqNpo&yy95xT2N|r6D#J0*XYAwZ1FW#Zu+DmQ?#?>$~ z@ud7rZDWCrc;+D3#_8rSH+AK__5rRC{@L@<`Ma*#p19qzKypn0l~--+BDvSKtf^L) zRhG@g_wen~GVHGqleCbx5}yOAbCNdM%4;R&4mLb0m|Gi34Y7c|Ln&!b7vg2vOviB? z>6R2)St?Di2^H!fodThd?l{1R67G~lo>G$9o$NA79aBSfSrn2x`p_5jQW?C-{gnYE zktKR%)c_=KX;@KU@1z-+^GktMA!uLG%IbN%IhoaDIe@aZfLcZr$S5v@&`QW=V9JmQ zvu+gZ5=a3Is{-3h$}I!ZInGg+Y9N?0s=RAKX97n+y^K_WO(Mi6G{JH}wY*LOP^pV{ zt@y>gfBxV3@BZs{gWUP59LS}zxV*+3s)<-mNg^bQGwGDckmtHb$}Jfa+G+;&+u$x< zwSnTHH1jwf=Et)cYLY1Ns10603FTDwp=#13=B zaYw_z*oP)%qEbIeUr^Lxs#>ugmPhqC<0sFUo&rlGna2yORdA~snV=-_fjO&o&QuE_JM`Is<*ohjT?G8K?&5Hcsr$9Q>BMLuiOW`)~W$7@2?NV=^OYa&~V z$HtbAy?eV+H490XpW-mzLp+;W`v}Q|#G`dRa8l57a`DP1P$;h<&-?P!U~KR786MWtBon8kOU!w!7P!l*Cb|tT6R*WLYWQ z*(rp_ze@RcrCkXqZcUI(!<>}b;7=JO7NH)|)5q}%IgfZ|1W>o7eh(7DIeaUG=t~UI z8al_%NK-NumTjg-5JC=6a-1}|x{HZhAtH5!l8oa6174!kGyY%^l}40vsmp{6nQ2o6 zD7|ZIY^NW5c_~fGA-ySQ5wE7=g>=FY%_aKrD4E7;Z3eQG+AfY@^c%jKp=g$NY#c}o z+Y6b&YQ#REJjXzAerdAIwl?-XM)t9(4b5nG@JwGmdrq#>E+Uj>S#mwQ2pBJ>&qW}^!xix<8{hf zp-kx-l|7~nYI!LH5$$6V#gGAFO28m-mFi(~LR76NfriimsvAq4vx@N>xB_|U=qnkR zR@!a$hb7hQAcYKaRFRpNHxjRx+t^BCPR(JC^8-EsA5Ev@+At2Xg;EjnunrjC8>*Q0 z)HnbE9X_MF>WHoA++c$KRahFM9HNNk4F zQq>k@_wuaGUydbmnBbjEyBHL7tVt2119JScb~gjd6O)i88UT?=I?Plsn_Z?nF_J5% zd*hU67on8#21pqjX>$a%8(FiRGT0z{sQ_Rp@zN=#kW<;$Dc-?Zgk?mfAl}JqkKvQy zME2&9-*PW4zxmnsjaOnAuNT<(9zgIB$kjzptIc3RRl#D4pqNksRjb73D6c|!A&sju zz{C^ge{*GX$Ev~dL;`b#gOWz^w5pxy2ZRZ!X%#i>VsX5dkE>hHYDtzG)OM*0!E~Ca z44A?Eb)2LZj4nYb%pj~A#44ehAWVuEY>FCQzYN#0ggf40h|i+IC;}F)YBF2{NWe5U zpVbnk22~3;n4s`ES?3u(u1#4&P~{xU13}+_<7Jl7lS>7=pDK_v3voiJnNO6bi8%Ex zns!#RawWGwm=HAyDM(qOnwOvaY4rJD{`0TDyxj=#EOCF5Yyd|X*Y8e3vd}@v=A=|P ziLU^7otz+QHI=ZZ3oOQ+c0&?RFa=&a_0FNT1wFw4dL?jGg`IfA1$c-Kr;C$u^KmVJ1uIgDh)7S$n zjb@i%P?gfV;uJw}$M=%%I0Ti#!San3(BjlUzd7EZs>^r-gzvk_c=HUy5B@HS+~Pt~ zFj1>HNfFsm&Mkt4+&*GX&?bU?vJ|2lW2|A9nTxM1jQVu75ogt2_OI%N)rr&1{C6A z2GcIYC$Xd!jM)6EI`(?s&(>L&_k05fh&}F5;#1W)Rl7iR2r1xfjSEu(mW_dT$9Rspkpnl)6Y zKm`A|Cg6>EOcrB+pfOHeISFJh7_?-whK>(n!5nAMloScSTPiLD6q3BUO-||s|9pvL zpfyRG5RaM7f;Pcu;bC#sj)3p4NyJQ?YEU&$uozZh%Kh6#*$t#I73?d}H8dE8@T4bj zk^nGg8t7b#mR(g&B)H*bKpMqraH1~ML`v;|mE38?lwpzO*(4y*B`cbcg0<38#0^6- z38@)Kfk47|?g>h;VlB+A>)de$MrkzL5B+m|`OX8H+mEmT&`6pRnN8&sNx&mQN&uj^ z7sYs;qA4{j8ZoL%%j4xvDIO~Zi6+O*&PrN+Om+v{3z?Bz2^mpXtJpkTJoHK8O~^!7 zv$-;r?x;0rng}aolHr6b(A_kOh#7w;Lv5n9@yKDeyGuI-k_wQtUvh)vMYFUZjzD$Q zj9COv49^R6VF@t&Om)bxSRfP$iovKQ+Nws%ynx9I09&q6>o7CGW^7JPsNlsVf}Qme zXn?0`d0I@W)g@@pF=gAZo4_GndXnKntQ#y5tdz}>g0Pf{Q-}bczy7xyoXhtf|Gp)p zC9;CF;2aR*&6@aBg!@(rFno>P&m}PCaYn#q+sjSqNrqaDE#}qIAeF8Fz#T{4p+I5{ z_<>T&H4P$+Uz*L(fnnGq<0(Ci<&*)q!UEH%9e0;tMkfd(2ASZ&7>5?B<4Q_Oz92ym z2bMij5*6wcARR@;Fh*iV6UP{7s8Um|Axg|toYACVO|pkvluf|@jT>}Eh?gmaDHkV6sf0=0`Ag;736pqYd4XVjpq>soVdlXK9biMsfsXbW|%m} zt&y2NMtFkjGuYb1I0{(c>LMl!1y#nKATS1_kgkAQ2q!1R=^haIt>tt=Hp{Q%Ys)-p zT*^q2S}oYk3QY~G8fgK?#NDM)kE=nz!*vfl{@};Ug^KU5-9_<)V21h5t?7SRX;Uee zS(D^Rj}4Vcc_@AZa00Y8Bp3iROD54Yf^otC_l*I3U>AvVD4`q!T{E_D(Z!nyl>;)} zaz(XScnt`HN@yF`#6PQ93R$z0mKoDh=?npjYcLDR1ihC84aunBG`4as#92Us^D4WzI!7aW+7&5LY9hc7Q5 z16APGgVcl#MphHW_yQGX z?u9Zip}CQC6mQ_6wj)Aups%RzyoEvn%ew8_c+BKUi)j^#Ld8R0GQe_VCBt!ANzj}V zHJD1rCO1soaBU3uwgsA5!7*+boQ_V(AW76Kw_=`_aIgo~NG|t6dLd&sGeU`*2Gt|M z4X*3gvj}iXl3_1SaPvt!gz+&s!LSsA`*WlDAIyD&?Mqr=c8)!kkj3K-KT}A({h?aJ z)ebd{)2Xzr_f&xuABTLV4z?~;O|~6RK4FQp6{_^dg0DhdmGP?|^#5W&VRf@=3!ONw zmZ3VCXd9@SR3grgP`9Hv&(B_@XUKm_WwvU+%Qv9TC2O17N`?l-!5(g)5+bRWIF4N8 zYiHF$`IpW8;reWTu!{ab*w6o&o@kvVHmEhFP}_w(m`8sbe3n#8tV-`ag$SHL-QirZ zjd59o@Il;i4wZDe>~gm&f3O&wjhjr9jdPy6CAMLAfAF;wpFo%jyS>#H^#iJT%tuX5 z7VLTd@axR6^rZ}TQo5S=kLa7w4AaooJ8*>V%Nl6zV?G-_^IF47wva4LPA-jG`NGD! z6N+x>=m+k(UfE3kAJlBgXZg%**Kz} zF}4UFWLo>EW6rrYi+Ie^#GT_t^Q2+!SY=dg+++;xcvzlI{)ebc$ynM9vH&j*AeYJt7x(Ct+Du7{^#|A2E&k0*z z7nk7TF0!$Zc{3$n@($!NSFJsK9wqHh-jS&rxoDfOc25?Jm{*-qJp(^w>ly#au64Ov zcTj4xxEJbU}S3Q>c^_wQuyv5I(d<=W8@S;8PISP}O(HA-M90NivX6x?LIMFIM!HEA_Z?f?$uOH!IE!d>heG86;Ehjt+Qq zEynB5y)T~EMJ(OKR)2YTrBoH#VEfM%)hB1=^3p!YybBUuxL*-&6XCY zr=4>~1CNZ3Wmk?CsvQ{_0#T#OW^dYc>@x+=WbbA!bPje|`hQy>o*WDu3?I+Ct~`6S z@_S+3*`@2eO1xRML1GRSp$M}9_v-4Dhd=D?&Z~}yxlDbSIA7*^wc==6Mb(;2QvKVO zYi-5p-Ft-jE5))Un0@|Y&G`Y`;vIatHrGB}NnLc6^xF(kB{O`+Wvw!$tG2uQha}VN zmGmPQ#eLCpA?qPO7rY`&QO{WRCG)Kl{rNKWqZGAqsGV=cf1sO)Sz*_SnkOrbzsP?0 z_tdz&%OjlEwe~N6yR>{i|970n{EeFIDcNN#f6kI@l{;8pVZ*_ij@Oi))sM>A!U=M3 zv~g^LddGS_wL|tb7wxg$fv&Cb{ldBS>B4U1=gQCrD=0Nd;G@{#@pSohOJUE$t`N`(Is58w}Lv)k5_5U)(XK1z;4mNg&-C%<^^UUmRNoHuUkkcLtusJphFv_CsF97pY<4$LwgIX@>1)5$IA zKW(4=fz&QuoDJ>QpSv>074rq>Qj9ZM||V_2&vb-7h{Dih5$N zhb&6;xk9D8>n|2%9yXr0`~@q~lpgRKJ&u(xQyMxfkK%gN*x;kG&$CU94c+9Ucw34` z9L~0FYk-&4Hb_Doty%G4>3lWl|xRKz(zVBqKXf5vyLh$Q?kt9 z*v1BFNMU9j#|_q@0CS$5&4zCRd2qJ4VU8LKKz>6#-UwUNAY{RXc1b%T z76{behv!m<8V1j^(NM9J7r{QPOtCk~A*x`Ov#{qLj^Izfe}zkkQave$0JO+PsgB0j zMh>`5B=s8exTP}M(5?DxtM_f(Bfh6bvK~5-+t59JD!;zlwnsdEv^lVwY!Z)$_607I z$HeB)?3)!je@9-uC15>bYF1_otEizeW3zJo2y9Ts=D@zxCa8t`JgN%DJ~+}$?Mt=3 zp@a9&Rp^F;wkl7R?G0TD>v{-Y9%QFHd-5XUgKSjlunke3X0WWy*@jX*j!ce@ZAeon zY6S;RBrlU8qtE$(?wGfdDTbPSy6zRQQ7FDQIDBHFG`i;3U;NjNdknX4j%Ao|3#OH< z{DcNLJx_Cwu%yYtkBg-oWDWc8k`prU4+442VgZfU5s+bi5{-C+ab5^>hstEBqUm>W z9a#II>>gu8!7fyQzvd}r0ZN5}jsg)j*zjEpkZEdPnZqo403y#uRFp-P(CV|)JOOco zC=Nkoc(1&enhvT*6e(7}7EGM*VU=PqJ)q!0;7~1u>hen1DBp=0aXlc@8}24}gS8Fq z#ZkhYh**0&DCljT)6|GW90q8e%$h#NR(B{%nP_Aa+OS`7df5d^vp?xB$mnm$(vY-c zO-Np-AZIb5Y9`W4Db+|Ou$@Su(2Ql+tFe0cJB_k?8o4ZVrRF3XRGrmyDN#&pz5dwC z^B?@r?WRkVptymmf^8*HiDTLi->GOFdgC^GRiT$UVpU$3^jKVGk(ix8KZp{zt6CQSCC@l1rJmZ)=EE%3R{ zP@=a@>6dzqW@xT8L~bvghF2;bSg!)NxGp7EWPM$pKD-{a4$2X^Kev5_^aDkwI&_jlJBda!R;7z& zx`rsFYb*mM|M07hyLOaj=9-irnF3Nzas@q7M1%7{>8r~S>N9v^>pgr&^Zmwsh&Rmqv`L-2LRIb7pW66y z38XQ`pMyAq(P5nW3_0$jE(oE`dcR<9#XGD^sG8%UG`eKcy*=W@@gvhaf*d%c*lpX_V3zmNm04E6HbKrgB1uTm=-v#Jl5 z^TEsfaQlwC9Bay>`_!tPoua>o{ER+NPAbErz*Q;Aux4a5R5p)RZF!Kks`p2Tr|Ig{ zNpG+><2!XyVY1G2I1Vb|71h<8?(#utEIl>Sy&;fVe}pNlZY%klZOpVG%cH;kUNsy3 zrK_45=_+tC02)L8eCrS{UjEhx{}RV~W#;mDT}L+bj{eTPD*DOv!lFlcwJG;`g~ZDDHhvtb-6A8*g=x{m?*qdB#`BfQcn&hXy~z$H z1FfQumAy}Zgd(FBYVy4F)L!d;`-eH`{8NsV(jhX|Q%pJiuk@^a(bQ^xH`%qLA3Dlb zy8U)X8LmD7o{*K+EPi;pY=wSnmNRG4d0#H4JTn+j(lN4!9Th2HB z2yLZSSe+}aAJaAT)9_cMZ}p{~Q(L(4sx5j65H{_xa^#=UeRX?3OwIcPKiT~UepTj} zsdOdp&7#JBfIiAMPrc`_r3cQ?1KTjU1`lSe{u!ZLK7RFkInCh2!~MMqI16e28?<>l zZ*Z9*+;{eHfwBiF=b1SS#2zD`Ejd9g5Q(6H zoP*I`QRi$2EeIBNmW6QaDW$A<^zb5&;UdqXDUvD?((|PCm5MZp2jJOR;DBKiW8Mn9 zy6T|(BI~N@2h559zZp&!C((EYs&44ZlrTFSBFflG?675KbBf~w2zS52ak?csvoOM^ z9cC+S)2NwzP+1Awx7UHz=7I=+DbaHz2PYIhM|4*U1Y~$m(0%K4alU{AI6UAsjKX>| zyG?CY{KD_=+O+u%Z&UGskqFfsm}6<0w0I; zp*dT>`53nLVm~&UDzk-)d{`;Y<}OxS!s&TH(19vF9MwvGft_6}y41)_doIy54%8!y zg#DGuIL>DalozFxfzy0D!c&wKJc!D2F(eL9K=5K&xz1An(F#^$gJUIf53_foAqB~B zbsjXDqo`J|?arXA(vbI{pk*9isOYiF3>XEhM-s(P=q5317gOAlBL#e4v#a3|NrY4ll9hW;MehM*|ctgET*T z5!O!V_-m*VVm!L(O3w^#2||eQysZOM?g^bTYZP7p6rj)((1B}b#>OaC32J7eivA!h zVJY#*%VlhhEN79knLqd6|Bti(d;4Zy-dlRJx<2FhjP;B1FPXV5kOwq}@7p;#A>TMr z6&QlNi^E3cZ&%(`zWZi_$9KN}YwM5J&Yy>izj30-SdT9GhivCR%bpYJ#~zqd>)Tg9 z>O1h}{42g!x$DmiK^BX0)@ZrF#IOt0)Nj7P(_g(%b+*{!iqzD7{8)CeZ}TxDkni5W z=L(`nhX8uKdgwSiJCt#}UUkej3eAP?YewU% zH-+Nt+PTve^!!AZFc;|v6%XyZ##Nnov*c{ni`SdaV)9$t)5;KH=f)~@-(K+I`A=b7 zx^N$n0|q zLpRYwPZs+RMvNNWo%9PB?$a-jJ%a!r5FL_WU4=79j~kVKeP7!p|-XE-MY9moas0{ zjQh4T>+28LYv=6i)wwOb+g7$`zRrcyw*q*r-WcwKKWhKf7x<}53S<@aG&=Q=z-03d z1bXv71Rd-M+dBDrReRy_VwkG(F7g)#zH9<6uXVI|Rbx1_WMWK5MQs*+wBc!Q4jz9W z@6w0oht-a?g~4w0CX#y)UyQh!v1JFJnEOjmdf2#EYy13o3vZ5|aEr=~DbSu^uroYIx z@_%4j`J08Q=pwgurQBOeKfNm5bxi!6x=cO4h3|uD%egg&-)eYf5Hjxfc^yq^cm>zf z^qDgJ>ZBq)(hUsKonGyKz~8SMoFl^0B=Hys^xA*{b+;^SsV>E62i%1QdI%(k_sO#mJa zuW)s9`E*_xH3w3;d71Jl#FxQ2>^&UT!4MVe*Qm}oo>t4HorP$83=ojQj?TgJ+-XyN z-ncv!kX!BDIhP>vzPRH{>v=8)Q@WZCIGjc~SdSdT;V7>28fL;}fCV^H{2D!; zOF+^Y@=uiAiOdt!Q%`&`w%m)<#*8p}W=d?f^*3na$mN<}a-~mjC`=Yr+{UOiO57V2y*;0w~~;N4v}o z+UdiKI}_1qEt2J+N*iustpyg>T|DZF_aZqCX2C=ZDkO$HvQh43PL3+>bu?2OU~H@? zQw5$o2sui*C7E@yZdlDA^-^Q}L7=Q?!rFMV)8Nv!AW$M%%Eh-TNOJ^>WTr6>W^fA=sthFu~=e=JrV6jJL*I!p9$VNxWtP6JM~DOk3; zIX_3IDkP{PkQ}bHiKrdOeo~^cgw(q9N~w|qrca=vYe1(Q4vDVD19()X6|NTXSGZ+H z3q9;fRE{tPf&S;W_iTFN?~l1}-`0teI)mfHqoFvl5Dmf)kif}-2GKTCr}du2tZY>+ zEE#k=Y86nkan~HCyvVQO45O%B#5amV%uukVioKCevN8K#P|% z*nHY7%Mr`{)lv%*T^2t^cgT~RY*kk1=+l!$?igacl{DT*76T)L1bb*{r^ zP|G3Db15DzG^!ak_Z|Kqt5oo%M3FPo>bvtletEkIm-V`Od+$@|OD&gL=bskeL|+sf z`}ou@4kGeeaYJ$MZuK9ddS!0+;{&GuZg{Z={pa2u^jAk+Ni}&ayl&TlrVr0{jKq}m zOZ{`rFU_r-^f9^%^bP3A<9$^D0PIDNd~Je}5F=uPdI`DFC0a-`B){T>XrzizEBIn#Ev-$z%J6+bdr zmaDvEdAxSlbP!#5s-$k)H>(tX7kvz0z4UNdaavH?Z@(GN{Vd}>Hn$vSPTvR{UGwv?=q`&YVhg{4`b6*nv}OoQ1;AN{ik-zWm{1{~Gu~vFu$Z&Ewjs`F&?5{om{y zJ=WXSdP}_PcbzFw=cZ%gee9K#AI_Kbpqigl=-)Tx>uV@f)UH>XFAH;yUeNgq^Ly;Q zFs{9$iyn+={YGl?qa*cH^b0&*KgP|J?`_5B%PyJDub&FNtwc}0VJdgLlH0p(%?!Rc zFj%Pc=A@!at;gxB_vD#?5?lh1^~?TYexm&%--7p}vZ7bljYL1`8ksHWeY?m0#^8tK z)zr)KF-s(z)()a?b?h;X*7r1>*-AWLL7g^Sr8~>6(jB9#@bDy*BzQ-f>-Y}~nVC(a z>pMQA{I8qrzLe~~;*`l@yx;!!skE_-i#cd^%LVk_>WImUBBsuQ$cyimMqXUUuE`7x zugN^nyfv9~WXShzQQNPevCNX1*L)>4Z;zJUOJ6RJs9Rqlwx*S5W}Ctpxxd-c&H2`~ z^Pe8LWh5wPu3aJYj|sccSk;zI+=Tw$|4jQ;3;?39p;Hv_4KM8wW+^tX!hb6sj|he;n&=FPZ^r3_}7n2K5?0r1s*zI{o~C z$VUZ%89etXuwg#$Y4eubdiV`ld8Vnsx0N;P-f6vjxe_Gng1_*D3xWF*`BrWIoW8pD z4O5MNr754vT+e`L9l%N~dTSm3<@3Ub$pMfq&OEg?!u6n!lRFFc4)}}HU-#eYwof0(LC|gOgX{5_em8*kezpH9 z_1hAq>^}N4_#54thEpe7N}?-zw!rbjcYWF(rG5L9+xCU09~0^xYf8&H*bmX! zW)G?beU|ivH~6+hFQDxt+S~Z9y$7oW)A=uRq({yZr0FeBW_zT7-v!0K^NS z*-$a5%#%GFXl0P0Zxm;dF%Sd86)T6Wy<%oSnX{!G&Trfh>7ugT}qskr66H z0R4kW$!D>B0h5ep;HJ32(-A5ne-Sz)S|*KO;%MNFbfErEyf~fx1)g}}IBrpzxP7+a zz&!R@@HG0;wpTm>Dm<_%Fev8$ybBd8*-g+t02&B%4K|PX6}Q(Cg9;)zr$7jlC~RA| z5_Nc>?O=ysUOFfv3a|q`K)(%UD#0=zB=zGoNHVO^0m5SxPQU|jy(`8&z#f?7=JA96 zE6Di<`xdSb9EMbWjDf*70PsLEhS(GF2gQI;0DeXwf-}GqWE&1mq{CTJV8dx9hhd-R zL-3pAFF2nq(1Ir9Y4mQW3ECWB<<^6ih*(8tT5#|e?DGMDg|OMc`ZEI1T^YhQfFb%s z+nlA2&1IpvFVVBg8`V|N+Hi6+*jj8OheLbC(R}}-C{v96lnvS_g6feGsEB}Le}tPf zf?gy}2agOPt{Kn;&;oO9_kE`>A2xn}Timjf2+gqE_!5sI*xCPC`Oa>(ASLR7z*E1WwW)4=m3Mx90t-(UZ5AJ1vpZwl)k`|A{#?%F0(uu^w2ow z(0ZN5{;C*@FJ>{hC^DN1L!BaafP79UlN?}}Be;eJARe&jvZb=(5I33=MAji2L^w^* zWY937o575$N-6dj!E!QQK|g1{M03Lm+()nCW!&w00;wJbOl9UNn`9DJq9F<#G<+`B zTe64IatN-QvmM|l-YUO>H|0UzijBQ#p>mLLlKY?lek7oR0R`B8BA=#Y;dPY=2@pK} z3s`h;_)a76C2R^yF42jCnLrbbO~z?(cj#hNz*bzwbkh%cij-Unb=!s#czHqxw_IQo z%5UVsnzuq%sh~X^Gunf0nNc=C#8;r26_324fQMlY`*Tt8o?VxRmcRAa|96I+;lX@c zA6oyS@74AHZGusF-2e67Q%b)6NqM;aZy$`;jhcL;`hPTa?EdrTodq{me}v99{mBoL zESpc&x7)_gwy&z4+v5A$H0SVb@BfHN*?hR8@2VpS&Q(*G!;L}RR$3Iih+zk(R z-ZZ{?ee~!Xrur<)+e+)@tChL!#m617u{bs+UxLB7=hZI$lXd^DTwOoZr1agle(Ui2 zSI=+ord7gR+JfgM;nHst`L1$j%e!uvMv6VfLOUgt6%{z@y_;Z2KJ}8Nr1!~TU(WH7Ow@ahYU*vW!;Jf6Y{s1&Xlc4O zMP{D52Yt7DqiI4vX|np#2ZqCu7xOu0*Ma7ooAuO=Hay38cVD1C`RcC6;%> zWj|2L%Okxx_LdSDmosxthBLB$!2s3kM*ml+=kAyS?W)n$iPjYxDd>n#(!1AQZ|Xt5 zrk4QhwRT%ZmS%BM$P>8641A5rU1I-I%u8a!t|bmAY8x9*Ba&)ydKGMIQX zdei>Et&{D>LiL&bqKVEm{u37t^KlgRqbE#GTDK$aeD1>GG zc4qj4XLf@{ggx7C`m6+GUSu3wVgCx2R%x+i)j?FR2b0L;M}zfB$==o({%?*;;NUDQ z_vMenvMTh3sjBPlcR<`_42T-)JY5`!t*TD{6lboo+@R}Mg>TR`)O~B6z+jx%1+s<- zxP^&Ex<=+Fd*#gX7ykkB)?GD)JGs8%l7g9b*V6~L!34bb%(nLrj#)p7j;7E0Yu2CU z-d3YvAt}Hx|L%2Vdim*B=<6mi3Z(t~mhyA$Fau9Je^8#f zr8FZKdT{Q^Z~^+{C76MK-0Lcj8B4BVs$Og&ezbbR)MUIR>s4NmwP_p3`3K&7>Y=fF zy}92MsbDjC3v4D+K)A7Yx~{MNoHkN7K;EnB4(_6#i^=*Un)&V+$QsNh_m;2RH-6tf z@30aR^VGWflVCS#U+XMvzi)rZ-$m{0$L9aPs5#%O1uyozy5Vv+_f<3KKO9U*R5o<( zS=D{6m4xw)kbWc_u_dJ_D;XY2Ncm%&Oa zGFm`I&gQkx@W;XE{atA%^z75?`RLJZ6AbIGbadTanj?RUVeJhTu8lpf0X1j7sf)jA zdZV?btQ*!@_pN1y%dbw7n zclE3tg-*StLjQB<(@;FxvOZdyjH6?B?ccs5Q>~tEEAed`q^~=`$5{}8@6Q5Y6*MF& z=hPSB{Y_tl(RbzNi>1}ORj{eZk&OKH`MO_oO#ST+&9KEXcLQ{Yo+elal~_I{hw%++ zOL?S=zmJxkt4f@!P;{p^Yih3_I_y5c;N9JO+ptm$^1aqURh9n5PVc_wIvm2c+w1r3 zKDa)gg6>SEy%FPqD`muvMb&nmy_nejQ`Ols$ zz2$l^v-tL@ef9gi(lGm1wbgSI^0yn-;EUV8JNWoZ%JLika)sWqv#9fe+Xidsw;Ci7 z^m~z<;FnqMzlay1+QW2cEgLhopyKDigD8Nt#p<93%c*cWr!F_*$F4*Nd3r6a6&Im1TpM=n2StrbnoDAnj?V8WN2%ztug_1;!ULinTFV z-|=iPThNg_u%Wp7(jb!yaDDs8!CZV$=g=Pxbn>wZN7RI08b0c(ls>G)(}Q=y+^B@9 zjE(LI709=v?jvxi8$_x1hlIF~Dh7tqB zj3fZOj}@2L4G=MsP}$BUVzgA$lyF^Qzt-uFM>*MGHbY)?oZa>rh7O9y0Sg}1Rc@hZoeZ+{V!vvga$NrK>p@8em)9oy;FZ9r+D z(cp~+qQ}3@_~+Nx7DSxInxNdzT~cGD(ny=%=rNacc3ix6!^;c zr^c^bpxc}{0=}&iM`o!Z@aGi7czs8AiTGxDo#pYs@vo}qY`qu$<1j$&W&=Zqk6b@K zv{nSJ9)Qe`ZaaLBQok9Lg!%)==d8X1$LEv32li#pmJAtB&lbjZE8L~C0jv**ed94= zpACq8^Ao-+#_K1BvSUxiy5HyQt-FSR*mtw8e)ENQrz16wzIiBcVt(VHT;uF8>;=uV z-EzZNzZ1ig?gCH`b?3hoAE$!z0YLW6Z;T=PvSa(PL;03##;U`h5nU6;G0r|&Txgt~ z&OI?S5%{s?@7wE24-FSyG2YyKmU?Zk1&DmcnxAZ+%`G-=pM9;^()f3Ky~XzT{1{Eq z_LrEv|F|y3?6Ux~uWE@0HPWJi@-IKC!vI-8sZMdGGd!A30>;Oqr`NSp%w z7qrP%8#$YuEnmr+MlHuji$g4Y#~tw*p|UUNNyk7r1@#nQa^yP*ltY|x7=E1WrNGyR zxTx|bD1$Lr-x1*LRYGVPDCoEsFnt}C4X6h_?!v&+I}D2rXo)PvaSPUmTSA~a#?Bt5 z${iRmcW?kxrLwM$Oa+Aem*9DM6!{c1(I5K?{@<7j8g!jlPbrh2i4F($!-^y7@4#u# z!2z%h-0BqgmP5m#;R7uI+ADyWEGk2;6JX4(cc0o=h0=gyaklnWd{Sgk(i{NS) zfY(Dwf(9^nOruEoMmXbP!1qPO4XB-L#b3P}S*N}J?)MA!By*A>Oc0PhkR%x5Aw9s5 zB%5#D4`D640GD;~n%E#HC%B=etA>Fbh9rZii6=39=y%7d=6I~tK<S4@ins%v=&A25aIJ1AGu~hB*(i))LGb4Sc9VnxeqR zyGez(#SPSu5~M2v)=!hb(*m!!X%;V(;}t!riEE(TaDY-Rq`EP2oHh{>ZsQ<3ZPdVv zRFZ{;LbPy&FkPB>nS@N*a#6Ds6C^9~Nm}>;4G$wci(>CI36RQ#sijymYE(25WLxnD zA$B5uEvNASaVg#nIWC%iZ2tP_{g3<~I3zq4s^#F5aUwV>`i`M$uuc_2Ea7EYT*ezD zR5^$5mG2UvMzL52|xlNFcu@a2dwuy^_Css&_b1+KcTYwwAunZ63sXUcZtJ zE0-L$ z*S(%DAAe4YIOr?H7Bl%^5jD+J@k27mx%${){)zrnktnl+3|D;x6+$a&Q?D+e};`q|o_285TXcM$PVM{U@fx0YEb$>z?9Vq5X-ljpFh zhjEYHmpg3*5Ggy7omX)x9R9L-8HU?(m>21X7L575G@44RMtlX%_);m^j<3oO3_vwSB7KMIUtA zIz@bc@w|+-;K{60;btdR&}N9;jT^YQ5G%oh1x6PW$(0v=)9~KEX1?~D+if2g&%1%h zk)4O58RYZPZ(>~aD%JZ!T(Q=2m54|)l1(*YMQ>A72Hf8Vy*|)l7>r%Pe&ZN>68cIv=rFUT%@bS#|HxeW>^{ISNHlz3rV_Y&1+&FCDvNH zIARgFQ(xz27%}Ll@5FjB)`v5s)L@NN6lTdda_bN-whUp6#Y8bXSJT!i zw{~&_WXBZ?=Tlk6-JGfPbSZ(*G+pgY(6F#ne1JNTrYnp(U?^X~DisX@`7L2q@3lC4 zRpcF|tEg5pOElVBv`zYC^HD*wNtq0&Z2GA@+~%j4rpK(YI$8gf;9ynOfYfy6NnwMM zHBi%{T~@8E(_U@dMtq#vICr}hNl(bXNYTh_L_g!KDABzvqp9_Rh>(m@WxfRt12DE4 zu?}2l=BflrD&Xpih`VUi!ud;7nta0Zz;e({q*?}(0ALYxVxvOaxA^&3 zKPfoFkP6r(N<=1xO_2HN*_F9XkX?&wt(?@Phbi0Z$02rXDbduMr7EQ~0hK9q9geEWxVMonc4W6U$yf&$PS_&%zDIqVVRThQPg_cl%HSg7TrgNZJ zdk?DvTXB}Lij+|-V=X4JLWiwtvbTEf5O};YUy#U`9pGa|5dSP@_LkrH7))6CCEj1zq#F&2>DNWtgjpT@54SB0#6kkR_ zl63~fm@w1=mCazr8_!PKw9ql)`2@|&ag z8+Dm$D{kNZ=zX8AVxD-pFsjUJ*^JY%T5c4;MM!Vmr_>(H&qmAO4NOdRII+Da{X?h?X7&JFRUy zoldc1Q}>ZM_ks19~b#xaduc@pX=zg@co#Oz#zb#&LY>9 z(2|Y`7x6B9n*J3jyM+CiNO3;DuUb|cV9jPKC_@Zyr=BHL;a7PGQ(4Iu$&W||i`&Ib z&@I{coh>C8IB?f(wglfcbay#hG>5I_$xT$x56t+>Xf`F691wcV+dBLaGj9_%FNT);iI-8aU?K(0n zX^WK+n)PSsM|zyarK-iVc(`(RGk3aDSqe$jRF|>{qo!5|8e~YsCRHyPMITfTVcufd zrZQ1$)u-iQS7^1UWyng3PrF<6V`}@6DjCdf7^>72iH9x-IAQJ_25GO7*MN9cE1=H& zzhq!SCfAjsrKi*RrE;1q-;Ky$40DIc>xgs(EL0Dl-h(VUUbYxm59<<_aWb8i*3Y$~ zG^!Nxv77P{MOrOH-}Pw zU>D0| zz-VXQlGp9SZ@$#YUv9i^>yxwipP?(tIop*f?h>;k+bGJm{%jvrUCThWid@}Jk85XX zpeiMa@4V$M^;G}u^{w2+?U93tAY+*&z3w+3tDGph1l>90rHc(FGym)4_<*2uY8 zYxOv9<+VFs)Ulq*{u>fe$%>fbcS zDba_YHK6vazs_vi_LI!N@44MU-S&qLQjN&SEh5>tVCjmm3xQ5r7ptY3xGDk>t4!h; z663g=%_S;|gS={&{b}Ne-%?4>HZeX&FEG{F(gzo#sXo#`j^|KFenXz&?jTuU@I{Oq zOIGOQm#WDS3F<)tFX;`VV`oTt~l9OwxBUb$De+8U6v`pshY_ zLg1a$RJUYWODr&z)bs{QY^`XgU-B_J3~R1obOfXEpYw5T`DwT_ShC@Fa%~gMj}m7@ zcB+f5J-4APxP*BL%?Y0T%IMUCCK-zg3O-tevqLmJ91tzVTro;x>nO_POP&yONSV+s zh8Xo6UY}b!W$B}wmGtl`fN9FUEX?BvR!n2J@Cajf>|g=iSAo?~;&4{XCl`?zL|Io& z)#GPN+y2(~!}-MFTWyg{6y(qh7Au5lk^-qrwE!a#-YM4 zBMW*q=Cq6|zZeA%>oTKBwHDPeO@)FkBTs6l7K_xbyV~;Rs5Z*02fhzZH#VHJ@RpybM8{BN*5F4KBxxE2Yct8mshgKM%-*K%GSW{Z^X zc(6_}i^)~{ElpW_HM@xB9&1A8Wa$iEN-3mAJS0^#(15#QS5uPxKt=jAAI(am1ry~N zu*^#I&#^t!WR^0>gNr&u$ z#n1#EQ^u>cN%@kJ;a39&lxm`TDYwAunO4N`EHGFeP&H~ZsxX=2AArVE+f^?_Ao*TB z>NhL}JFJlm$V~c_c!*&AY4mFBITgMXQ7r8>0jIMF^YvNmxLPlGMQu8vVg<=btE_ep z;Y8j_Q3G}i&fJNcXkpZar_<`wc{o&zM5FdnNXT18BQ=1)^r6G&4VeXRfAifxUx)8< z^GLrkr!XG>tbC@=T9zHkQMB!RV#MGLk7~oi0rw4`vuWfE^(2w(a-6?HX`qmH%v#5M zkpD31W5qrP*1$8dN`m8dR0*Iivy&)yXvxKc_j%jP-S87 zZtT3^)zOXB7`thZsUoBY$7f~G_#SrB{1tAv)+k0$6PrzmKjXwu6`!ZjHv2S2Yprwz zo5yru33AE=k(yVzqcTKom5`g5)puf;#MfsrRT0wBKWboG?4#Nb&mw{OwtqT@v!b3# zj|mwc6kI|Clcdpvon0IqPl7GpBHq|ZnaX#P{it!mnsXQ{^nV#GepGGs65p4Oo<(5EE`)}>clbQ=Md zP4!_su5>%sDi**8Q{v{59zxBR)`4yhLhdqYa()aAp?`#=%lP7haG5veM5}Ug}>hOtB%ay9lzBNRX+Wk zz>cn?+Vf+j)LegSDWhg`i8WF=PA{A@A&$qS=SrOj2r8~JyWHdp7B)1Sefz?^u2 zbRc&<+o|{@cQ(JbMAoa?1i(u2esNsyGl4em9AD>EojH6Ad^P8|#qQImx&m~|$8{<; ziH-ozZn&JJmf$WNrChC*^9C;!6&gEPZn=fr-KAu>sNG|=lc8Eox9sd31>eNs zbczdAH_2@gCA7Dvm0i;*7b5iK;}Gkkn0S$u#vC!Bi_lNQip{5OX za$Xl%8>@J(vgPrB7p5t+ySm9@t*mJJkYow!bOR~9&*HT*BYEz1y08}gsBUD_AZ_K{ zrHm`8TY`(v2Q3d&GfRbLS|?40qvRb-H9uX8U+1xWEQ2t~RM06bCTx|g5nCZBsTt^? z%)Z}a6x@ssd68Ci2ic7RyqGlRh-Mw7E>Hyg_0P#9SIlxvaX#Ln?nB$bgR z4BWL3L-}~AwTP2(ZIj8=7XRDj^5VWFFWz;lQ8gwQd8IA5y{fo^4$9JrjmTPC5k>1TT&;~&L0xe{V$z?o6gl@!X&xQkJ#DoAG zmH|?0xroDBsER?-AaR6&??bBfi{OL8T3PK^rAc=&c$%oyz*ADMkQ|@^X{tg$%u_6; zZ}O{wx+r{o|0|!_Z*=(KlT*i3G1JZ?wd`LiAz=|VjoTq*Tcyh7)CyT023I4I+D`Vz zV{Rab(6|5}14jmUrCAXz2fK*CWf8$*OetKmp8%+qill>jE0W0^nPBx+V=M#tCuDG} zxu!U~SKF>YbLaugNM&$-njzG}U22NHOSRkv8^ETmiXP+m86^$0R&Zi^6_Ug-JJR%0 z8quF?YBEwFh7<4|Gz#wLgkddgJHj?3Er6#R6I2$^vQ%NY;e_|swV6Br5qSlE^o+w~ z#zxWV&p>&E#vjdpp6EB@%yMb72i98`0pO(BK!ts#DP3NK)M6DynlM76$M8%H$3{Vw zAktZa1Sg2w9~7a-acPRER0;ZwTwDWgZ4e0pmndhYKU6Zr0ZvaXro_=sLCzo^7I98o zLW&Q_CvdTrYb3-zsWZYZBfQ{0mqVKNar&2=?rCR@*@sh#28C&pa{9Q zMXze%p?#qaOqTZu&ZTFV38N+y3|2AEs^;x)*5z zSK0(Ga14#Zv_?zNe!`C^RoAd~M=4vYwyW0K$JZ0j_G;|x3X0I4L;wu?}XbfQTGTcM?)AXGxjh|mk!32o_ z6{+!Y&H4xZs@gj+JIAX^*XeC0HajE08BSn?UnP(+(l4qqNV^U}6~M-lJ^viE|G(|e z!F|J_@u%^We-UaYN$IqG!=tJ+o;S)c=P{}!P*e|V^2655VgkU4{k%$Jhd>G+PtyK0 zeVU(Dg=w61h_l;lz%Bsq??Sypj0NYipr1rVh1)4y#mB2BA$; z2)&we(IZ-Qr7Wa64?$<>eE}TmOUX+`m5-Np@oD;&UceOIiP>q`*=VLrjEYa)EpN4} z!Uj&J;8idf%5V-q%gY3U*1+}$u4-10PEc_#>D2%?C;Vzhei}y$L@jUQN$sK#rbd1U zywH$1O>znY1+Xa9a$MtQ(`lw7TLiXXhE~!Lo&2z$<{FC)l8OW0BkqKzS0Ry^71CIw zML3&;H|ZUD$PtiDREqy-@{g+!3tUs(5?~aIo()#9dJT;bjkyFN!-KLJN~8(2ET4cC6)}KD4!vO`TBHCifpZnh zkn$K+Z5T3>)Re%$%;8_o$hJV1+OA?4V6^_Zf{{Ek1WA z&c9BM>Y0||I`&r!f8PJats7Uhz}6wg(KHXuyQ0PZzA~+qs5JeUAn0K;5krfh--^)R zV4i3p2+1$009^v8*=52~A?T;12+Ua?jMqZ3B*JHVlx0>3dbqT^hlh!)xt5@sd6;XL zLZ8LEa8(par{J|lbuX+&FuC|WFf+-`ek>pAgi2x3u4ZV?C?RGy;^#0u5mAsHyu%?F zIvG}~fPpKiX}?$2tHL1|X1v5^8C9A`QF^{gWurcpmLa^w4?Tsc#nJ|L{^`g6p2=Uo zeV=N;!G@kwD}LB%<w3LkmL>R?t`x5#A zcrCpaIx}GFRpYWO`Y}RX<1661cqOBcgS!>i_?HuaC}yTg2F$!9>~yCVF_qOHrm+*9DqbTiO(|tTw!ZoQOsMd$)e0WM&nQ#+p!V+@%aJd0YxC{9jE*nhb&RPt!IWVQ3X?Pr zUd*;N8YbTVql~u`cqSu=S@ny?_dw$7|xT_2zr) zi?b>3=!;%@AY4V3D~Hl`$sHeOxt(ITpA2V>&f=aE(i%(snm5V5N~+Y5cGSjw$qqX_ zmf%NZC7xt1d zSKNh9xoqB|(A(kul`o5hZh7Y`>`X5+Uv0!KBRV`{V%_<>HUc|}VVDH*Nk~AilciqC ziLa6Og~Umy3m;Y1bg}0p&ydoUgla~NO?PO- ze~-QL!rpAMmBJH*iE7kiha%fwo?1^x!a;~ z{FNT0O2+FbC-x)|vfIO&P4ts;tRavWxclS?6{#gVTgG$VzzknR20d@neWf37zH-P~ z^G0_5GK!pYMVraU*;c|*#+k$k=Az*}YPy!aSNBWzYCgxbU&D&!T|*BF;bZa}n`Nq%n?dd!Uvw3RDm~Rr#dwteup7@|omN{Jvu~4}B&Rxw z>2Kx9uQ5d9r%2yA6Fas06kaZTa*iJ^+|QgVvxqCXQ)DrDo{!UQfRY{}m`jY$RSxWn@dS;<@J4=y`E{*1%&mhX!ng<2~;+`Utu`c}Rr*LI}}TuvzY^vPUt zfg1YfCp|erd2Vg|C$k$8+#bU8$u-^CHE4z51)_tV5Kf$sHhjTf#h+{T)l?Ys?|W|j zbMcAlcrk2UOe(EY;j$(uwB7i;_l3vVPT?Z?y^7tRQeLb?eh2@6x%OFuIL%J(z3Qrc zDN+5gdp7XcwvB?vWO-+F{T()3LB_#@UMO>Ir%+pNqB4aLNGLpC#972Yi;+&#qZ zm2x-NLp+1OFI?wdBKE8d49RA|dWi1}e*@SY;VTq{|K~c(5x*b4n0FO`c})P!8$RA- zoNW3%@$0QuUEiu~2n)YOP>ll;%i+`{#^J9@%82&V(!RwVra~Fj$Sy@APN8xVSqdbFGUz&&)s~< z^&%8yCE%qlG$}8H>qK}G0(2fwxVGl<&+za&ZytTf#F8ubH>`0O>3%?zE zFaqiM_%Z%LdV;%-A9Fs!)zHU9=6ofj70Ib5{Ou~KEp_H{+OtWo zZ=61AzPNIMd&E^|9uWVPH(rRuM=s`08ZVgQBfo~Z&6eP@3jkb5Hi7G1IR-vcP(PtDbQpn0Scfag@uTWE1Vd^U@tk#B_0*+ zSA=MPhS<%yKcOZ68#!`u^EAs>%QnF$b|NC4mnKkkxXYoEnOH%HMqC||OF<`uYPh7R zwbiA9`yfvr3V8d#hMfy5krWoMPij)^>9o%tVtJ;E28K-+#BL+~d8&iUsHX3b4B7Z% zl4_|zezZiU3k8B*CfRDkwZmd|Z-yP1w!PIOeoKy0+3d9wOPOh}Y+u2_-4LyCJ4-2= zm4Qj6Lf{r%oZF7Xn23}rQ6%kmDA+Gj06rm3GRrxkYnrvcPZI$?)QN)S*?*k(`mg@i zFK#uLMo&>3kfn*G6cBzE$-C{mDjhaORAL8MqH?Aj)==fLS&k8yQg0S8`&tv{J&fQB zAgB?qVJh)-hOp{4XnD$_jW^2??Sv44@_@wv`lkKx!ftJ_6N)NBcoXZ@(@Qv|-bKJ& z5S*V#cY!BC;b~UkLC*|IqfSE1aCUuYmo+@2SHA_;A!wws>W*sIWnk@jkuGr&H|2!< zd~-UkhAMi1PJq0C1R$S~Py`p0NQe~4$imY($PDm8O%Ws!T2(X3W>p#vJYK3{f$omDeg@(p!wrFGX2BQKbwJk z>)GMVtnZL_*kBrvJp|S1WNdG^($6fR2DzfypYd+xG3G*(96Z_>Jhjz~&lH56;tzD) zpG-4$c~dpxkXqicokeeTQqY_c+|)w8HzK#^OT+IsUMZ3{k{ynA(Sw&d+bcZpF;9)B zlP4<1?rv*k?PO)^c_#SL+Fi`pM;mW8czwYyu79E5?7!}LRak|3RtI}L z^F#|T?sT}ToR79wM0RjcTPn`TiBf}{wD}W*4-@w_b#r(TM-)}S+|;7fQ=hU!p4yN@ zZb9t_xpgLKx#?&@Nhz0P2A)6Lq6;|!Q@J|A>!~LmJ@B-=a7}R{)?MplT3t-5?ETNZ z;i5O*iXHgQw2}GmmNs&deovn06&ugwLGsn-LQdz(xNiCbxj5!2tYnh5YUZ3(nlI?J zjrQA2A~=5Yozxs^D&$G&5*8?!8o+)6$a~0VBT;(7(}YPyrsLZZiTe+KABv6KJg&^9bD06<*P; zgpmp9%K02%R359ItBB>a_M>wvw>(x5mpdHiBoN44izUC_n*((eyPxqV;ku_AhYY6^ zatVGfS(3Nz@{-HirCANBAai($RHi-hF;tlhRRCf}8#Mxfxlk(43kou&-C=blQA{rO*i^be_U zY6p3-dD>K38639@I1vj>d-WGaGiCF1na3!Q?}dT}S2&e>OMCU-VsxC~7#2GT<@s@} zj?uSAGL<~WOL0H~g@U*Y+kgalLz7g;I}pdGBQCZ@m|^ZP4M6sA({qH{g~K*mK}9L& z84w35-ew*0Qe4f|6Qeq#vY{UgPaQd}Zxtflii5-FTuY3_Qadw81JX2q0ojAcak;d5 zxLg;Jd@FIH&PA=1WV1JxO(x9M)GQJ1Bl8&=_&Ay>$SPjkykhI2aHCj_#e+1~yh@>~ zIH+=42tg^HPv`*@U`mrj4;~HALXk~w$(a60=1!^{F{G`UN+m>#v0w=a^izV5++SfP z-L>dk-Y{Ed?#YVMOT@QEye72B3_B97N`k4T8;i;47$gf5mMoZuzB%>xE9Pb6w;Neq zAnO_SI4Yh9`X852;lo~^TwQF^S8t_m|OGLY3K?dRVs1zD`6&iOkpOeFS z8;E3vlYE?mk#{%ye@S~*g{3~yo+PBhR#XJ~R2x1H`2d7x-1a``9MCd(t`bn{t; z*fQuUbm8ywb6-Z~g|8qj;-O>Cg~p*Lxa;oUHD5@E`ksB;dfZL?#atF@aL(Eq0+WzM zu=}C#kO`7rin!N`wHl|GovlCzt4}=;84jwU3xjjI}~~$Vk1R z{EYkB4#=KQ{%rj39wpg!)~)+1KPZ=@+IDZNFn{3?m)y}eU3B@Mo2w#aqo3l1t)-sV zBI7q)g%y1JEJ!F1$O_5*N4U1)tHqGTvwvg4Jj88G6ySTWcJ`L|7Ix;$iDs4OQ2-U}tU*Q$U^ z_?kNkX)>D!%nexIeE4y6h+8n;Pa3{QMMH_V8xTsg4N0XN9I=z;n<$cGKz7HthWB0iGvZ8I~m z27@Qb6_(T{L&vB;q*RbZ#lDc~>YKZ=6DJ<8c#Vi84X+xrEJ@3Yllw}zbNR`Q$1S1x zwGETut3~BNEqV;l4sg;z$ZUGX^VgsMeQGqbb?HGE zfX%43KC`xQNozm%H^*`R{I?2RPchK&cB%tkC`Wy63gsoMm$?QhIsKH+ zpB!naI+Kb^=3woKlo^yt5=zM`<#0Y-KR2^ZG+dnqU zlCKY-;}&5?jw1Mxp0LkcUeemb?68%hcV7k&UT(cj$tT6c4AH$y+m6M_1LQ0PD#0!$ zcs%#ya0_UrCmttqPVK@#EAZl_C_lE=YJJN#zp=*V(oJ}xmnCmeWQ^@#zWMdH2mZXU z?a^B@N~cx~-UYeRC*WshqCZl@T#|(jZ?l2_9!o8-+5=_slc02w(T8KXWD;aF-)|-o zPu%s@o+X|iP~D!_JY=vN^)S;$`A&wd`jpz?Oy?pdPIfFNL-ko8Af0Lq_QTp)%N)Aa*3Tu^Gk-G3LGf`U)=&X%$w}OKK>l8b z%*9LR(692DiC$Zcb%!h6xny>{YIKk@GAAEo0yAWAHyJA=X2Mllb8+AIeruZg4-{F& zI?;LB5hvo-;1KA?Ex)&A;EawXBU#J>_8~JC${~&+(oBjsf{ zsHIm)uE4=yg5^YS0aI;S9|I5#A+@^1s61+il1)5(f)U8Dj8KZw>X1KO29Eh|zKT<7 zVSz|v1Iy=?`vI>WtTrQQmSBe|bwe-;wH|_%z-r_CI1t{<&_N{swHc{FqMAI83Gg-q9S>+N&egAa+yOHuQ*B^xWj1RuGKXIs-@SVZG*E;3A${#R?0u?jpk5)At$%9YYn$iw#CD=$LtaAMxgo!0felb1V6?J8Y4{B4G74 zc!Udda`iwWV@l+~|0Y%*V+?5Kh-LN4S8KI9a`2%8BZr1oAButh z{Dn719{)*^d=F72-yhmLx09dqwA<$P#2SjmV>#rp^$m#o?BmZQ;+zC*pn8k9{MlA- zc~wQSZD{A3ct>O3z1{-!FS%3qld-7biEoJV!sOnf?LS!k;{N`MI4Ly_RNTA%44DWS z#Kb_od_4d349B-OH@*1CfJx4Wu+yv$z4O<7726nN;$-#yrfiB@kQ(X(Uzh$lTJ#S| z&Uy<1ME&LU!Z)6tE5Qa2m!f4kXXJEQ`07ir9RIfckoPy=y#Tg!Ys;Bu!>0k0MK~|< zPqg!o!~8rT44@%OM;<)Bej2cvx@UhJu5x;+90e{XH$7&XzIXe81K@)6eExVjITq)l zZ^osYV$7ktTL-zqon)ktI&*>e%SMnQ`3Ne>-)4q;@c8IjQ>0*zK4~6kJ-hwj^EG4& zQ8-V0N-z1`{o4hPW)oy}>3qSEO^TlWOj=iv_dIvws{$v!+L_HJ z*&gQd(}7m!R3RpP7VtHY-VR5!a&Eg9Tpd{QJD;Gzs-uQ@-n?9ohBovACgyRn1pjvZ z0dDS;w`l(=@M*6H34U}hJ5&)5_hilVXC?ONVozL)9auk0wUKcpPQt9-WlGKItJn7s z38f}jnBRUQMmQg$p4<|v=wTWgR(|??ZB}RW%?U%)I3IvCHi`1=$^FxoUuI6c{XYVP zq3A9Ak~ui^rS0G#I-{*ZX0 z9i(`GxuAINhe$jC>W~xo7yUP7sH2}`62*YA|e$;u8k5%{)qEjxXg&G`-(>faeK459w{pVgxIpPePSXc3^^z6Ziw zxUA!zGRHffg7%A(?_K~mz;A7xjxUs`WB0Y#%%AoecL50yNPA^qu~#$kb%{{5E|Jn< zhEn2u?9s160?>)IxpV^9}cKozce|1<1b!%W)x$>W$?t$R1x3DRWohFNR96 zh6n(|-atPY0{|T2llVb8AyksjxM$1{rT}rw@7(~1V;e#s;CHxO(Ay%8$b;Q9}J;s4RT~cxQfa`U?u<$jjJTvAP+z3?&DYED@VJ5Ar4=*5DA`FYK*@$7fHD4jDVvn_=Qx;M2fmwK&efai-7E7_4dDKXan{`i1ijR!mEiz~1A2nFA!2NV z*2n`R3%(WtNSzGd7%>ZP*R0iF|C#nyUr0x=O2d*K92#TNKuL?|xt&1hhb0}f-As;% z3)gW#!%esv`?j!@iyW>(w9}Q2g56x`a1eE)N(oO<^wV85s0DM8Mj7_!Zh0CsHF=Lf z0pm{OscZ&UgC~bL!Y9nNhz4InG6Y)#copPAfVqCm?KA`b-%O;u4O4aiQi9U5o0JRP zAy4i&6O}WO;01SsQMm1G2*I9FAIU+1mv#M6>4E)ma8 z(9hyDEJ04tb2fEZH}yLbRx_R0I1ZhuH1z|;L}-{4gqw3}6|9X}zYScy_vW+;w(tkZ z(01gl;()9KOXm)TOWKa@KkFI!l{Gc1im*E71H>UeTlzlJ|NZsyrlE;L+Y4Jqz~FQN zk?mAHDko;~19=}8(W6G@>w7+67Vd#<{UmuDlUt=3*YN869^8B1R&NatCg+*}ngl3o zC8Sk-kUwAWl4U;s6&qFlYSdczYILrp-3-&~YelfBF~5EQbrU~WzYqK9`ARVn*eTyn zdY@QRv3m`A3f@jWox)xWt^64DihHK%=$qjh{HdLQMc=`tCe^3R55c?GMYztY|F-oJ z_rdI%_(~U>*|oQ*fAhu@6<+y9d7iIi+#9lC4_fwMdr zF|WR0>fiuzh#Ek!AGc+y4LXf^1Lc|9EpkF%#i4L`tq8-Srog%|GynJpjI+ zMI926avhwdsy?Eej=6|;qbqKkUTfRbkab2%Y&66D#wA!WISVK}9Nw^#oFtzD2*=fX zzw##F2V@G|vqI$TNHGuFxob&(($mXcvpv4Qff{)cxVgkfWL-sVesehiKC;%=-9D53Mp8?c&uaTV3{nRGj zNA_f;~kEFC8@GJZg^%Tz^O38B%Z0cW2aE19_MuB_w;6e7O))@AQLB8MM z>YU%$V~wKuz{ zl8fXj8JrBQnIAW1p1k+qP}M46tMs*kK~n2GT}Vn|Tar)gqpMm9sGRLD`9S%Baf&xT z8V?Z9Ch2Em>5l10Ey&Ulx1G1{j|CgDdY`Y!dzy-dg9Zd+)CwvE=shWUChonmZ_{rB z(WxYn5@nSohc~iK-ZGwVP?q2dg2H^b8*;oVlJ|`kYndi>qbu@XH7u8um;o* zwG-Y7g4*-0M9sVnD(sJ1EQ35Yw0#7adQW$etu>zo6U0y2n(rZYf*Im;tkHF1*I>)y z%kM~W{IjF2(r1%CP_D^pA_0SP=48tJh<(2b#h$(Cin#X)CN(C-`wNEmt}ZypwXKik zZ2v*IH~>W%K!PF9(E5`AzMq}p5@(+vjO8~mvmfn z7rV9Gn7CT4|N4_(+#ZcG?F7GpPo6d_!N_(1z7IQPIu726Ot}QmJ!B))FegRPXP?=+ z#7I|hBbyO`%nVIO_#8hB`#Xpo)+nU|6MA^r{dBeu!HyX3&0!=JvxM|8qc?I|~LN;oGq8zq(79>e%*h?SRugB%0}+tYXk*=-P|9wz+0{1E(Uh`Gm-b6e9(y#S)S{XR7G|2fnU zJ)1g2I7I{D(W&E>KvU0vLqsow5N)`WBtQUo>VS-oo5$jx|NT|%j~Z|Np}K0`Hx_}1 z@X;If+swPKyB<1Ne#|`g*w+_^c=y74Z~q$h8!m+Yf*RJA0(2|;Xu@@O=(s!vF8E`+ z=EmSG7q`%Ld^6M%I_{oa0Pn@o8S|6lz!+Qa`r7pnks^JKl>_N(3^qZo)&M(g^CXbI zjH!zmnVY1q++pW`T8l0i)Z9|)7@Q=_(u7!>pk1R|cTYr3O9B6T_lM7?_%aN;N_q^P& zdGh+Eq0M8-O+&^qfVCM*7uF5|ip^YZ=B}3Q16o_GVJm*)44~LPZ$9wecbRMM*Y<3M z&5m6He75@_JaD?XV>g^YV!Kh>Kumre@2=T)Y!_7ZsY#ty$NhJnY#D7D0{sD?pv?TZ>W;#}f6An2!@-Xr?mr0X%u(#kumDtx;m(y?1o4wS|&f zUvr1%$=?xgCPQxmLcBV8AyAaO@MclDYil$m9^GVOeZyD}TsC|RSZx(RV%vs*L?oJ)aq|_trZ*;F0$39%q|2}>Kyq^E+=9fUI>?012L?kJSYRz zOQ?Z&$6u;5cd;GZ*IZ6lQ3&iXU}d*>3L!Kl$5qo@XY7HkqtD^}BwVCOeg_@_Y97nk zUC-rk)li8{jE}@w*zyLfcadDa6`unHnkUBt7&fDsh&Rs&P|Fp)1~r@uU2b1PUeF50 zDW?P5`S;)*{hZ`Mlgzy)mm=5<1csUe%m(<^)MrGBxVmsxW&CA$KVoJUjD}cr8c>_{ z?gEIPd==i_7KW&$Wb_E2+RI>D^?7)+LExehV6eHOFTh=MtIRI~gAJ<2p)xecy~4u@ zE@xDZg8jad+;+639bG>UXLKEdf5(B+234X!M4USzfH@xl?piXQJ=Ng?J%@|u&Y}27 z8*$T=P4U2o8;oOsR0AAa2RIG@$42gci0Fp@#uH3ES4aSF%~%$d<167!$1G7d1D~EU+`!741>a1{B259=9+Fq< z0CUHE8w8BP(6R&&-G&e_@NT^Sr#Ek8#{a?L!&U#Exc85XvWjWZ}Mqf1A8pW`Y zjtP}^OoatT$EtwTJQrB39<5HX&W($I>F!1_jT#Z`Sdw-V$z z{7lTOVvZL((=Q&AJ@g0?Gn7&l_0YTu;e}VTfbO4|DGTzF3fdxcfUqdl&C6f@mp^xJ zKlBp55I$DaE4G>)NZ#a}^7{2M?(ZFQyDNb5F-8t7_Mno(}5$g1kT5Iy;!1 zw4Na??MkPgcguC{742Km)E{j`U+7libuL%Zi2TG{#u7hkrsX{YvT485ultH7OTxYvkA?eJUc|s?7VRz%x>P^tCE$_?9 z#CE18^Fx7F&^)m_GG@&`7_eHx`#gsl^+`|nEy9+%nJ`zkO3uNLM_OqbOvv(F$~!?_ zzoSi1Mwdp3Ej^}yUqaFs$umY3Rnk4gn-inrMfSvTnY2%?NDk(X-+twvvz@hXcC1TE z;9$}dq9%TuR@bz}iuf9IL>4rT)+WIU-$V$YD9q^VpN5` zQ@k6O#9#dQ@OPi7{pml2-@;?AE11;IgHQ^L-8&3&F-VUMR`gWPuwna}E({jBy{XgN zdBVOjP;~XpL8+KuY7F|@8rT$<_KwwMzhl_mVZHvcU7pmZ|UFeWLi#(^5+> zxw*Q7UH(4#y!=7qxustiquM!dz&KDR|CuEBCA$0Mr1Q`W>mm)Qn)V^SbXfBDr$&YTa->T zflZ4+%9T^QsC!HiuK2^eX%p!!ik;m?L4UYz>JU*IwHY7o#2y$U#xZ?MTiHJHP*$6^ z4Nprt%dWIp(_1tUHPQk`G_b0Gm4hb0$7-ju$(ysSy|yw*+SRtBfmmZv;j*>0SFHyJ z(4SOf8gC?>`?i@JYU?*^k}}<5#Ez2>?C_Ek!IIdvMG|IMqf}$X z&Fk$=5n{Nr;a3PfgkEdF zPHI-P*^ZcY?6{m$+f_?$&RTh;Wn|E9xvsU(Khpj&KCLd&2l>)L*?m;Ko}T48GnY8y zt(f!WrglryRGH96&_{}nI2n7#k|0GAI$-vIe9pXCmhV-ph}tF?Z++_{hmXAe2S?Ub zC+g8LJE}${PeoX`B$jvM2(d}@&!Ugb7f1oUR$|N*GW|LhcGTGy=&~WOQ62Ur^YD2m zBCDM_&oCpsWH(%-s|m({F6x4Pj)nd*YH82w_&fV7TGJ{Fi3(M`C{`#;D|55b>eI|E zL?h3sLL%?#up2NXMvP8q4#A$cuwYnAY9wn2F}J~qf&4=xM%0WY;RdbByl%%(FOApA zXyhwOOc!xtH$T1e&wf$+qxa)T;p2Ko@B8*1YniZ`&dF@Vm_lt>FlJnNm8TA$WT`{l z%7oL8^}Bm_m4i|z=ly;5SN8A^Ycs7Ui(Sr+z2o?ll(ZpO0WFv+mEr!Ec3E7^d)L78JEnPvyD#vxdMEGK5!3vSAAFJ%YE8( zDImqd6V9fD<2cV{11lh$rANK%D?_xnyD>95mzk)4mYtUd9+&vtb`sm1b@t1R(y(k? z#f8s*y!|AawF`BLd>zm2d(n}9_JyS{ulzHC4LsDaw?l4qu4jgK6ud7EJT%1b z{X^>qAMYx*pbPHT9Z^VJ@RikM_UiN}i+X-n;>)AkJJIObJxQ(DBaeGCKjl}0t)xVi z4By3e9sPal;MU2rYtk2Dr&y2mzI;#fMSqHo$$fm1gNV^9)LRSYCFa2SC{xxLDTtf0 z!`jaRU8sJ9n3bKL-Z#-pdqBhhe~?mJOuMWRzH~eCpfYCL@ZT&ZjlY;G#Tuh>Zrh zvr!cdq|+{#ZHCz}Gs?VPvZLRz9RDh25o-}OjTyD|C>aqfEi<{y-ls0Cj7c7o(yFZk z$@s-i=sK*asy#$?zcFXYe0Hf{wH1XMF|9}9HcRcc7iWKEAATiWJ9BbfI6^^8MzFDC ztfxDS+o{UiLoWj)e#mXL*C)LX)~w0krqx<3ft)i%EjI}E}2e59LdBS z9~B}Vn;>&zKZ@nFHdzNWqg!%u^Fgn9)YE6T@f}Lm(xUfRu0dj>o-CK^teC3V=pK%m zeMvTDdrwe_El`{b{J)=RGN#xc_=-Me*^eovOe|;WAhI9SlQfMLRUx)5y-Hmtv%9X% zjwX$tKluLEuhpi9HbesWX`+uBh9paa=sx@#5&a*MpH|~ChU~OUqq_%!-E5OB$E~hO zy&KdBL`qM3@opOhr<)Yp>sN(><+2#Y*+&d@S{2&y)6H*#g=&)oTTh9P@)o7iSVRkq zYxvfK^}aozUZ;KTR(t=ozOpN5a$4tsd6FJOH_BrMiA)w9 zl%?Hz`iLf1`(xJN;Sc}cum9Km|JrG;iz1R$rpD$BC5C;hMhQfl+@pE_`*n-c=?HU_u|+~ zAIOlt<1N*6wGJ#0ufCV-L0V&Wa6ms`{4eq$r`Xgi=MQ-uy0dAOjkJ*&^IuZt5)xJE zHn1sm>8h+%Hnr2yg{8VVW6IkLx(23yCpmM{BZvHkOb#vH7oCU+w#N?X7<=#1F1l0V z1Kcc68rmgZMO&14rD(%~4I9>zFO+hc`CheP3YC^M+*w1qZ}RW(5nE}>9AXbo@xyFK z`)Kl#{ldLu39~8GTSiiTMCqFCPbBqST1>Y0FSQaO`l2W2l0&oiP17g)8q=F~zVpBT z!!OUh^viesWL=PPN!7s^P*w#NcPvW-8r}qQ#45uEpF)i;qzi7}HL`+d+9CNR*lWKlWpRcodJwkTnMvm+7T zgX=4sQBxDp2~;$5CPFT=4;D+9-!BM6(WE-~M!!A~;Ghu_y_6CoxtD~b%qwiGSD;lL zQCnAm(znVEsN`Z|#Fe44wg38wfBlD_{OZ78tzVijWKSuND-Fs`t3Gvu%x4?s4+LYN zr<%2w4$;<<{$LL%7EX~f)4kot>Lzbk`O$&G3dVxGpkyB8@^Y58Xo&f&ioX+<5(ayU zI5T?UM>n1;FlltOGx}-0MmLI|mIlsF2LWorKhX%1F4-1V#jUJ=*LVE3zDiYg3_Om=6X|LHJv8F!<%!OyZOVRSf0gr0X`9hEaCZh=ty-d*dM#4pBRyz0C2c>; zT@TpJr+&=;_s{>=!y9shjnA82EKG&UF9*}=QoeIS7$tqgQ`0Nz{kqn+kW&$FqA-&h zRk+V9>XK}9jUBN*(!%p5@oZit>*t#{gNI`Z=#*d9JVB@3Vfbb zeD@`k;=ApiFFA(M?mAL1T=3f3&C7+VuDI&?)g3Ow;s<|8MBGG{y;f^V?AN?{FqHLm zu)L_~QwwQvbEEw{-@;c^OK(};d9~|8zV=6dyCDhWBw#h#oUM-GMm$YUSh-cPQt6Gh zTl_m76VB@vPG}Ij=!WR=YamA#V|KkQMH=NQ3AiwVQvJNE#N4PPYhqJWGKH85ijGK& zCP&Adf-m<-af9t)Y6R|^Xuf~bFqsk9M3{18RGcYU+ofNrX+I)vxdS!VhaNf(&Bq5iz5tAn0}&oC^Z_ zK0(Z~CCm}T6;%*s-I(Wk_zn0QhRHL98XwyOE`EVc^8*S%)Io)q&fJ*0mP@GNQL}8D z_*^JppQVZ;QRX2B;320a#9SN)3@bsr&W@URBVr#sQdl-S`11ZK z(FUD~K-=94Bcv(8ax-mzlM!#EL>N^({DbIlGRSyb&7GAcsFtIpuc`2|+N4(H`+jW9 zi@Bgl+2qt{LYo%RUW&P+!lopTTOgGYqUYJy1&EBOw9-Ha7Aks?J~GnmC81g9rOPiR z;9>^NridAFE%5Jj-_>D8s3{1yO8=agtF?a(f4u%sKqZ0$iQ#X!tf(Uz_Ekr)ZDi!2 zRtv$XCt%fwU-5A9cvN6Qf{JI{5bXLu<6~w^$dgfY1*;T>TI&zZ+oDte0ieKg`aCq$qvA_*1C>D=O07@!_YZ9=X6?1W$WZ_@*-~Z}DZU0|y{2A|K z>L}4}2j2X1+H2%h_xlG(z-XW5k1ZjqppV%8{dQu`YPoaB)Vz#&m&A`7teeh}L{PlH zZpk)3uwRHZ%S$bCl#KFVBx7GN>`R%W$tJc;LADsdj#()oFHe;c+I`*uZ*Gs$VNB&i zdaxzm5hK2Iyq(?R`!yQfd3<-_o zzO$7LNDcOKoAah+E}J}R8vT6-^#sz2V%}YH3qKiQaz*l*-%hjgx%S%D|N6_|%FO8I7wYwiQwL%bIl%+<98QYy-V5;$^00hLZpLMSkZkJ+3NJdyzf^69p!HbOI+o^N%uTlo&{yfSBGwxis@(%OH`u^o5yplLe{jrX=SB5|5a45o!GPMn5f9Nl|$hNnY^N$(j4=(y0gKxHzNL`w_Qka8*8!h{9Zr{)XA&vgll9;XzO=3Am%^`HdM_VYIjpgOAN$kKD3K5Avb~Xr=mZy;#GJ` zT~uA(_d?qn??3zIrLV86MGYE+1$}A7Pf}s4t|@(zVeuWLRC1i+{$%AtktWZ-#~e6u`{1`wkXPb_O$6(Sgu2*q~=YJ>dV`jd@tMP^*Z-H0+2DSfR@TK zmxz2s@6$^!R-t-#lXFa&v9VY;$;D#JGA*zc>9Vn+>j`3Hj$kCh09fQcrV$Xh$%^d$ z^2LwNK2v+~2OG=Bd#aZ{WV`VlGQw6ZBgXCx()!(fYMdY>L59l1G>^tAI-pG`$ehJW z$rdS=ppj0kQk!BX?(raK2V}8E@f=N=8|245ec5#|>rFQ*9cB5Ym^o+`MA@q`qN?97 ztCf9~KC^7P7*1C3VFjo^Mt25Nwh=p zVrm6FreJC06dR_UI!8Xc$Rl&MX-1C!J*%}c5vwD+4S)TxM8HE%^J zgZGy9=jRKxkN!|tf6Pzy4BR!t>h0SeRs6dTjPdKn^`#e1Sq~Ab+aNZ1u~nJ!J6FNO zX&>|ZPjY&ai`Tx_erhl!seRf+ck*2<(Nj(=d*}FYCNduEX?m@0>O)Vk-=MI~~Wls0YKO3R0+ zihNk$4$Io2lp1&bvO~%|LawK)(v^PUIV=BZ>%8Ckp4~D@sRIdp`Q&JFCbzBopmz`8 zJ7ZI0iq~$ZN}qq=q+Cy=TuC>cGM)I5J|- zMnY}HoDxTT<~i}KWmpzcc9oVMQ3xlWwTuyG%IBg`3-a~NhKo^E11@qq)SA=P~1Yo~*`+6#9!bnKwsu|=P8cIzER9+=XDfea#CBhG{5rzB(X zF&~8{nxm|aYfPpkP4FW9CzO5$!bY(nDo*>R;FSC1{`sucS;@gMiAR+-JAqJPkM1sc zd&zejqnv43qA!WQvV~qk6S6*NS@p^YI7Jf^L|ZxN*wo&W(7~}}?`|kQ=Tu4zsd}RV z*`TNjgdZ-UCGDi5YE?|f`s#C+jj}ZAN7WW=4#&j&86nJuFEg&*>S4`#${+0~?MDgr zJooA|rO_>VwM@Q`DNo0ErQ*oC43KyF-LJj%kLsDyZ_zsmu z$I5Ya%n_7!HyL*|zz0Cmex|tidR#;D!a$y)R}$E~-Ba`jc@J5(T_HvTt{O2UVUUhf zM5~G-lPf4uRgda)GRr*y`2j#!4F?lz2)0=qAq^VNqSkL&TvUWMFD^zfZF0eg9B2Ma zvsdZI%^8vA+?*>YI2|GCl9byKJCfEqePlKjyD2~<(B>==HR?ufQ~3EkfBxo$+IM{m zCmKHFAw_=F1XU*%dZ*$zo*s9DroNZ@JM5=Q%JPIGOAAf%z0Yw?DcD&ZOr2K@ zy}0~xVBF_-lZ(trw@a(Grq{tW4RjOdf`j%&&FWd+rn#}*%91}>Clr0NyvuescO14p zRQs)OuE`5Af~^UgwS?XdDo$*JVo@&YwpU@q-eb(kuB=C=W%Qv6H=Qy0DuG6Y4k%^X z`jb?R1fud@Gp-r)9YKEXIaW!x8e;i)Ae4O-gu{YiDRT5xQmy-dZk(_RM~EEhZvFWM$(2Z_(irFJvTh2iC5o168tv>y0E$@6 zeiHjuhEQe2buo_IesGH=>^?K@&f2P7HlUYE)}aciVi;IcL4{5|o@h3N)cAl~1-4*M z7y}R!`jcMzrOu*SFAGm9^_~z@QtBe1LB#sXObe<+Y@D#hDjjC!G_fA5z(23WCavg< z*f68Uz`0-vQ8R9}n^grKa}>Fx6@lj2U0h`mC5$4{XS%&?PObjZM-TsA?X|}@E;R;r z2n!2$zZTmL`b8;rR<5yh&2gd&qCDrBUSF?6wL#U{n&4`cBiyB#m3@M=>S9+9hlnBy zv#m(SDU76=7K^yaRH)!q#D}>OFwajnSvA#NOQ2g4b8bv=n+mRvUA;;>YRhvX$`@VO z6Yp$Hl*)k_eK_v*I2F=n2T)#6wn$2!-S0+``k-N)SN|_+oD9t zX(Ua;SQn8}cl0IvSqzy390?!_YKt&j5CUDdmcho_XF6-uII+nN4O@^X+o9M-NOLpR z7l?6N*EF@ti>lgPL{?+&qULv2&ak*C#r)7-$5o_%6`{aY8%l|A6al>zH9-M7inIoa z8mb@|aqY-kE5CaARP9H;gmVZV%Mx~C_Ij4<7)p)h6bxNG2Og*K!1W8JMKobTh}lxh zvLClIve-R0rU`SF%C>?AE6R{8_M@JK4X7pM1%?h~Z;KXXop#O~1kuf@vy!Y}dd8*B z8juH@9*s@<)LU|em9t=ueWKfsxgNN*yjdAlKu(HH2cq}Ahn-4Y;O!!2zhtFOFWzZ< zN$;46D6V&TOn?<^)6l9d$&JXGc}Q5LLB!o$DGisgGM&^*7`xS*>atWlq6*WByt|>M zV`#On#fYLM*I!(yq~5>%@#(+NYaf3jymmao>fY$KVPluc>u z!HrB17EK22nu%1&aUY9;xwyx%E=t6}sx%@m-Lh3D29|UL9vbqT$btxL8TYu)?WvJ1 z7Du+hwUB?>-D*v%@K0y^pte5zkREddA>!Ixh;{IJjtqkg0%8Rvu>d*|#e||Xv8dms z#MOA8N;%4F`b>Qb(F-_CtWD`Cm?`Oq;6zl9IZ-jEsgAYz^Z(@+U;j4@8CiQoj>|8b zP``*(Fokx}>OUzfI>W7vt3lD~>`ghY;J1$k`FhJoNrR%UHW_(p#*JhdGsHU13&+Cv ztwD<_fvfwr(74;T?d7IAA<#B0Xr7I2HJiA&RrI?qa@MG&4+- zCcu)0v#7A6lLifgW{-MmO+9L<=Y3bG*Q1@-02PdqCvB1Qm^eYFPUvC<^{KI<0Ld#! z{mG=fNnR;Tv{x94V+$dYvt->$v{h*_F5bEMt3RHu?RjkdqLEJ0k)AYC!CBTXX55HQ z3^lflsadX|Ths8oJ$6Km2cD*;U1iwzQI7;*7{g??DRYY+SVCUiZS`S~KgF133b+m6 zf{nP9L3B3?1qNVx-4_bj1dN=Cs`wO1K*uRa4v|brx{9n?N@ZllvyNEHwpZubH32B3 zqo0@J-io-T$3kI1O4Yn_u7S>aj+#r@m~G9S4j2hN(vAGcyo>tk1TwR+=1I+B6bUj( zh)8n6>pwjG(>K2LlUq-3++HET!j3}KJfzDlM+hRSj86K9<#LoZ?6!rTRhSmt^x9Em z0^#7R6M{Z#8c`Sg(Ma|Jpm_-1mY}klFT^B8tkz60I^(-K2<-D76Rqi<#?ZiEz%{7| zYu~*pk~$L+0U<4FYO(!-jCCT!!R#w=irjjYtYqti=&Ffw>y+sac56|w66IQ=HyV+Y z>}<6!Mmjx#gsFi>>zAj0^_~Z77p~zyh7YQfpGl5yJFAW>TaivKXh3GYiX{*S^mq(r zV=IO%R@eb(YDGhPXMdl|s>t)MqB}45>e?VP z+N3Qy)>%{w&@1*tx$t%l#(xP(&ldf~*t?lA2JSld$hAkQu#>GRsGPF{<{U$|r_pqu$|Vr$O?kOO4VN zhE06o_ky3lW&X>RP|o24n+g$6_r)qN;wsCofV8_tgnqw{&xs^2rc{8N*2V=l@{$03 zdvckpnl0E-cldKc6ebEsh&^^3=NFp*?xhq3hz#&Ss8XU_C-66vv&3H2(~BmE2eVrWe)^Qi0E7_ zn^Dsil7dAessXKvdw^weHG0Gsx^V^=gn%RHomdnK!#<#wGA$M}^_cf?)Xb}a5b4C| z%~@STa2VAb%v(>`A>VczS5hMS5L}@n>RN~*Ds&!I)C!;-S3m@UZ5$jI5fH~s&Re#L z62nPBa>`&$w+$!M270gVB6}J0Pk&|cm(xdHU)hhpz+;P^H?L?1lG{%_J<5ml8%smM z1BWK*snnRUGV1@lO zFf_V+!f4i4A09w=sC~%j*6y@2P4w@Kel?}H?^MXZrPH61gZ%1l5A4`m^3sg@NB?5!m9{p0#Hg0OAWh1Bo#~sYR|zeTA8r0bnH#?$NvG=? z)199EcjR2th@5L}zr+8$$HtmrHL_brB_P%f17hh;^HD1nj@Z0vz&I6bAf$SIVSJJ;w zVt<^t_cj~TKHkwrKk=PAY4@hps9VoW)Ghiq`Df^M?L+nRo#pZl_Bmw;Ev&;&{PVfm zm%p)oI|TU~g{zqr&bn9!n|jrFhJfJfcKZ)rJZODE>FWQjlXiO>$?V#l=kNY*RyZ+-w6Td7x6wW16fLF7+n(y?<-MbGpH+T3Kg90RKe(`MlD(SJ>F&;(M-_HTIi>e3 z4BZ_VH`>maLrAvN_fMIP${u4GeGna-z#`l&>b|4>WsAqrsK@McE$;`o?L&+e63yG|8_{ zOm7=4DOdY1OG`VxG%4R!J`9gLxc%-f-~Y|j3y*%-N^HMGr&ssZzt(Y8zru=t$sFez z*N;i9*X1qNz>Ym1&~i^pweqCBxFfmPRMbk&5Pi8-`BGawd19O2t;?xS`qWOQw}1q^ zd-*=)T5$2=U}^3`=@+kl^pg+Np7@Q8`*HL6@6KLx`b)EKNxQ(dBMoOia^us^T-V%t z{gLknX!T@6`Psj0`}{TgE@dCN@fG{j_0z?NMm~9IrvFgl<$-H&4k^kXJuz^dUGy(B zUhA0t+KIyWueb3lb|t$|x^Ti>>~BFDT2$-ldO|L(*TA zI(~FcdDQP5_+7nq;ehrs3QtcZ|GU@e6w61hT0dmNSCS*molpLT()sk(`dts%^BvAz zO*_A$e9UVZ`3=^4L%ZHIBM{L zKhk!Q9Gke_{g(XOWYV&i57;g2X7`DKSMK@3%iQ0SEJ+vZKDASkwfldZ8(%SRCO_5j z%sD$kZ&UD>-aQn8W-nD%P>6m@;GmX!GbfMmO zW^QEHz)rdQSnHlCd2)L3w@2ukeD4>}zW&W4>o=(S#SU~OeARyHQ$4rjh3wbTUu(W_ zsP$7l{TB}Pe8zsEJ7hQRT6=!8{6YKq-RJq6=exq^nOkEA_#d$o-G_|#dp+mxIY0BW z=fD@tzSn(d_iuwRSU=CNJ$L23w+r3&t>;eieUHu0bp4M>{zhxJ{fYOk9`2iO@47s< z=eO9l%U@yNNaxp{l>EExKVk=RkJW$dsoQJMHxuEJ>hr(VvJcNne!GU}+hg|j)UUI{ zPJid?J$u+8r~mzmhN{TH$NI1Kyrz9q{=gySt9{cgiNEjp_KRBnqn8)HeOw#Te%bQ_ z2kriNQ3zl34JE(PHnaY$U)FDpeOcb#*UQe4q4Inin?5}jK7k9M=W`u*HJ|1$ULUn? z^`GXu&!?AnHaiWsy~pX{=0WLv{m+!)LFsn$AR(6ez%RBpD_)g2%5dFsdkF@)C$=lz1P}^nWd3*Q_9%wx8`)t#l zzjgnnVPo|ba;|Ok`$sC!$hl&3OTA5Xj6q6`b;Og5@WDPc!w4p}T*3hBCMuKpZQPyA zpmWE23Hbx#QQrlZF<;4>DU6=89i|Q$GnTv!dl`p&e!{Y9IaQVw zYs^c7!q$yir{{=_DIuYBa&}o_I+brofKUh$9K~ z!Me!^-^Rp#-(5-KMs=cb)*B<9+5rd0O_Tk zR?YWu8uQT>La)#O-?1jDP1D*4t%wY(j44loDyA_Et`yG-gRPHFg^%M}(mPHBQj)qEFIN<4Ck?O@9P=hYV5m~t0A zK|q`)r^22$LoCSK@O4BT>lgdG&42#rUEltzb@6U)>ZS7HWc5m`qB-Vq@25V<0cbFzi|y{EeY3OMA$7 zjc1vc+BT`}SkX~Tn){0^IY?dfk|`sFvbT*NxltI@){e%0NN{1v(T+v#jj*bYn;dtb zmPy5(G+04DI+1S%Jx1D)cTMW2S7;S|y1D5(#f{(GbTDtVl4|~xa;Kp9+p^NBg3^qG zeK@&x+U!sK;r2oE4%>gdy@(Ac<(4#Q-3**0yNNN1cep;z#AD=X16{zE$ln-?E8%%B zZG#k2;n5%kF?T9kR{|~8BCjs($*~tK_Jj8AaIU`n-#}Mr9WTCqhi0}neTIlv?>}=GiCuv(u+z(A>tMYw$e;mld5)H zv{^?ReOW<0m{m<&u=;7o(e@QJ`_1xxzk*hLh%i=H7-od;5Yy=qk>Qzik2jc<|~ z5@Eh-*o0|OlW;vKh(gAe0!tLy-HfA&sD2@Qm{!Q1j*tqR_sBHzB2GRmQ5B4+W9_G0 z@DS#S3P~AeR2*T3;#1TOIWNus&wc+_ZRh9LE*Jr8v~jkm!n#y1i?oV|*KC6&e>d6;*A~$6lv?x zpo-0YB1F?$Q zp#aJ~=vlK-7=nvLe%D>}C7Q`HaP(qM-m-WeVp!RO@sXroQY(X%U0D%(;oPt)Pz-Lw z+&W>!cFSBzQnU$JV>rDSwX{)=)|a|aAU}R>ij*@6y#y z3*x0k^BCHf7*!0~Z&q+1#x*Ud=4z%}FNoI|W`GT<*dv?mU=fq99%@&MZdxU61xN#y zxhM_=x@|dwpM@&edIhhk)26K?jarsVu_2>dnXtdkorWrnbG0Zg@=b=NRq=>h{v6sN zipD5zIYu%H;Oblnj$vjRw+Pn;ad#1X$i7;3<6XW;jkqJCK1_r<;SXde#grNMRYgE~ z3bDaf8pZSmD>vrz9ON`;3TtZds&VIsAIj|i#ShNDBZn7DOox$opGI#1Gy|u7!|9iM z2xf8??Q!al^A-vfhd4G9^I3;pl2KNjR^e{gR_wh(IbsQ3Xy9qc+JZpne-Owhmc~Ld z)Q=KJZ9`OnNNb=UUUFSOlpZCDEDDKPE=r_Bf#CiZRja6uFonb${YVxHQb#MW`%_8g z8=DqdXw1S6Y%XBB3-ubMG{cfmR1)u8LaP+~okc4xdCD9Jxfn91^hCsWH%MWlS0f26>;GQ)$>ziQ1qdnoG@;N~-9p8Fgv!yw>Few1ws{ zqRzJf16$C8;@Z_*^L%(U0n0rzNukKt$zXw}q#SK_jLezs+_PM!wPnnRCAmOs2BBAj z$Pqg*=FBLhG-XC#^%#m9GMy5cM@g+W*u-!`$BSy&o0>$D_8Hk5alYE1q!Kh3rUP|c zm``NW8iqvMs3XEC3A1{|O{GhicTj#n$-zh|aQMjrdJw4Qco;GW%^BF`m~YJg_3Xnp zpWiU?H6*%yHp?*awwXW;A)PFgXKC%9q>To{s>-6fzS75%h5d}QW-3?jqAKn0;!vHK zo@LeeC+l`))zbV)N`pBF3ArqWY(s$BRXaEb&ACKi)JWQH^&=fT`kmdJt-K9E<;OH!qslC54k;#6o3TV*|U zUd~R^e#i|d?>Z$@dQy+0vIBZG6pGIMPoMkM_}MqQHjGaVv7uN=O@aM+S}(OjdxI_Q zTlv_!1!7sK7}o%d3Qb&?^I(Ec4t?f#z)oZTET}25Ep={`RJB6!sL?l}&Eptjb$Sa*ZyOSPjWR-O$k6pbVT^ zZcwJy)vZB+y0LVUEcmulFEKE?YTZh;?WROn%xuy_|IR2@BUXYEwu0+!B`JVit=h3p zU$uWe@bxze53esrNUadwru(Ux3ysyf#%*b5SL}Y-kz88L@`Ai>t^5LdmY#Pvtd+8% zbGA9e{gDlw>p;q7SzPVWkc_W*N9T%-e#R}{Lt(BYi0%e+DtJ{Aa!Mzuu(%@N9h|Y= zDB-Pw-9ZK|TU;_m;XGlu0I@?Y+e0jW2l)edFB_^inztylk#-WgxN?C)dVt=kdMKaH zRjk#}gxw)omf8bc8>*jIB~5h({|K9VQG$X&Y_y-O0i!x$2?!%hWI zER>+|0JiflP%RW=3nc?kKf!?Zr7#C`c#{0vxA%R|seNVFhFJr30USogv?`bMyg1?n zMvZmH=~zQR`d}Az2Q+y!pi!FSl>x8-90_WV=@z|;R1`L$k->v*M#)5ofncs+HvoaG zqS6&&Al-mx6JZ3mV$%ZKOd)!Us=JYLw}3u?0OW8(XAsF~aX7Lz zI6Ob%nei-(sO>>V34e?4hURyk)H%EysH7j8lCZDs?g)cxWKqpB({65moSEJl&$2?` zj!{bFk1z>NDpaqEN&lyR^Q#}5Z~V2oAp^hAI13>dG4W|Fi^74x*vjtaT}*=FTU+%H z@?8*vj$aBAOXBXvR=Z@Z!9do^xV&XE?+&WdCC)Y=(KWf+;gag>5JskhTc9O|=_1k3 zhL8xqUrpLpMdvP`+&Nraqx6&5mf5Kfk1D?vw(9EqCt>K_nCjRFDi@^^h2 zGd*oh9=SC{6y6pR2ObIq5*pXY7|0#o6Le(}gH(sc)nX~8nU$*%b&EY{diWI%l#{eh zJ^da(%OMr$Mv#({lbhM!&YHjZ4kjvy{Zf+0#P`+vp<=+c018MNV9_-jiVDh&qIa?rA-9aW{c8Ug{F1Jn}8s2|q6lC;IwkaU14wt9(D? zmm(_657uji9z7G&Z3WQiDqYwGY}AA`5JB$-?q^NILeicB82D6(jsV>v6V^k$ocfXD zN+igleXD}N_iF(5=o{?{@e!mqp#clTG2IFJ(;n2Ju`p)%SU4!fi5XcA=NT?Aprlb& z*??1S;Sv-*NMX@TpU&O+vtO?bzObP%u`GRYoYld#T2;#<=)pmSZ(#=?vqDoD%4dWf zBgzOn+6bM&ehzd70-~Yeen&!4cSMV~yn~fkh?Q(BtwxvEkaU%GgTeSvcO5CA^d4%H z19pVoWA@ zAa2dUtqF_#@{7Ox#+lnazq2l^8sb9@hS4VILs&Wtb%zsdk>~}yr)PI*63#DchbrH&(f%m!!HyP_a zzR0iH7PJe{vlC0~FFD-=mj;YZ)@0Yc(n=&;P!VQodZvjzORJ>vIHXtiHk!&1{SN`A zs_DA;;LZA(X4%_95X#%QUMBSlC@I(EH^K_iXJvLr%krspbz8?%Z@%kv;VZShZ*45j zGS7m37~FSjt8<_Qh$`)_BP#eg#4%l1K0&(00>Ud%JJL%0VW$#t49I5U4f?tiVbRyL zE*(0%5L0zIlQgaCG8C@@w#6?bSQy*IN`aMzqMBaDX@MAXFEi-gCdFbn&W8F~*Fr%K zf{1Uc+~_}qs5MkTDHpEPb!c^grk_+oOaC^wTEhodEIQ5TaU~~BSVi3hy4pjS8meNZ zGgL)?176kf*X4D5h1x2}y@I?rx~7VIc?;7$YZ#_^4PQ|YfF2n39e}mN4~-nbJ%^PG z$j^WD`=hDamFNG1AVX!mKlB1m@8WMuGQ?PQFlk{-7|K#fx8&DlS;5M|F5Ab1?=@kP zmh}}3n6<8w=@8mOF#iGDFNj9QJi3F2ap5#Cd6o`Cs>0kAeuFnR0ccs9n{1^8P%Dx} z59WfNSQn-pTD{O2+h9Q)rfb670}@yORY-F;r1?2=E2~H)R_TLXWqZ?Mz6Q5~ZSsaV zr=XlEdFK=d_(lp8>Nx`ST;u?(b^PXrL^DnFJ&?Y7jJ!C`--cUQ;hy#EJ9w)>$=Q2+ zq{oMNHN?>{pzrhFwulS6)4aQfmX7NgstX;&UXK_eZsFdkca8hIn{ zu46fS!xZrUZh8V9r;2+yx9ru~a4!u(nRk-*QCedgj|2yroDwGNZd*Blp~$&OCdkGR z*_7~C3{gWyxSk*}t)G{t7zouIg~IWM2A>y;u7?Z~M#Qj~Jz)SktDXGuN{6Z5rV4kY5W;Iiu`PLPrealMdBZ+aA7M3?(_liN_Of)tO4yr;OIv({1l4E)&#i*T9$Xn`!6*)7wDx-4O+~peU%&9^r%!+m*FS|&CpS6 zgU+^&CR!dtTMcw%5Wz`#N_IfO=1l;;LPAk9lw>bICeMwt#7g-z)gVhdqK%SGvQKXk zyF*hfLZ7AjZE3d>=DiNKhtdQ*vj!&FaDLD>5H7(cewwDbph9yV=goELy==SF>2D^s zq9O}sj%f4s#9@yi$zg&X8~>GHDpr}+RkAenrT2Y;p~tO8)S8SI!m=%8=5(yF$=UHc3L}uD71-#&aCK- zGBn>X+)*g{pK>cy&cR;3tehgBr&Uw~kSeEk>jQhBoO;BA_?G-}t&>Q_W}-`I!@PtJ zAOPFpFs*kt4RbePzzT_4T~N-9y{4Q|r#>^kmN3Jnz{)7k-A5P@Pb*4%GE8ekx7kUm zG+HScXRN~vR8eJ^N*+kW7<4n5rBmHT3^;PSljtkS?a!Sw;FoaG>c0!w;=0TlHs2_94S%E%N~TTOJT z)3TtB)tp)z0=?VA!nP`)rn>hC@Z%|rx3YHDMBUpqbkZXxp$e-ol;vZvxNM_+~ zzCGM$Lh!$Xzcp~?KaX$dD4>1eZtoD+K17^m?1lA9p=%nL^cVTda$^u0Q4h*mWp8DT zKxHd1J}q>?@R6qrQ8+5yqiZW)Lpo;p1C~CBatSPD# zu6(^bwuYOsUO%kpM2kgJ5d4(Ae{p4@8RqhBGkS_CCM%C4e^T~D&&DS{b^#UE)!s@* zocZmf2l;p?XBi_&tzxl6%O!r4G=#P^c))npVJXldvTY6mhN(^k*3`KPpnU&cCN+_Q zVh0kmxVV?@g2vYV!@jpZCH~$&ZOFCkx6ogZx8+*c#@6Hts|vXSxWb8yFqn1aO!3H? zSv}eS+bkpD@q7TSy(H;S&q4Mdjp{Vi_EH1%R$9yIu^?9m>0Tn~HW_wx71|g|7i}0g zc9`2Mb70b<9)#(x``M)?$oG=5xv3MX3(n^?0dH8>bqRYwz~fL|SXTmumcbrik|nQ> zdHtbvy_?)ngD1&m~lb6FEp@W=JGnCs-ZAJ3LzhE zr%Wj}z7+qZN z2o3HX*5m8v)?>+g8M7T4CIR18mlJEM z99rB2zj}O4mz6MRg0K;Dq_cHc09bCzvkv)%qF&O=rKuHdltxR))LfEq3q(DS8GZZ| zYXo}~G#^?@GjqTH9M> zy`6W{3sNs`-q*gNP*J<>_Z+C(?(g?|ee=?WgaFC&dCv2BKhNiR-tT-9YNf&Oyt#vu zO0X5fJJ7TN3foi(Gzk!_QrPzN5VSF{R{M`GPF%b1{N_8ezV&sa-av!r6-s0&0n78C zwivn$lqfAGfP{A$>w3ZvrfH>C1>3TyE)~D7C0P(0uEJA(tQ-WriGg-M3@!XENDnC} zS71PkLq7*^20tR@vd|@MS z@{ufSYTLs>x){&KQgn~T^aP|`y&1p&@g%$Zb@ zG1iyEs(CQy0LAAl`|2bM2X6Fg8^qydYqlzJ*z&bGp_HlCX_Yz@tIkc*v)X=#QgwL} zDub+^RjQeIm{IHrF$WN?6M<_=m1Z zzd8No3;OFeoq_99m|!98XCe6lUPFlEfN_`jdP&*e0WJYD^F!qu4t~Lx)*xP3E)lGO zDTREAU&+2!oU$WAGfXbo03lbg{W8RDfT9>UX1kI$1W~sPy}XKNUgDBiJ)u-PDHuDM zrYN0)%BT?T5ol-tP%tiBvboEcY&{8mL{Yp|E5=150mfW~6pT9nMbEE6E?8Lsx#S&n zQZD%dfZR?z8?p_=drOJtmEtE1>@kyCHi1!g+p;}}Am`z{<}pB`=gSQ zrRu>;!K5ot7cO!IG5{0|%jB|`Drgtn^m^{V5Kt`0Q^2lb*Z=LN%x1#5Y*;0{D4QA9 z&;v#l2*puzrDeDq0Js~hgM5^phJ4qql#xZnQnqnj2?bLSLQp(d39XZCS_f=T!o6aFPVrY;L+&^n02-A1fDTjf4#?p|N^#j` z%&VLl;8vJLuc08Ka@oRasGje$r2={&4P1-MWl5Rg2yag6C{*!i_eTwRU>E`lY; zM8h)b?ROQ!$%g_G95?7{#2o5X1@+1~SO&?ZmlE5f5V^E)pc#P3x(tdanvFxf5HMxE z8N3%fl~Ax!AkaZ}K@$pKgb1{5@K3TGR6ddyfvabTsSl!ppQ z*la`d-~W#j-@Sb2b@z?;LX=&`y~~D$FuQ!3s2CQnSaD%+38Ff~nZ8N3FN0o)vJ_+! z4PwqR=v5aG15PpkwpFkJQ&wgr+$x2y_7yM&l?5n= z0Q9XwVCig10l8r6YA7PGfP9y6fe5H82tK@QYNeWplYqt;z@G~8FMRNE%-E(%%uWG9bn&b17vbAjYeIEW8zZ?Dz|?_V{js_1myOW zhynKZ0Q`k5b`K8eT)%>U*Zdug!QM^?;&;>q4rMT}eGkwQA7QQ}R4G0bh3bnw3(oCEE#A3ngSLE)OyLYdE~J z0E*88#f(7-88qzedvxGqQ1gWdvt0AV3sJ7Pl7&P_8>D>8X~^}O@AWm9^$C#kC^5F!#vILb$u27_Z!x=gZj5xWZ(9i4PWMBZ;00>p91V13*Dv{5CUqHj) z-9QK!7({U`3EMnk?QzXIUM;mV?81}3Ufh$Fv+w$cUv4q|+t*5msQ{0lN8ulD%T`ZU zrg)WSGed%$4jhUaV8%c)56!8p@-%!eGYV@74X5KF74$ZwhoD(qrN}A3bAqZ<1*3MV zPF{JXpnNa1-0%*=cT8ycId~O3r-Fgl8{77E|M|wR58<=CPc3=-ys@ubAXVAs`odL2 z?w!B*9P)lS`5Y+RoILiF1Uf4sC>$>Bc+2EIc@z|GOs*wRP;q@Z^^4((SN61dLEPoy z36OcY7;A|w5$Cbkm#*_ChYz~uK}OOwf3eT&1%(&hdk7>{s)jfH*e%As^eV6NonW532O9;`|A zl!Q0^7!+Hi6JI<6S~_3ssSj6#U-`c4%N@^|K=I@l2BI~`3Z_!w5(KZpLEhzC#tQtz zu8KtY_q|_we^0&?d#U_k>80|0#N@Oq6<>ZyeNRR1$$1dA@7uKFG1K??tN1Z*q|LQR zRupXe!sRZQw7Bt;U>HRJ=Q+@`Iay&eEgXL7#7m|s=_S&=V^YzynL0HL0yD2X(wbPB zd>VC-FM-VEOI!N9(n9QKjV%|y@;-3WJ_%lO|I{yBZquHBGR+SElcHO?X~(}x^TwZ} zA~bNN@jtikJE^?pDMcA4_t#~6Kw+i4m%snyF{iY!1q4GuCB^v4G_&OW$eK9lNV&dg z`Ze9OV5|Vep+rgInDfM;9f_w+?(mV5ppEmu$3pA`n?r@9uz~}+c>jaG*_-D&2SEXad zSLX2&`mbARv)aFQ~e{mm4#0`jM;o3uySi zf_3Ij4k}q?g=9G7Z{z*n09BjY*e`ged1;3t$^r%Z_aX5}L7oB5ApM)GNJi5ji4#dc z{#pZ@M>p{iIpHgLwt_T)BY#t>Fsn*#%Hy1?w6~u&xOdZ6Nah0B!H9hXKJ}XORor>VnkL zAyO|4Gyt-zCnI?>t7fC7VrmRxoJRh^0)`$NK zONYPvmFeGAD>s`RNC6?fq%+I=N;u2<>*|E3+Q@!jv|8Wj|psrC&cil#b;np2n%t^l%3)_vs-lU7rb^uJ9;bgdP>; zX}GCF$`7ca`B?dFgerB{uh0H8D^>zeDmVE1&;$l^&P1B>vp_(UPTSQ&Xf4d;tD#)0 zfk}HK2klKkyYjnKtF@|C2&SziATm;A@XHSgRODHAILshcsz}XUy`ngfk2rZ)+%D7~$y;lOeU})V@yu@k3L_#43U$ zIm>+@;6@+YXUh;h0IHg$!Q%YpvC)x+8vgkfKOAX? zT8L&yBFHR(x82Gn1Au9>xoQ~aTPqg_^n;PHX{@E2CD z<1lN9;uD)KH`O|)7i0bgeT&)GgnNqw+*(PeqHJgJjG=3LPQ5%^q zykU#KjZJaJ>NSb;cs})q7gHl{9Y3S>IAu?lRTZRosTA~j%aU(B4#zhl35C?A!~?mO zc866HzC@+OyzDb(mAU<129v%_-M>Tg*P4*BLU1WXcxb)S3zWYC6RLT+Ey|9SBuHr& zp2Bx=V;CXI5d$k82Lb`iVx%+pH|_vUZl@Q?8T8sN;nc;;LdFPg=G#H|M&b_QnGfCg zqF@+{>-v+m+TA;Ivv2d=%o6HC0~Q*2Q{*m4m8BHHC!cUn^8^1p&35A3#BSFRm91sV zR`JjfBhH%V(0VOm)M7n@*W(dVg=_IKPOsJh;~0Os-F1N>R*@tAb|CS)K&-(hE1~h? zXLKteSfC(n%@g%wgaf?^Jt5Ts^ozC7{Xb^jCibETMvl=roLB4G>YC9;ie zn5&=JEpOnWTqa3{{1A3BMIzFfvv+syEVLBq({Ija{`%4nUFBKF9$2xN>Xemcy&_ zhhu0tt#(t8t99`UowR;c`)Y~MdfN$#Y@vW4kS7m{Y@0SE8MQ%frr1aDzOb`dygVHE zHg4b_fj&u@CekW!ehjap%?Sr4;uZrz1h8V(6Y9rC^tN1Pph5c}+925HSj>^pJc-sY zA#n{KW^r46E+y;``fri&8Hq&APSVdA#W)Y-WE{!GOW;7qSB1P=XgHum_@lM{U?pDK zD1~OK9q)HS%qK6vd4Nxhwp-|Y(qC<8Eahn2L)nwH?dr{Rfe6<(%myRP42`9=j}s^ADKzR>smxK(tl^Mx%+#_Ttv@ao`B~^#U7*Si#;=Deo=lDz-|6l@F zKS5=@m|5bCJE@_Y{rb$%1aL&9acqQFG$14`(#YhGiitrnN#De5A|*-NEuBFUgsm}6 zn^q<}ts!ejt+$|iM$v78o>iYNmR3zb5KQMa>H1adY7SbJHR|v1$aWgKuLD6Eh}Xqc z1UAXjYQie>U8Uk-;^r|8ABZBgxJj-CL*sf|yD&#&ibJ@af#Y61w}Hc-$8!cmjdmRq zKtp9rpbNjz6lxw!yBTGDC{6$ThNn-jm>^>!V`7X86LVVCj19AubtR#H*GVzc^n{W1 z_5VafdP{^J6A29!n2~;7urUx}lTkduRrwu4f-gbnnB?q#7-LE}=SZ-;DYE~Oh&hNf z##KpypKwP2^$=#!Nj5WwBg7esbWoGHWe>2dy6B%#n;E?<8k^+z{X(;Bd0H%CL&iU_ z+(;f=v$H&?>XHTX1c%Byit^?7!ftJLf23?7a45l=kM#rDuuxAafnzAu_n$I z#o`f~VqPV&)xsz;m$TuKcn8&|mDg!k)wCg6YAn_UI~cCAVRvutrp6wz4o$d7RXB*c zaB)he`XiXJ~^Vfcvbz$kcmz`mH+jT-hrsYCRII3;oxHaQ?AxV)e z8-|_?tU<$N@P7RH3|BzU6B!Ipd4IQk9LDG#l{wYgV1N?O5%%?xR!xO?`C+-31B@`1 zgEQ&&?DQwrQ$9?e-pK@EHeX}GLz0hY9%LaX-QDsJO`pWvDU4(>AAv-IjbL^N@Y*pix zz{$f%C=v3aSeTl^Y4wObZ-R4)#cVo3ZGsLJoZ{1qA*^A@+qe6-e{J~g)x)6`TVzJ| z@Ed;RKEU3#U_Ky?j1D z=H%Yo%bbb__oCPN#?YHb#1`QcJu0{ZBgL*a-pg@H2_uiBH$hkIQN}@~;yTm98@EgC zwd_J|JSsQRSotI`=W+XTt+OW2K5q9x*4MiU(c&{ujI(g>yzY79;*O31Cxgb1>ar-# z=ft;s`+X{hI=*cbe_gheZuW&*Kjy!{42auPnEm{Pvu3AfG|@&+OU(_fxueBveF04y^A4fuss8qG+mqH}TT5)0 z7UvRz0S$N1^-TQrT%EsXAeWvNrm<#X)Jof4*49)@yL(srt+!W2acsd>nERM$H4aeL zN~{IjQbtd+wwLKhLw{9+{0P4ZZ4&P&L#9^drFuhc<0I|#ylA5Dd`XD%vszoxjOC;4 zS-byo-8WVF2c#A;(_Am-i`*#Xt-_%nuf1XJ-Z_Jx)1JfRS~-bValSg8{W!Kj^S6@z zV%fsV`qr?ejGC6YxQO3@DC3V@91Ih^k7srq7C}Dl2CP@Ka|rvG5eM$^737}KUI{!|n4%`7<4n5sa8ONsGy00I0e?}O zAx;>I8-FH1lQS2{b&ZN^4u^KrZ3TNmMP5de*459~juJCv_@zp=MM~UMDVZ8L>x&}ck2+$fb(I_lme2VD zT~IS;c|&%(TPE8qS-3PMldV*LB^{JPr@ncd=X@oIaL5lLci-%n+y3h>zqPN}Q;mx! zhB>yuNL;wpb%Ai*!R6x<8#!G;cta3w{>AG#bWFl%q1cT&58c{39cr4>RVXxyYVoFY^7HJcj&5;o(4@UbV{Nct8$E- z=SZ4UDeR@<9A&djl%z!SCSWQ_LQ`vMb0zUxQ?rZ|b1mW!?`$H$YA@n~jmTJBl$F zryQ?+Gy){qR2%67ZH%#^cDx}QQ;YV;Yt4DiB(&dCJwl0C!q+k7l7r~#k7$E;iQ;a< z0A_HsGPU^b3R>a=HTZ5%t3RWjJg&8tGG+toZ{jU{9!%Ky?q;;!J|@T8``oY1fvi6t zz3#Cvr9WaH5cLCcS?OwVFaiP|>2aWAl-6?FYD5j5sY7C75H@Yfp5aPpL$HsNylA8{ zfnbcghtAk!wl@xh$G3}JEG`X(w7#2kI^HjLafuPO+*BvOF__6xc2!&_4FDM@|Ge0) zwgZ7CXVa^5giP8YLJKi1lo6|Nc~#WHc!(VS1g~l#SpnE>F&JTXM7Z5$90`Qk*j-8< zg*K3y0Zl9A>(`5Nk_CnVcQ*GXfqn)QSi)GY+(>AWyj}|XGzr??0F8PXlu2y28mSBP zv^E3Mm3Hg~RwhZ_ZY!qJ^lHw{KKA9u_be9LuUkZ%VycKUpr1rFM16jPH4)vBNEq4i zFh3Nx$MJ_6>M_#JQEMOy{J=6nI!Pp(tEkXCABj;-c8_PDKZaWxO80m=Y1)PtQtdp# zEzV+?=P3SzJg#>Rz1@&zA4e6W_SASAMtzXoh6a6m=nk+Tl*-Q>@Ei%hmpH|MLfyBK}ozh$BX+U2D%nOi=B*Pu10bdH}0Y` zSTbE77o`XiIM(taMP^W|aCJ%4EHj;S@s#fdydji^aZQQIj!dMN0m#-4gv6vieMCI1 zdY`4O_G~q;r^vOrnc0MiQUz;lA*71yVWLkpYNSEp1tZ^QDPmdKJ{X=MR!QTU*QpIitWJ>4QQsgchF}s>Bax`3@w6Rqpr>tR z2c(dv9yo*5AwdO#H0+q2FIz{#a=>|hC7%vTkXgrGpL{Rt^Gny=${hNYc8*A^7dJ_E za}81QBm0H|Z!glfaW{&mIfQR@QD#ZPBQYE2>H+Ez)-;F3+I5~hv6fqdYt)$C)n==o z8z(%)w8s<(FsQUk82On_4$ygQnItB`q;feaxbP2T>;2+AX%O8YUf5~%^FasY`;J7v zS;Ngy!+m^CB4qv4v()saJdN|yw;q?d})WM@q9avn4%VS(QX3Zfj-DM!Y_$a~*Q;``XWzEO$!^tp- zRJ$T%Gw(BYUx>0kla{mfBDXh)k_OoYXBTxrPKTETv?#(-vn-mijraJNjUcB=SwJeY zZD_RGYuPna1Z2sIiO~c< z69>Ktf;$`v(7e!T%8>kHm5E|P;KL(ym^ddx@-52xvE3sHj42X{0JQ&^yBMcU(yyi& zHJyWT>7spt-a2F!I!r7n>IX^j9@}?0Qgv!XU1KT6h_#mfummKLTgbT}2_0m9&==9N zcv6o^8OgnRAq{CxiI!+|C}a!fR+4B9uMyH>Qixj5a~Tw^7P@iTYVGl3mt|nq_5q4! z{Vv2-n#Gu=z3rC9b1;4q;JM;rLOYTB&Ny!oXg&Wg*bNkVTf0W?=Qc_u2B`$c`Wk)s z3?qr-q_H6w$_&Mg0*+R7QTgOIOC!Ahqi;R({$m@yXJ4_=joB>Q%Q5f>&VB-RITM&M z3RHd!=>wQvT}zKJ5$&UqTKHtgcgdNuy%i_<%+Pop8%5Nfhd2T=+pVDthQfeA8a5LA&ki==fine8y^fs;ZtM~%?<>HKw^ zDm}yoYH<;#*tF9zJ6o-yGLb=I3#@v1iiVmJL#f8u8j_Ko$6JtDPwcuGn=iA&=vo|< z?}$v+DWr#BDlyZ_LS8Rw`0YP z>a~(mR!rBB0igqhn7BRW)vHMmJ5wrsG2%@sO$w>fozyD%uqePu8x*e9c3?r#6U=%6 z1BP7=#7bfMP^C)KhJayOP&HPfbfyvly4YxtscA3*oqi9Q_1Igl$yx4Q@LQEzl9Dj} zcoce6=*Q_yF_)G(mSR+bI+im*$sQ4fpeM+*mJ62>nRa^k30z%9v4fS|I2)495|k|8T_BVsLL3HD zL!z{WUN5W$Ei;MDmQ@3&mW|V86ckadRNUcA*Uu6z3tJ^?DT=UXQ1Q4Es7TVv05`@H zA(#&@9?@|O(B(0b&5j4zuEunKXaoo{ChR^FC!!m)_Hn3T#OsA!&HzL?6Jj+>qE$odaVXJPI9bn) zV44#~l@`cCC0JGvPt?NLcv_TFT|&TW!)pg@co(RKrDN>{>H0KuPtp#OWgr5)R#r*8 zmSxsqsvAUL1!vWSh9}o+W0at$RGBcN-l|QP^(c8CFR8|f91topW2|1Jo5{4(LmCya zx-!POI2F{`X(W<*5(@YIiUx#=frX)UWRjKBc~o~BnN<1T0|B>HhW z^yjY6zpcOa!2h}aY1M#&i)lQF-E#wLS4oT~l&%439gz#EfYPQ@^(cuUjLT&jwN99K zA14WI)qs9IN=XQ_v!dXU?eaQYm6;0}J7~wKd@({V7Sm*Uh+D^gMsgXYY^F;XAnj|k zwervtD!+tlNEyVX)b>mvq_TCf@F}s|36|3fG>MnCXg5?!_O#HdK%f%wD#~l0qDY2U zRfEcrr&zuKm2rlaGW9^sfP3{kEs>eLAz~{=Dx|Jutr5CK94VuHdY~uHB*srOv?T=0 z8tKZkhH-B9tcBMLC@)gUV)_J3)?M;#C$&46PI7HKYb+Z$fELf#_m}04E^ZL()Wuo8UbRC>lsAKwMC~Zy=w= zuqgtlV+jkuCkA?HRgyX&BYPUsH)3P55Nc0HdaGRmTM!`uL05u63gdRGR7-g55WsP{ zn|C5L&f0zXoGR^EN!6-l{Jc*D%BWIF6%_H^+`ewF z3dAKmh5P7q}1SFP{u>w-$B{GWl+igxH z<1dC(B$Y0TCLD;v8{&49LDr@-q+JzR?NGIf5pa=t0@_rbRY)k7J}b(-bi>(yUisv! z@>L_DRd8uQ4Gw7Gg#p;%X_aaU7`9>IAWDo;`k)@E`t5jiW*3o8QK2->uG)+B%h0~U z(VOoPU-m?dl|dZ09}l6*2SNDQzDDEMW)kj@A6 zDm=XqiT9`lyDACc6uM$^_z?r3qcnRU#An_!fk>Y{9k;`qN2&znparl!&}PT%Bnz%8 z>C-`3R_g<1$fTXkr0h2$Vn}d-f6oyN#iozhRXl|G>bQNx%upft(F7bzg+BkwpMHz7 z$Q*dA+$PvMi%yoKpEEV(?nY_6p1M;;x0xM-3g6$*19-jm4JL=2=sR2O=50vk3ur!dn_MruA{Q6r5gmoi zF&~avdfAOh7sJeUHJ83|&v&}n^RPSI`xEM2hWgidXYlr_{3@&r`?1{nW*BR;jh&8H z3D3!iu~J;OALQQ0`e=6M;aLf9aP3VC)BIQ=AEs`|y?gwOFEoW;mL{2j-7LCycXE1e zOxs00-n|`|A4YV1tMvN#N%0fu5DsnKW4Pa2Hu03R=4w6FL;M7HW2Z*?IL8T;c#+%I zjaAck@Gx;3S?dK*8xdEn*sMA(bZ|PI_RY=@|P&ZhU`(fuMO75zD z(OaV$7wbN#%<7J> zER)LH(^*bzEUl4iT;)ILKlfs5rJVTFVTaAl)4qL$^6`eNxy}OD*52ut>8i4-r`_YX z4FKW4RPT87lMl-*xf_d9VvpsRfBa+W?T*U39n1yo(PHEA=wsQ`oOD$Mzw=#3ZPg!`S;JE4(Xh1Wf6i}tM=H#&_*hzE(S7WRvu(e6c@tgAwj7zctvR=a z{!X%E=H;&QqaJdWWnW9^_LqG+aOCYrZ7%Qc*(I(+WQU1eC1pefe#hk<^lMb=;O}5q z`K<5qvn|$>w4p`*JmBkVqP}qu^1Oa`&emCMAF;7MH0krfn68_)O_m-+OG(GTzcLHr z)A?=>meM*W))y~%9rq?8rM~Cxt7>p#{E*ae>-JZrDY~^_UgvW=w@wqOm%C!Id(z#m zyIb%n37@Wf_)mKS)AxFE>5seK*$MJsA5{qP9q7{0COF0V7pAr4Ao2R+pHt3D+q*aS zT7F}F&@HeFTe|#-cWhdGgGo3b7| z{I~nt-L93#Cq7Xc&EOlctHTwf>x&)F@v#}@so0T2@I~|9Kf9hj;ygK@du-|zc;e(d z_RAe_9jQ0$Buh^w+G2mmX;$v>rJVNC;SynIxbe0wui4$H+5y}%0`Gx)bevh_pOoeko2b49ldZnAhU(i^ZUf3}+O&w? zkY>V9mk(c+vy(@(kdSB-DmAgjC%ca(RWv-Lt@GWSbdl^0O zKowp=yG|GvJGqU;FQc7}F<fjPl~>pze1Kl5Y9!`1N>6V5) z_j{kDw>S?!W@<5RQQqlu7`de?+!r>bsJw7f1fO^OrB5!0!>BXqZR*y8plE525hJ&Enoa=ZSM*&g6S|*@R>er$f z3CcjI2q)t|qSCPvyaE(SMBtd1?SyHNQE37{oz}@jdD2SOBTvYZEhW7{O&X9Y7T~Dv2d&oDxDGmvELbJatRv}!ziH7VS5lr6gc2JnrxI*10yv&bhH4`6-p27(xcS{ zYfOA65EiA7hRzC`q}k!s1Sm^qYVh?SG1MSKF9Vds){{gly}`uiq68wMNFwFS%xXAN z!|GsaPoGKH)fL`YCgUP*phxZ#Rzah_ORe|vBGk!-MZ3Be-7{t%XWC6^c2C!KUfm6i zl5U1k92dKJTKvN)Hyz7RbiL*9| zqi66(i-kw^v)+l~E9An~k>?E0Ol%~KxuYVRN@}JNzwmwmyRbisn(>REJR$Y-Fr=V$ z|H`-i4tnX#zl|H`&UUj=p@oQE1}#Ej{uvkkSU@lw-G+|tCVv+g-z?sA%jpH%dt~3% zZ`1R+Z(D-2!}nWJvUIT{cX^&=7j3*-n;hS$8@XS)J-bRO$LkSQ=%U?-?ma-uH?+|k z3FJu7%wki4c=>XcqkN*G3W%qZVO{(wc~lB^5v|R0=sVY>)~#f#Z34zko|z=r*}?w$ zYPpbj9iQ&*B)`{a7!2E3uUND{8t-$Q6;h%LZ@YX!2vFJNw!B^L-_&G9zP_sGs10I) zxX|?W$WqhU=}Al+c*R#KT553Wn2V(rOR#eB9GPc`8g#%2P4<#IXxvIx(fZW?*m}fH z<^Zu^2owK;dpd)QcqyT*%A2=}Dd(fogtPw=+rmuQX3ZZmCx}J-`Bd3V`y1F@he`t# zVi3l0$&0+VXSkDJu)foHm3Sx*8+~i0U@4g^EG0Dy?{~3tE$C`~seEyujV~j=2r#`LVH77e#L;=y} zc>F;2SUq1R&H4i+LB4O-QJJ-!C%aCH^ldIY-nXrpy6lq|T7LgxUsajx>D9t2y)VWi zuuunH9Vr1CiIS$DQh98Fn8beK3uB)QZm0&BNyNt7x|7o)>Bqj|4co|j!}#K_>;xTdyx$Vwh?wj~U)>gyy>*li|YL29q_P-bJbKU`0&T(m=!pDsw=7M+| zTs?~ktU|nF(gv5$7TpZ)=_3iae&9gbFE1Uv`2ca8@b-<^h^u4|8}(m~#e23hdE>j2 zkME}@xGn4hJxv|j_fXW4y5mziRW|MT#A0|;Y$fM_W9l0{yF%wYeQfOueU9Wwsox=v zb|ed<#ljNOEVV?F3s<_vu5R6Qs;{Y_MIIIB2R9KVgc~R6X%uwVZB69ue-^sG9jUjBVrqOdzgfBq z{;x2W$={30lLd>!aN%eagtFtVg2i2MS?$WID!UY1XsJttb<_EtbJ{0GxU#x+j)9Si zf`0jcIhog#>$^y7E%)`1?md#N{$Ll8dbjXdShcs4xjw@we$wWtw3*%CkJ{WdYZi?9Q;a{4 ztRNYW7@EP;9DwQ9&`iIGZxTD9EmM9{_V#tUga*7rdJ%DZfzE!s9M!?e%``Jm#f><^ zn6=bJ`5%-h3BBX#L2yE}8S4Z(tWs^TP@*@x3WO!^vuKta=pp|NgNWL1kTRqO5I%T} zoQ=2Omw^4~M>IR!LsW|N7s!4Hn?p=e+0y?gHhxU64&~p*i>OUp&yX<`>t2>3Y{XQDJI{cvbUw5BY*b)9`_3jW+-t z*-c~z76E2|-XlJV)a_CW8lghyb%vM~STWXJT{$3xA%&Vl4Y(X88VX(cj7KUVYv|`w zOf_w#3}Fl!N{Wr|Z0E=6a&l8+ofpXQOZ)E|7}0BkMPcZUCr54~k9nn8(|}+|Fi9Y4 z)(5K)s2ea+o~eqg6S}|@16*P3`ALypLefj|Vgz>f1C5YFVu{iCLU+MNx{O>!9zq*{eFDR4#4bLW!>vVmIihR=KGshb)3@(`5Ua!2E*jV89E=&^BTPJP%@A$rJ$@`q?!GpE)`Rx<%3N(3% zTR2+MRrTn;IFn+||G_pHyc#}MY5L^oLhP77c46Q$xj5>MB^Ew5Nsm{3IoTHrUmfik zzR6KSJy)~i%M-^u_?5DkOzvBL_a$4F6QT^S_ID*IyQY?(N%@ zJQ-WeIlqutEdSvV`U~!1`Is~ep!F5gtZ;3AUzhjVfnx*G)qg$ah+Z{SEF6WAmvCaq zwV8PdxlO?<-k-Ymm28C(n5JL;)?M?h=2(h!x8DJSH;3u3T;A?e6(s-F2fe81rGjfi z6}`69-m2l38_#2dr$)?f>6N7&_sbpIc4}RBorlqbO?z=DkOL?Uk;1>)7*& z^3la$ChNa{i=yk88j9 z`JmeyyZYKbScgCEe3pLv7hhfM-uSJ!n=~(d@0h`K&^v$P746waX2{Q9b0>xu3_Aw< zcWUL7%RTI$Y&>O2^&IXGiv zl&zDVvgxj1mnzKPww8zxFfBz9|F~c09PH!d3{#f2Jw;Rz%ogDS*Tz16U{;BzWzy9h zXWQppXT`~al+Bo%V!H87gbTl|e%n3M506w--64Okd= z;n&E~z-uDcY6xuVsOWm`%lqw$YUuLqvmqJ&+im;rmY#U$<}*cP?{WTuXa&^R^8vPb z^1J&1uJ5-2ke|n^8Mxe08LZ-=$vN={-jQ(&5u(tKPJ1mZ&<3h=!#P8^SziVm-J7*p*f6MKV2j077%x!*D zyz<~9gWd+J6?&iwQ8i{F}r!QLs zxCR~C_1juDZ~N}T{>DDXopYoINY8W(AMYi^Gk=_L*2pdS6D6dm^Z9S`bubmxQop-e z?00q_Dd`Soo8?;yJHBaXC#Gz$P@UGRwi;Vir25H}SVP>3TKx^#_kP~?!<|>MX5a*T z`8J{-2hjkTGmQ+4IHcozzn+JjugtXu1BMM!XqQA5l0BMRlPDFJPzZGq3m^+(YQPVo z4Y=N_Z_s2)Oq~!qY;Wd)JCjb+fP^RdfRIka*vSHLq-rZghXPa?$_g_XCIDQLs-Mzl zt!(y7-a#Ps@8(&a0jW|>-5BO2G?C9UEa*-6_#BQ_NaYju2_2F=p;-o>EVu#la*&V$ zuSBNa|Ac2`BVk{QH)^Q$t~HS1;efNT5Q{N1yt`TBD$@p#zXJIrF;fR><{@C*Hf4}$ z5?~bQKNh>`h75H`026IRwnfcnFD?s?!M*P&=Zv4XeCac!DO^paKwk9^b@P zK_YaRr|e9S;~eCTM%hV{^Z1Ah&PL%V*twjvyKW?h>6Tbmzn)3LHm&ABmP|@$fa-_k zkSMS}$3sK*hA!496E?dntS2XlhK8tV4bma9bDXiuiwsX|M#*`poMbTlI%1sGk3jyO z)Z9Qcbl@X7u%;Va8fuNHHoi-5b4qL+P6>(NgHjw$IO9*?$Dv%aJsAQb8UMGWVyHi` z4Uj4i2y(4SU@`b7puRf^O6V#&=@@im6NVP>BE(=b7Nj1Tz=GRWAPVp7mw=P6e&wjSl<`~7> z9Ip|x50kSW-_o(a_NDKQPvqdAM=Qwj)Mqa1s1&cq`*QaOHrsw6m9rm;6}z&#JZ~gD zf4qIOwwtJuOA8KM_!Rwca-z!j6E?3oI(?D2%6#Vgjdp%eaGS*bBHifb)Vr_8TD1$e zb~v7UbK+v#xY4%2)ZO0I_`|ax)Rp+cSylhd-`$D^J755`Xny#)N%VLASf~-4Bl_OD z!`t`C9T#nr*i3Zbl|4Pgrh*#0v_MHbVdGvP&kg4p&c8(HJ|;Zp%sa{eH1~BSYg3=y zC6$Z4rFs4^GX?Le&e!ehYj*a#4?5Sp<=uzxp5vArMPs%lN3P+bZNVJ%pTMt{1&b_a zUTFV#UNT&s>7A%@LM5@4_zNs9@ul+5!j`k1t2b>5I@tLK4OR0O-9WLil*B6DW2Cux zC>ofgPqtoR-Vj-4zRQi1tJ&bb%B|xSb=pLcgIMtOI&ON?AvJfsDvfsiRw*3Z82$N~ zqDbkSVD1|`dV)Bfm?k`3jm`2u!36YhV+qo2vlJ$8C65-9pOK5UILd{3IV+jw-M zh?EYUohQx{$#@NH;qg8ksyfrgKzY^gU+k&;V9aw~oAkVU(<4MFVcSGh?YR}TT$w`$ z@*}$or^|ewfF&2Sn@yp~fq0*8v^e$d4~P=B5IG$SP*0dDyJ#D)*f@dDpL&<5DcBkF z-&S-2Y6_=r3zSPg{q~=VewlUt+{%@!I{RO9q%3;cP`+t$T9Uv6_$&Hrwb?g!=PB&tGLUZ^xIwaD(Kfsb^bY@LV2oq2|t#79XQ zuHMMP`%jSz_dc7PHD7B!@6gR2d{wf&Y;$Y7pw#g8a;c%|(%#QrFn1@b$nJN}dBVEk zFmW*6Sn|Pr;w^>VZ#W9iz}jMH)MHR~Xx^D1V0kaX{{y2?cxVHV)^a>hQSd6a`;O@YTR%aHAs_X9qP;Q*Q}R$v zaqC8d`*gqfR0`;lt>$YDJxx7GS>`LJ+NJNGwK?KnIW0dw(SbIK5wui!?2El$eDU4S z+y8lGiEjWll!f@FL3h}62rZIsfth^91oUqvei0_gtAK3s0b(b~%o>62?~pfT`hVDa z|F}A;Gk^Tt%Z1z!3^T((213HjFpz;j5)kc8zUex17-0C35UvP4d1YO`qErrrJShAT$2wi=q+toz+<6S14NZvBuDi&pLTnF}GQ zKeoR5_Z*VBXXczUbACSOd7g8g_t|o!D*`$yl6NjL4aa-bOy?a3Fd~qCYHGzUFhD2v zEIEoJ7jTXYZ>T5I1$JF<1qQ5u>5(}McImCmk0S3d{WbU((vt;u*R)37!L`yl<~uzA z6)~Mxc!9A#GX%E%vq#o3|8V3%9Z(gv9$6Fl{*EmKBFyHkBTp0@h9f)c1=+Ec0z8Tu zJY8@h*as3NIbRc7?HH(o;r&P>FH1>03nRkN#LHg7Gnr2x<|W@@$y#roO@ zDjL3q2kK2>YG?)`+#LBqFl%T(;2i=Mgdpe5=nx*fByS<#iX6 zKvghvVB`Y+jspbnyWlLy``-%Y6kwRIpu`{x&j5sm4sFS7$;-h|H?KB*4JsKd@W~ID z2S@UzhQfnKg_>!>!R;ux4)XkJ(|dJCZ}@5Ig>Nnl_vxrT2~*Un=%GBqUx+N|NE}_k zwgG01umuK1nBEHLWMh#s5j$Fv^H}z+1)S)#uF6DgCQLvfdV+}bw~f99jQOxw9pc7oE=^FvrX13 zEk9fL`A9auF%oTprt8^>|V5 zS7Ly_DZl@4SGd2$nN3@vTeaDrE1rhU1TPko zjP^Oq-0H2 zes}**0$b?c2g>{Yd06`W3#pxjZ#+DA?vXtp9FGDKeg@9A1EJ@xBd4tW@c%%`|Ac`G zZ&K$}(9Z|!X)={P12B*mvv$cBzx_EGX4sxbfe^=TTW$Sa8sNVGPqzHxu^y6xNX?42vr z{;Is~B!?bssHac1S<#+wpV5ALgd-33k0VAEx<=Pnh$5Hz1C^)?B6v^Wxuv6 z`?bt6m}w`z89W~Yq2oEwe8_WRf8j>p?Sw7R-o2M1d&n;{04MCL=TCm)`j&cV>fwX! zInT?nwd$5=UwKYx?BV`BFGkz{`&{4V8{`;phtSxS{m*eA>OKoC{?@AR%k|~Ee!*`3 zTygVQ`GwEk(JSPhQCn_!DKL`rCbal(3jQ4E-!pg^_ZN%=q;J7Q3rmc%`$3Who&Ib| z{j+4Yy~(!`gnY?yKTD|%xqpd-w1z(;ZK z$rUD8KFoA{X{+2J&*v^N)DAZ@Y2yI*g-T(Ex^LVC;t*`pDaPzl79WwTcIY5IHoN?(^Z1+himfGoXl)JrCigUVjG4&U6S*+7k9%uKUIA_V`Ja4~%`cz5xvP9N z{`)=s<)9Op7NCs-^&2PeEstKPT*-Vc-p2TTeEjQE=imSTe*LG`Z3~V3LcEdlLnn(r zf9PTJfA+p4bY37oQLvhCVC2r1joAKal?e#|LuL8|K_||5P6A zGmpp(&jBo2h~%V?jQ7(=#yjy(_msRYjO28&6YHOo&IYEke-0;Ym%M(CT)h8RGNylP zx8&DUp3j{Q&52zbfiKB)n)ZKyPwN`*B3X2sI>t`FCQhGohVY8ui_Q@Kmx}DWPdbY? zwOsAZ*`UhICbRpu&6d0(sAKmxl*eH7_Hdi4e*1SMf3MxT@eli31JnB_R;tbjx&N)9 zmYluTK-EvyMb`Y}8xdfhZt5z}DQ}wsLF3RK(Aj>$26ps#PvH9ck@B%4?`+M$b5+1d zksp#A^=C^n7l^g9gu``dy%>MHyYRFYsF(EtIO`OSX(_@>@NkCkLPHO%@o`K$mMW4) zChJLoiN9B%p9Xb9!RbI%gg;Q+QXX7_SL;@$4a+DmP_MUG`}L^Rr(-2i0xM?~^zLNj zUmQIF?ktm!J&H0)^Q`D`z0nPrj)*wwOhYh26nIxN>T z90ZU&>lnF4<`0Z6F^d4tbYyS^(+i6spl+$O*B9vKrkdtd5-m;Bw4wf@i+?(J;?K7& zkVL0;oh_Pv1tG72z^DRt#lpT=$1Qqn@B?y?;zV<<^Ug`O;N$F-_+-VW+koOc&bPrfh$zc1Clba+vYLQfFr26kN+LAe-QovJ3P$r&7Gfm;nqf-# zNd+}nY@L*c{0cY@bD!LyafSU z8Mv4ci6639#ixSVi9W8tnK=Ms2bI($S;kL!1iNcd2Z9a8$S?DW-1`sbykD8xxz{PL zi8Di^|n5(D)u)#}h}? zi8sRnv<^yrN_+LqRPxh!C_yJD!d+dpgM|ZvgkZU_%nLTm?8F~E(NF%Qto4c|9m8aoy zr1-+f(IdrQV=f%-4^G@&bE)`_ox!(XsNc!F-BW-6(?6C0tgc}F>jiH;ee_7dD?h#v z`F+zJAR-mqu`~AeRzR79OXO*1RqW{tmHlf9X0JP%2bcc6_=kWocQhEe^u!&JM=m{f z$FWC1_;{=Z#D_!S3pYN9cLI1@4Soxj&m!>Z8`(?6>yJtB@%u(*UdYMY2a${SL6jJV zWhXrdQG$m7`)}&-rGoWN3*FK2_{`QE0O)fh8i1_}0TSNU;Ow#Q@2Icc`QF~Gtq+bp z{>Os)BO^04pO36Bb0Fscjn09jo6ZAtz=b9PS?x#>G`IBl#hTBR)Lhtkvja*O{#@~1 zXrUVBz1pocIi1&CDtIX#tE1@R&YKS(z3z#-U$`*%!;UqB5AK|reI4Kdp-t~U2CsR& z;L_guXu-vuFwX$c-QJpa^ZuWU{H0=kzIUZ!kQAeRc_2&|$j1fPSBW#o)X;SFZvAy( zR#-nsKx}HN;Q*A5g^fnQ(2f8mas*}wy=FQDVft1_?i_F+ z-BcMs5&|mSG;FAPTi=rBpwe+unX}{x0i<6z1S8@cgfR^+}A;D zna#_MjolB(bo$odYO;=butT21x8xnPatfN9&|;xK$h6cmk(OF+EAw5lHWT0t3CO1h z1V`$bjTQ@VBtkPtt0QZ<+RUwhmi!I|T)5Nlzr)D@Eagl)GBB(ZgM2lxb>#cp*5Wk) z9QP<*aRd_AtSab(W$w?wey|_Ga=!!)f6eisAu-$FG5_Fo0( z1OoQo*D&+C&;n(HCX$@+m4ICbQy9Df(CLo;;jwrBVfR;0FOV7M#|Ji3e#!)!Ww@Dl zUImN>AcR6-YX}}SY9J5qoS=@u{stV7E*r6`R}q{!MME0c2?Ew8&_dN`I1#`e4U9t2 z{5!$AXPy9Rx-)ly4bprZhjfC0#zHK(v0+kaFj7NRf6^ZM^WMc-O133a)RVv}kN~Wh z-|(#<2An;rhvC?F873|W5e+z_GY;@M%mad)4B0~nkX#u!A=7X3V9BEDNcTDUg+LP} z2tKh`fL(xI18|9m*E#&%31MwN?R+=F`;{cgD}dbxf^)jOfU2kXCCaH5l>{(+6i(ds z8gK~Z1dkAx0%mP6Rr+`uO3a~2t%rjnZ4)`P{5yaC!Eov$e}q>$ZooJ#*q+)VT{Est z*HE&6tj(C;491nm=@tMUFa{KLbP|k0;CL)`g{9bMtZAA_l=YkFDk65-dPRwC*#|m< zU`IEzhW8|tK}B|QV%R+JZc5p4SSvz+QT3jho@X2i0@ z*>aBXO&x8fm~7K9gL99hhsJBL8R{wLQh<%J1ALqA6Zfico+Rg^6`##KD^Clh8R5xl zCX`ODx$T}R&4h)sJu5rEfY}bsQ_jT-)DcQ-1XHmpvbf7a|6U`*Y*$1=2ysbg<0ZMG`zy@$)(H6d!-o9K2Ts! z93}b@p{n5ENEO|eS)siMCuT?odR01fQiw_`gbuoudtJ7V#S$bggL_O|M_X$IYDuW; z)=$&4oRROb)_hMWhm*&%OxR*dAJa*520e4r9~eu5W2MeY)Al({9)IH5ep#5*r4{1# zf;1Ff13I-Zt`WYd$RQ(v+&%IPYg3gPF59BD>ygcLf@GHm4ysyuSp553^#CI_?W@qN z7N%Sr9uy};shzDX{EB#Mus1ApKBYHQmyK7BBvv(a<5VX7JiSaJMbTY>Y^fr8VzVKR zSDM;Dt+2M?i{?I2WG?EsXndf{9u$4C-c=)^l64HS9>@Pjg)TD1odP`Djc_N z8V&81FcrLpz2lKXsh{6GU%5bGY+W|xh-@r1C+P~V%$O3rVEEX02G7W3Ycnra#~O8P zBNw_ zjD!S#6Hdb2En985LN7mUqn)~1&2F@*K5=%0A7V0yz#S9iMxDJ+O<|UV^98^mD2oOZ zkt5a^*P?6f@|@5`GDfA|V5OiAb%C6xb`@l4uyR;(;wEBYDv8_F+$;!YMR{PPXDG@v zDQ&R?HQ2?Gip-#5j<-u#o)x=oZJ&*MIB6&ilE$@njbuP4OPXr)6kK z6C6NP4ELDfeBDY)15gxG7-ND4psEO{(Nv9P!)!spl0s=6ATgY>!m?5de>hx`>1)>- zOfbF>kglFL#pREI>1u3OFD|OUnxv-EFm(5=XfiYEcKuZ7s7UP-Ei^a9OFlTyQ&tnX zwZU=CG|U!_&8BZP;b3*{j2bjKykZFK^*wyG5Xw`vLCXSL1ziuZFRQfyN#-xuaH{BJ zN50-HnUw(4p<9V!%@iKD$RlmdD%R4$lGg)}fqCLt8zs|{S1ZD$%~_xs2t4$p2uFAX zxV3>H31IU0KmGZoyT6wD*&i-+ExJ+06+%G@r`mdc608l$y0cYV)g4=3xfRSN(I_mk z)0G~Dct~@l2_Ohuc9}$O*Buf>gD-j zCOxdT3I49ZLnKZ9s@c*j5=|D&``Djy8F;K$fQ=EAr+r!4c11ojku6^pl-`V6V02Rb9I$C@)%YR^|jD`HR3Bh_H+ z&z`IH^|H@st9xEp`K0=(HJL^;Y+I?c>tg+bHBFD2`H}1Y!5qFmV=t3$9tfuh!S!G= zp|p~Yp%oLA@&VXLg7d3n(%6M_*#UD+yD>Akf_<3AGxwGY%{YH^!*eyAYMIgeh~+GL zaZLWuKt8!xAeHh5N+MzgxARcOt;`HpUyU23t=!;q>g@z%egMC?zmU_v9)vCA1NqS+ zcIKIH>uqN=FliV!jaJ;yZ^IcUT~dxs_8A`2x)G18V2HFCuN&GEeEvC_DW>a~w)DCK zQk_)x44%zTIy6aQW$e)nwxyfH2@+to8y>b&V7&}eEOzI6Z-Z&Z$9p-WJchY!#?t{C zKVWm$RBX`VFm~LbYOc*!ID&l$*xQgsY;r_z7#n9DoNT&hXg~C zmq>!)6CTn^KvPo7DVivHM79@qCc!a`00ZEqmas>2==Ww{p1awYFaA<3LDFtiO7Cf) z0-2mgGlE=aR2-@Z94o6BgdLU4cd`XVx-K8H+JTbu!uAb=X1B;O{%ykf&N8;!8r|3q zHYO=qDdB)}o}>^s`W&Ki`fvt)a+TOlQUxr@la=}U?RhG0D->EY_LC=J`^yf+Y~-5) z25c7-nDb5HEYq5#j_a`#Grb!G;Zyknj+oh%gTnLl)b#*qhFfkYw+GA>YOM+lJbF;) zXV|BQpKNd9Vb1ZxX`u2oH>>Ry)1V4#%}R&;s{vlGDbz_CdYW5Qp@1}FNzzm}*skQ- zx`qmdDN_d4=zNjJB+y!euzNpSZJ)O68J#=GNkHjXLaE7;2lnK8B%I5nlJNg|^Jm7z z#i1%R7phB5zX3-FaY!=9Bor+o5z5951E!@c>|!0CT{nT9PRC z3WI!LTO$p90X~9*iXW_VER9E%o?h0@L>}l0URE}iV7a{$F)20!2Id;9W1&RW!vbd< zR$6JF0>^!Nj1g5-kOn;%EUH;fHjzKu_J*bYAMLyK<+rAx$2ra)BUXoHHA(zg<{T%E zx7!K!h(r`yp2ViHgJ`(3xx!aYIIxf5Lrh+Y2wRErv~w*%Jr9nXYEzd9?aUZ=EkLuY zvyzlvh8wo|HiXAvN{|UNLKid&4`9w1rM8yudOeV+aoWF=<=N(;BIyn=1h&=D3RY|6 z4_KoFKcZ^|TvANUuZWOUS_gi?*dWrOZ+7r)+(SAu({XD1} z@srQ2Jr*q8!)YZ1%xME!*v7IFHDn=~#CB(dRRwQW;C!J9wQ|hdwVtzUn~Ja)firsp zo%u<`&wQJksA5;ZCdcfKSyroR+OD;U18dr^)rLuyyrjE}>k{sr1S@a$IwAEl$4?zw zvWt&b9r6+0Kfz|pPe#!=@25b<837w{ie1iV3~ZdTc^~}u(#jG-AvrMw(-ohB0ovJ@ zFCjP=EXDRxHppaxk2ZH>ztL=-SVAjEZ>$7ROh8m^7HI={dnD*D45Rw^Wi36KrcYZ< zQ>=#u1AIV?owOCyz|@4`^cE$#&@rmVYSTTm=;cZz-fxgbX@l<7nl*z{6LBfUVBM=s z7=9vu zaGb0m&>zuQ*<^3PyJ1Sy5;ae~tlhRn#5)D7dMVu8H7sZ=O??v^ql*}v$oW=SgbN9= z7i$>ZPCNfxdI+mnITw-vcA&yK&9XjKRgj2HRniA~X@51_%Qo&K$?Q3;ReV^HH`Y8* zq5%}t0Rb%LO4rD1NF_*%%?{U zrnbRCO+0FbY#^+esJYJOe2SSdsdyP6Fd(}FH&Vu?GmU(q3djgR9!!rqM3tv&-VVX5 z>|$t{qWAI1tQD#R*c6nAQ43FGOY+xXtR)Z>Bl2#c4kZc+DljwvqzWRJ58&@%Vw9Q; zEUQZ!wX|rRj=$df{_M+dNB-}8DVNzsc8U$#7aPTHMix_m8}jIwtD(Ky8h2|ASnjY> zciAoQp*W5 zAxLnb&}~V>fR;yLpDJz79%H2vT@ZN7!+VTn=~Rd*zU$4__fx;WZoWDY1RykSoR)lw zBNq$RQlg{+?3dc~Yc#@3fH*Z|8E8O?P~L3p)e2=V@1t~8@O4?6H=_1PEbm$7Yho?2{$?gpD2)Iw5AQ~yE zl#w8)0Pq7iQnH7aOBIxWIYF{%5X{3YfM|(>>PZWo(b*);lRp4`mG>GYGR2e#2126h z+3+6y=FP994%~>YI8c>)6z7(W|F8(yYaX7#f9DrLM&eh-!z1K)5h#>^}W{pH$kCWo_1~~k-~98HC-c6`4Y%+d`ZVu& zp`Li>n;-4sodz!P27pgF_lvc9CCU8bEBA39JL`WV!Vausc(Jh;?Mr^;zniR{v&<>xGr9B z7|WN7@)5BXA6==W#lGv|7elY|#DgISvNWGxC+yvS>R)%$(jQzEZQcugMfu=E7(rJO z#smE(55My-zLMF+99>nN9w*{u2RZo5dYX^uJe#}a&o2}vlrO}LKaao8A(r#C;eiqW z`IGp|9)SYlmCy!?E=oWiD9FnU>m2goB6lp4$a#LlZseU+4}hC9 zAc9j6hyeKoP8U!L5aZ~uePbR4S_qG}9O3L2m`3daS19_GSuY7i)ot*DX6 zHL`@^M>2>>Saq_T_`3mW8s1P!S&c%c0NiVjFj~l=h7MI%#bmWb2ROb} zBn|9stb8(PQn(C0zpVeL{qs~kFke0BbVZ;xvsunkPgi`Q3a4r2NE(?IP9G_&6nB<) z{0=geFSY>^$xhKONDt@WhMk3~G*Fi}))C55%kpwtw#eJ*mL4ITMNW%ntTyWc)808H z<1@n}a1vx>N-oo~)PDySK0_xX7IQe zO2=gdXIkp$&EQj*E-=xv!P~I9vpBNW?$ic4vPO!9Z0&$L z7CBx%pk-@=kHSLV@Bq%22M^V(6251Nb8-cacJCBV(X-+T{8@`sv#03kJlLMXR)t4{3{up_IqjK~22PUIb7`eLhU0Ngx}1a=D1 z-r?|iyXF`G1jw=Z`f2*e`iaKtr)iD7J}L+H${1qJLG^sQT~kL^Mb=}wxxAv`KJr4A z&|Lp_;}>uH@>A6d4df6W2L65U2MCt)#{=ami~j+UPmBLK#BAY_4>Z@#AN5x}*%m!1 zaK92GgNVf1_tZnr8!06S$^1B+vFsEJqR)GQ4m)fu?dXMp7lyyT*>iQd7K(|`4?E|J2Xrf5 zx)1%h&F7r(Jm?vcwXjeT;k~xPnURJX0Tn(b6#w4 z1qYyyuH5I!eXiL4F`~UIwmWJiSL@;`%|1>K;}TDoc)G;XRaswFV7pouSLq~U zwJujSwEiW9baoAX%oCS*y2R5Zo-XlpiKk0EKe+qF)w;M^7gy`zYF%8di>r0{kObih z4zA$f3J$K|;0g||;NS`lu5t7yoef>{OrV&qdFCSEf(uRXfo(9Z*2UGjxLOxi>*8u% zT&;_%b#YOZKIv@enrHH^d8Tkh8R24@eQ?u@tFr#2Li&gC>s+zj72EMu44EsoyJEWw z2>A&SK3(GJ5>J>Z z5>JJ9~Ih`A?-!5Y$EIWXE~UH*2CWs!$*muubST6ekDU9O#U_qu${vce*%{IJI* zo-XlpiKk0EUE*oG#1jPfzmW0W6$f|4!Ci4!6w*KJaaSDvcKO}qJYCMy~+m-GA(u&2v; zx}4|7m_NANbmz643(8Jc=ec-DuPe5@V!LN?-TZI!xMI62w!32cqLBV!k1MvjV!JE0 zyJEX5w!31xE4I657=6;&&=uQVvE8+sr^k*0rv6;aFjJuDeawg=1a7yLQ+6 z-1R*8u% zT&;_%b-7yWf)I-k@+gSD>H-fa#5m7+xO|kO;UUC9kPO+*Ws+ZrhQapOZ#cVWY;@%v z&db1gc(@=MLgp{dowI_vkl@1V|MTf%%l_lb@yE#XWk~PYjUc-og@!kJ6b3{C_L#4l-(69$eAi$|eQ8iaXxNCl4|GGx-ndssf6P3$+}p0*(eK7lhi1A@U%W5@){ zdpOg1b-d`#D(_e9(Vcnll;P)@&BHW`5xxs<8S-Rj4X7+x4!$i(u~x*UtIiN2J-MI18(65 z>JR?Uw>|PeH;CWB^(yCdJ*P|Y$Z@n&H}T8mq`vt8C(y&gWueo9&v8z7nZLwuFJDrB z^v~~o=H*M!LH{>*xj{TUd@O$XaBE_xrz6K*j(yqh6R3|YP(1SdxJ4>@~e1^4_%xLczC$P zU3|dLkNV4xKJM4)BlB$nqvxuogZLlJhKGX4@k{(=%U(M=d@8m0Qwwi)2u0joU55){ zfAO=BxvSoPIptN+9J=W@fg)a&yQ_l#((4r7PIFT9v;CJIefgbD3t55D0_~+Zv7KDS zokKhSy0hbvoMHc0oRh;idAJhq%KKA)**P6a{mxBS!k~tsgMy3aXTF9#{9@*uI=^yr zC7JVy_*Am^h<4KY`1zMDK3y1>S7mCRc3#g)}e~|kBR)WuQqKC&x zc}Q0EfqWUYGMM;z!qGBaVu)T%xm95hu~hry3UY!$5{E)3RO}OJrI9LA#h*$%ny3`~ zMl0trL>1aWGi}SGc&Ti-SOTjcUj~v5Izt zGxy6Fqj657%p{w54DL^VT%Y#mWrzO}zTr6U2G+wjXoiPM^HX8IL=goR$-2~HNM1wr z@P2tWvs_n7bSq#|rIae59RbR0vxa$3nmj~#3=fY=dEZ^w!%sD(q)sb4!aeAi?o}e#QdnI;`z*RQJKPs|${r8(i6w_LDmiv3axHteIJ6ZSaJkqoXkJW4 zWSa_aAw6_sezuooDLGWZ*?Qic+z{?D_uH)eLb{wZRPN)GL@tj}v+{ggr^<{^mTQbT zlG|8dg*q#SGljuC;FGF}NMgNK=vJ=K4)thH>b5kZ@3cF}IS%`ZgqHEJrZCH~rfXYQ zRH?n}#;#xm4=LFRcb0t!(OH)J1o)`$r0z?KD_(=pM810vJh$^g3R=& z$>ox!H}j^SlAzZo(g^yPS1D5vJ5Ylg6)~CfV#a8pkRGEd=_ZpJHW=*%p~ymBBTS7O z>QV;!`Cy&2L)$9y=qZv~I)NrDM>IBpMNxqt&!$f?_GGSjUK-8UInP0zqVX~96lXmv zU`sSS_Y49fDF=8~^p3Kv4CN-*Rw)S0VF!3{zB;I;L;Zcp8Md3K8_ zVTC~e*U{|w z_r_cJR%uS_w3_KE)e;pccq4NruY~88+5>D2C*Aw0COcP;$wp#{+>l{g$&wvX$wvE} zRK_ghHGpp5Y0|lJ0R1AMdVhqivpaeY4sYF$g>F2i4qwV{aklYsUZaCKqOKf5= z{}gWKB~xoW$g#CtMIn>H>?Qe(_Z~zB59%^svMylJlECLOE!{YGBG)A6aIi{;GPKm{ zpP5`EwzI8|3y8jkO=rwAjD%b2pyw2E8B)w>!gOgTU9y`^U~DrvD_P9_Q|S$dI#Pdo z-$Iv>(KSSQo*N-_A>CYB!=zY2jc=qo^%9$^X|Z^3%#fE8zM1E^2}-jkELvHj)pewh zrFxwwR4pSpmecsqxg%7>= zonNE4OXOa&Wiwhifs#Z$yi)E6+(~=;3Ry1SZW}>ujCNkQM%C8E@@cjsSqms5T~f_` zTAPSSDJxip+QFWd*b?eml_#TX7>O;4g|dZ)`hBatg-jWSv1)e6Df;LtMmfh0DFI_Y zvBehUjDV-_OX!%~(JcE01ft6PEK3A_e+IId0Pf^Y4N6}mJ*LpuX_8in%r(^pi~#P* zf4GuFv3Q>)q;xH}ikf{56+Kd@r)W75E7j%|~&T8$2mJ+fJIwPongeN*wG^-+8oyJIyRtbC^zfP^eN_ z&EyGle3NivIiu?B6YQ$m&z<^7>c#f?>O)bhf(sicg&XCpH3HXdb4*ByZu76?uwiQP zsj{R-Ly4WywRDE)Q(y%6)|Bx@OPK^y^cW?EhpaJW$_qr>;u7#AW~nFjr5XzHS)wGC zNtCZhl!eLav{GA?sYZcM(Cx%#p~3_PPY?tmW)qYkvz`uaeA`Vt@_tW+shN%1GGAse zg4b41p)IPzMB+4ya+tiX8k107Vl&1FkY`Qn!Uix+OoWQwQ!vU~B1#b$!d{XU-OHIP zATUJ@Q^-Eh8I?!<>;C zPG4TmpKY34&34ky8$P|4FeT#x$*mhOxDeBvk*oT!ZQ)|EvvYsiAF5bSSt&fmJt@qOtB_c_9zD|=Jq_RrHiv3vB#uqm}f;Z zu{^1Kd#*kqtk5gyW8@Kby;^s&R5dthd#-g`nyPB*l)zYA3Vo}RN=q{=HCD;B3JnKK zPiisgX8Yklgel&qjMb&!kZSz#=9fw*Q(xV*P-z&MmB{p^W78fs+K~wZ0+o9Ve?GB% zB2#1me^)VS@r{j!;L`%VSsWwaN!`*rX(pLY6cb`lsV&vACiypPd$Cj2F$-{o5rKrJwV{cg03|w;060-{Pbc=;)rl<%@ zN%~Sj4@={edX7d79YAB~L^F{wX-_X*bEc49g1>0C*PZ4}-IHIgm(>ZJAJ{6G)&!5F zjHw(Npo^;f$~Yya;L;k+!+UEn6*dpDBIW13RsZqQg(p(SzPL~Yr$S9dD?J7)_*ZcZ zWtL>v6#;3REc=*F;6OZ<+!;&JrBgLzLR2&LHuHsCf=1gl_8MB1c2BCjdDzrKMFa!Q z#G!mnRJD-NAq-U5O>D;zj`ihh4JZ68C1LG&?3^}gFtH5+*+Q;YPOhWkI8Xf87eSV}TQ-X5jxgZ>EqWdCkh(C3&Lq_K|(KQ4ekyfeDH$Cn<~-#Ob;{ z7-RTS*5uFGrT1D9d{D=dlFX@R^`u;Rr*eBQZnmqUEYFNRFl3w&qh=?I{f!%%Tf{ms zDq~8v1AMT61Vg&kUe_jgZ*DqBa=yOho$oz#NnK#~JmOv=9XPUM{m`h&1eJXj#bF_V z3-an|X{i~;>v}XrOXj#4xsegf?EIOYhrE{JX9#5@^BD9->`k|lhzCds&Cm@+;v`=) z+#4ZL3LO9jld;TUwm?r-Q3JV-^J+0(%oWVzvcHG1?1wW!G?K!dT2jdOnjJlX?iw{4 zL0-`qQ6kXI*ix!=qlOqi!w3p6N~(zlIgaBK)k(`dRB#ZdbJH+$MX7NmSBogRDkL)j z1>~Am$-3U=J$$RRl!3`;j84=%nRtLly3nGSVw5|iD#DU?Ui#I&sXyvl=qy5VP59b@ z8!ahc=1dYRXli6nO zfN5_Ne07W_ox&nFNt+^tlkH*7)|hIsM5`RQU6%XQd#uBUR6(s_1`60o!^Cq$!peS4 zkOZs19ODjH2{9ioBw`C?Rt>7T=k^iPoIhqa;fTbpt&h%5uC`wv?&t;6atWLz204P8Q9*?X<5(wgjz>ZQ|K; z_TDUOKS6VyN`o@FR1dNo=|N6bjbz(a<)lFlnL3?&w+v!}Dtud8X)9PvPS^aJCE;?rL9k7U zqdb;1VKY**!C78fNwD;01BR84YM~;^y$^|U0<-*K%DcA2@TRdavVsLzDr#(JQ1kt^ zbzhbh)&(BX+&S!}MnEb>7^GuPs@zM$GTp@hH&PIIck;_KbuZ5sKT$%yp+W{N6+M(` zr&Q2BzQ?N|+S@I6qKIOFpf1obGbMs@A|Zs3pK4LiI5oW%A|VsWsDUcc4B`VlhwY)7 z43mIXpdFH-(>h{wpCz$;3S<+h&*M=Z$t@Hk+^G>0an~@tv`=xkTE9(M77ps7nH4^gV5@BY?uU_xC=BaJ) zA#h0;bt5ABPN>iph?8{=u?@N;Q+`Sogd|Nu`M|Hh%xh@gZ92o18E8PX6_B(U?4weO z2`Gq&N4E{ofFLi61*22}?TnX4wq#0PDp5?d{pHwR%8Z+uAQ*|D>)#|9x{pu9CK?{@ zW{_TimSRsGE9;G>rYqy4ph;k+v5$o&scAI47DFq(K52KNC8}Oa#;gIlB<2}HG0C|| zFXb5xk#3*LTSf0TtnYed$?2ElB}1NjB{?xqXM}0fjIqRMm3_U9K1&gzb%plv%#vy% zwd(r0(N5oORze*T#(T&Jqw=<K=^ni@FGPt6cvv-Yr=^aLX#AWkZ4i>0<;F@Hy0@~4FFas1 zbDc38drcG6Nr7td=WIYybdSW|Gi;z4Mq#a{Ohy&OQS%LWJG>%xfXsAX9x zsYXu1K}FE&JUlcF8=+ykZV?pGujf=8eP_o*@3t?L)yq;=kkSO=x@mvzNdsvi$D^5j@_muV#QQ0G%CTy`+U2qL8ZEE$L=A zkS%7ZiPR>l5=C=+wGikn8Qy~G<0QdTOA0%HxA9>qL-s2|Ss|WeDDOQfS!f*U0SQY9 z_DBZu@C{IN1Nd1RwQ_qX53l+;OQ#jfOO*iSvY~VOC=Ap?LO@3lBTJ3cY=_Kycz?Fe zm@-Pq%LV=wS&=@DYBb(Evi`o~sb3GGdFLzSkX#1rG#cdzl&XzLBG3x#tE5q)rh9ql zR9f}{aCoAqmkkMiHhAfIj!473^f(qr%ij7m*@ ziB9Rh8k_SfL}o!Xt2G)3SqO-Uts%QGpsO+z3wgSM)%O{U4rG~?{5-W~?2;T{>WQDyZo#H_$*pr=}5hBSlI*c53Qv1&k<0?9Q@tz}Ydk8bon zJuD>B5(`zMsY;LtwlP^QCU8O%sSy(yZx%CdS%PVGj%r9dsWUC&hNyCS5(i`vw7|Ts zmT1bjF$Ut4N9MKVjKKz!Ns2Q|_@r?yu-mW5EC*dm=%HIHJ)EpHrCF6ecAai;$WB)G zn`ROVBiToZNqUlm{B^8^D^sP+OE&d;X99HGQeA|8U^lK-8F>|faxscL#CtIGvIqm> zJc79vHFo7H%UM22M=&)g`vn1APua_D#Y>7fTBSY87|<$;=*SG|6-*wqq^=_ZO8y$V zMNG48AI(Q?YC!SV$z=t)hWuGfonP@QjtmYR6|~QY%N|)##3RsDp>f4a8-k!Ynry#J z!334a$}qF5A_Srs4-46{t{3>TZ41^Nl5E=a_ky0nXLCk^C8+E>(!YK6r>Pwqo#KI; zYDDIwEwe-tjKD)4&`}tikKC^zF-2;jHPyH#V(OA<=t;VTUX=JHHslKjd32pf!Sss~ zfL%f7brCf@kJOX|3Z$(4~?r20+LlM~0&9yp{Lja$T?vPNJ-x1Yqr8{W@d zZKlVzchIUw=ebs;lZV25%tn3DF%(fpRiwV=0YFCC)3 zGDIes{Glbn+}0LFo!eP(Y_uZlbj_J&wPbT=lhwz3I&I=HTSy5e*$?yzF5=AAXGePwss8?9`Xom4ul6JF{nNZ^Qe0hGM)V|8lV88T?0~vvrBS zsVlaT`+4bTdarg4A9=NDvQwPGjq6q#;o`LG)u)KQXSGmU+DNK9TMEPbt;ziIKhU4S zyWik8)uxX>kTF3`tPnmwLACvAOrI7fk21nj_BXQ6pZ(>v@0Li<+HKE9+C}>{j{OGy zCdUr<3GLe0{bXOhd0|Y;!ecmE%zZv@vo)1@iVI(_Y5R8J?!lL54zK69AN}jhAHV#I zTjpB>t@=qp;WX2H@K|41`qbd2YyT-jZB>VQ$Db?K`{b>Cn`@5k+6$VY3!_IRc}_5^ z^e&-q;*o|}F8hkvyk4qfzm^+by`xX6*Q;@Kr`){0R2$bf+s_+I#?^Xr;?^~rD#h9S z;JU&z{8rxW>|5l<;aesenOpRqrLT}%H-GiMEqOQGWdEEy)_5_B@7<^USOfh+k9M4k z)K{Bl^#f64mOjrsny=lwPR+F&>N5f(T@~xpda}=MWwOuz(~p{_^|jobxYg{b&O3hi zjW>d8?&5OTp%y7;JJBXq(VMul_}QFYs<6GUXT{y?R<+CLx|<#)Te7U2M)9`w8SJdk zFFgFDeCL0CB|rE@_O|PSwc3|7E|a;CS;x+f_Lbyu=D<;IP=2N;vcW0MJu#h2{Y9d z$UQdNp}uOhU3>P%li9*ex0Dnx&mUz%c!%=y-sW22p=oi>s(SIe1BYny&~0n7Dulv| zr8jK-ed^Etu+Un+of?0nU_W`+9Q^c(FLG6(>{s7i{T%K(xLN*1UGoj4_YHKhUFG$r z***2UuW+;FtF5$&Xu++oHGZw>^vL=0cN(7SeG$){DmXT9a$>4E+LLqM9Jf}L-_E{M zf4}gHf11XxUAKSqU3*k4=frwE{)=xP58jy{ ztJYiln*VO-ZmW#TnXqnI_q`mQZ9aH-9XtJLtG3~eMtNd=57Sf}?ZjU?8nYXZR$Au= z9ynxIWj+!--(1r1v-3YbyK&5(39j!b-S=Qx;cQu-eM|NiZ<+pzc){AsPLsC6x$=j_ z*1;DKPIUH!SKf4}BX{V$aGH7TzoJUpXwRM6*_N~Vx zv>xNe?3Rq+z;C+4^_BacJa+H;iR|XP#MzyXM_X3Z^r>0$&rhC`XKu@5?(Zrn?>S(7 zsm{9T`N4CAw;f(vaA0zx9sJbQTgUVZxc|n6*nPS^ zv5xEd_vRZg9zS_-s7Sm0P{H%!lh+PEfqQ#r8ne@z@JoBvG>d7t?R3W_=Fp)nKmE_| z{`&35e?8xhsZEg`Yll1K+5exacY$xB%=gCA5K2X)$#e)$YiKjmNjvE+wpKT|D4D0z zb_k_0p<+S2G*k(A;j~mO$ZBT#Bppg*w+jYSt-D-K5%=ilb$4wjP+63hh%U$1 zbx&(?3&+bzXtA)m=l?6P=l{O*X_D#8WG=to^Zf3=Z+5QYf4eVObmVNJ>naWwP3>OA z9}4i^KT2oMot*J>=GXfk3>^v#w$i=_$su`XE8X-U`EA$BOpWE7^Sw8{#ydX4zc2OX zzV_ge(uU*AIQ;vG=*^AZk4ufUj4SGCD;o2)((kNm7 zBt0+jn?EGBoRTI;P3 zb49-&^ydEmpRwY%ul*m$KVRfs90&)Pv7&LNy>Vt;9u;e)+NG!EBwrgXB%RV;ifpG+ zBrNVc64_22cAi}|@N%@6J0O11_%dVTHlM9Nzw;d`wdO$0LvXh?OVN}s*O?d1NqG)o zd14@CIK&iB@y3BfPD}BWb@4!{D*5)IqCGg>Jqd}M}L{)GD4R7)xiK%2<9(SL=L7!-B zek84w3E{7ZNbz3CrR&G3ire6d6AU~K??pyrxP|$-tn^qULuC|FIx|j#U(?3i!YKZE zq(~ZhWNvkjBubQpTzT!Ui|R6e`OiT21f#MGF)G@pRrwOi=g~O5KS#^l@ z6Qnb}o!GCW`NwHF<}WXy4Up2LLyXRR7|X}Zl|@w4ENZ#%?cr1RUjFr~*N4x-8hdxm zUGldZg8yh5welZxDRk2A!)2&yWahm7%HK?6rfJEBaIkz*NSH2hyP44~ypjoj!H&A; zJJcC(zjsZ4%&nFR>SmgrCg!%bF)>+dZZpJe#2~E>aHnp2?o{ULkLHE8zch4IG|X@o zq4_6G-FQ(unc@cM>B?7^ZKH>dHtkaAdXi6F%~D;v_z7lf%IXhCs_lJUh>Ld8E|Vf%81;z<>*)QXWTa6#<=)pVki2YGPUc#v9TlNAFC?f& z@aSUuDQ0VE-kxvlg?o-EzDE_`Q#pSSb4jjfBHwS?-4w1-d%Kwr*0@W`eXHn=B>%`} zzPp~T-L4+oh^ljx1a&MIIgwAwt$$=}B(vI%?+Y<*brBlsEs=eriyynK^<(Lo?2FN7 z(8-;=g5pbBd`p^&Q2SfMr@;`-Ur~WV`3v$l-6R2k;uTuL?1oT?0bDV|#qe8w6-hF)KU#`&?xLL}7F+v&FC=m{Zr#bO~>xw|S@ zUX{7>qs&{K^VHkghkd1FF%uAc1x-^-KpL@Or;y}ct!#V1RI8Q&LuRmXWajoR;p$ek zw!D6I_l94J)Fy@rUT2CL?zD$ie=ypDJ{O}wIx3$wDZcthC*daQyXqx-eRVFr6^GnS z-GUMZzKrGe6voR&@2@S2<4Ly{oz4oy7o+#LeH-uGa~c6#P-n0#C%48#CWJ{Lxnd_j zy)@KBjgywqOQ?|8Or)_mt6*517$3A%o6zM}HIOsBo7sq52#=}2ACs@U{*k9k@Kj6C z;O;iOh_qdF^dx#FIvS?Gl-7j5wLin3+|8&>A?Dbx5aY{3Uw+WJa|gOz-$1{Y?=|HM zm$*sWb2UR`$a^x39vd15{yc`ysekt_e{X$eY1efgX(p=%3#tZ}KWcxe`_ZL*BKMR1 ziQK>$WlTAvB*`p~_OysIE$cV@(E4x?^>$aR;9qj_@k$qux#z#Ed%j9-vwjtAvz|PL zyaDw3-s~EBI%57wE?Svaxtrt)g@SSDbNdFn!Uii?8u^wSzYON*z0%S;KkrMAM^-kl^mL!VHOzsnOHM zvC**c(rBl5&%vK~yJMwIJJ|$GF0_d{`_hoo{+68V+R;}|{~I=S$8U?@S$?VV0{8uq zFUioxb2u4X8Ow+H)y7O7|8cbN)1S}0=f5HJ2wO1l4oZ1cXLUkKpcjQ|Rqm-FPq-q? z!p-;2cye(brVsPz#T6{a)2Rr%(Mfk6;c9Wew17jg2+F22cRFvTjKuP0K$wsRbLA53 zHOWmOJdYl%k{d0`G!~3eu_DNLbBVRiBi_nIs{&GG8BKy@5{q8_RgYTBh)bATCCA!m z1?Oke8F8jDB(>6g0W>i<7e!?uAGZ-{a}5S<&`>5@#R*1m|E95IEuWL4H1hthEDHiS zwg}yfq{7In#Vdf1QvPPTj!eiK7B!-=Xgr&bD&eS;8X}Ue22YT_P7kqR@tou?Mnd%~ zJw<4oh+}x+W=9+Eg=e1hxPw+rv$Y1e3Ke;&XW() z3ioRDNC6W!qBC6YD(i2gA+EQOSVt<11WteX&v~1l&kQ_wW3p_{kq6m)`jv2$A7NJU zA?6cKnjk&JRJ}w4&DkS#LYl$37?trtw3-~8lyeJ~EXo*OG)^E#CmRE!tE3<^#vI0xT1LtTve?&KCvn{2 zdw75!QF06kphznnH?aG5TMrVRlWhU(1hW~ESQA#yDn{ubh6I|C=uX0vl3eHFSXqRx z$8=essF8Os%1Ju)YpqlpGcnLu62TM90y0DtcO+||v5+3jVzyJ2Wf8^503pVh_@cGQ zo@d1^QZyhlR8p#w2921FtdcuDk$kdtfJ*XMuu}X48Lg+yXSvb)v7(=g#;g(-RRa6e zq?0CPu|m8D(@PkpOM)xQyw60#c7ObSOk0oujM(Oks%yD+JSh!Xdbw4x4u(7b`>k!6 zy~w`N(c#H(|zdUj)*%{pVTb^dh9T6^Ts7&^1;tLMCX z2lh`odr#BDiC1Pm|D%&ion8JBJJY=U)RC!}?X3O0_3PE=vuD=r`J_+{FB&_Oll<%t zo;=Ir-<+SST7K&6c6`B_wAPR08A3Cjyu~Hsk*B};xNF;YU%iuAiK!g-6|NktAVYP!^4i1yy~Y2sJYkGq^%Nnur8@hh(NN5-@Mynd!)Z}ofI zaBAK1?C!N2->jt%Vd}hPuzUUE$W=e_S>v?xggdXMWah;}&&zKXMnZ<98pet9Z(gXI zzPMZ^$&){ehK&a<##7$C2V0-Eeihp0yo@Z7X{+s4>H?nr&4YclyH+g?(*vV6ru+e0 zmGwE#b540Wa)^o~U$h+=n0{kp3ae`f&62nZNyKcmS-BJ2$AQ z+dmk*x?1{%InchFSy7oJWoQ-3=YBk<|K@13ZDfh^gZJSk)cdD90_ZXWI<99{&()r) ztPPc@&n%69u#>pE*#P>A8SC$<&vqok_k?Vw%H}P^FzIbJt%z5af<^Mcq;2I#%ChJA zLeg%PpLmjFxX0Dve62HRqvJyxE~?E|wYeyGsrDzIJO0t|uQzm@c$Yj;rKYxy z9(tql3qHQY9%4S;KSu7XM>WciN-nYW8#04;Ge0ovs}iQm%x>m%MMFELQz3KvQMLVO z-8t(;VJ5#HpGC(lXkXsixSWivpp(Z~8~sf4Q-nTE46%|b?~?ogH)X%Zy`kE8EqU&D z7NM=e(1us5tAgD)kE-dd*KI zE`?&L=d7cJ6|W3$MOPa;%TI5N@F^32D`)G!!M|qH$u+s7CfwhRO|=uq9dh%@hn7;w z&u(jL8vR?OgPJdVYTvLV-+w9M z%PYqn$>8&qyNt_B%|)bQ2?;;?Y0Don^RL{H(zC8eE)nYl^xR|6v^U!-$0hfmh#Pa(eZI z2swc{SWaU79VMiVaWaFP+3ipAV0ZY32I8HhsH=kZt6qHqfd*BCK+h6BA4e@R)Ok$PnGBYPIH{7S%%b}4_|%Tuew_ZV8zUX-;Ud-qx`?&i);eam0CF&!@4bSl0w$AK zZ{p&MP%8nNMQ3e_bV*{yL3R7+Y@U?YL^AHR>}<10%sHun4nP&Lx!uW6!*h`mdMF@` zIt+~#Ni)Ye5T+tP0N6Ch!c)yqs;CA~!0Yef^%A)H+@obUS3=NPK~ylGG#4bv=V%By zw^KJstx(4Oq9E$Wz&He-AK$@;FcgfbBYY`j!Ad|psrt<|gq>ipIB3HWC4tiFc<|yI zX~p5Aj981xEM_~n4&a6skVf+mH!&bK=t*y&k8!OPq6Xf05U>1u=2Nj8hT zc|bD)k33u@`>=k2)GHHniu?v010h?~8-1P3S6FY}FT($Qw>f$L|GrMl^xB2u?daRb z6OI4a@?m~A6aJ}~GJRyLw?jyvR&?!I-E8~EtYfp+V!yog$SUS^{;5Q6D#x`{>8ZEx zqFmj*!@(=k%!b_J!j{~hmPC6$6m~94_RFq+ubJn1sQ+~T3m23&!zc)k$;tcupWD5i z?_Kmw@3_dfAH70c^q1#H8uC#Ftd9`lP<9yDz74sEbdk zF4OVGvi{@bp@WjEzJAhsmcN|yAwGTl>?f7I-?>SPnEb5NZd*KlM%hvEIs1(FV$%f3 z$5Z%=d%j-^@?wM9duZv!k9$*jyHP=KJGPAdZenbbooJe&!W;Wf<-O3~8)imc-fe%f zypWhojmy2S1a(`Wb2IPF5ab;?vD#b{8sW$H|jh>RZJx&yTvQ?X7%b z`z!LFvWNeZ`pz1c$3xM=Z*rg z*BEIlANhrK|L#ZSo&46m?~nYZ{nEs5>_cB(QCz0+Z;n^wbs_eLH(VQw{;}grrzlNYsmXMElg;BY(p^+;Rm*UU)i@G1NQ^Pv|WVylW$ z#_#OPrQF?i_NkoX%GmyirgwOVMBr4*2mI91k?evmNeZ1V4>sOo3dl*htj;Ka!e1_$ z;&~ef`#RCV92XNzR(^+YvP%r3g7aUE*4J0MYD-p!YtdO`8tQi+Gwti5FNOHU-!3D` zkCuhjbbt2~Z)h?B780;`X{mc9+EmcvGW~6Ar2XYt_L2tE%HB?9cYXj}ie0pSf8;-5 z_2y@u*V!HFtcXZl)^Nww#oYrxK_&(BUr=TQ({5mKc*$_E{M4Q0DPZmNvdy#XRoagxE9&cQR+F>L@;G$z_o`Zg$s=1BB^h(lNu>%{Tt92keKmTW zzb0_2kM40FD|<^B!ce2@%H1$}I``7(33d-~c+dILrZC%^K;uh$R-z|`6~^|r#zvA| zPodf>)5_+OkIveWr?Wh~)%g~=&f>q#NH+AhD`Gw~18J|x!XGy5{V*rf^27BhthwT> zX9}am*{%WWc02&Cb>fwB7a2%Jx;@!so8)~sGLS$M14CrS^Kzif^J}h?bXr|8CPjC9 zeoa>KK}#D+^i9Ot$W^>JR-9dLDI~8&Ql2acJRMRH8Do;phpp1oKymLX%VN3smvwPz6;Ohf6UK)zbNcX8zmZHAI zFQ!8L%i4pUTza7ItTH3H7=FSs zM9!h9Sn=9=u8@R1@Qitk^SpJ;nNTOV75p0mokkv4uip5|+*7kiUTt@Hkf%|pE#b9XzzUj`b(iQv&=Hc#Z-+HF#6 zq;2+Q`Xjw?%Sq>h*09q9_rzJJ2H;W`JyYZ$-fLk}a1wgP>6m70G%zjfq|S&b&k|=b z5+8QrF{Z`3&6#9eF-t0Pkor~5qMWILvPhWWH$Q+@$>Fc8fB*jdbN_6Ux)xt4w62PF zN4b2GitJ`Aoa9?UfuRr+!4R^Fv+IqSDEjD6x3cYftwKl@v ziX82p3pnYV4X*?^aR3^_yi2V6!kB<9Ifm``6TTD6iw8<#$ZKTwt(0o5V%BVU<-XqL z0&Hmaq&y+4UBVoqNGnt^zDCv_Bxb47763gx5fy>Ikt6hd5MG&^GbzoR4X$)M7Y`IE zXv$i{Oajqq!F`a91$uk9dgp!0XdQTfj&qG*knt8Y6iX;znkt0@`S^mwcxe~mlU9*- z??NssZd!?i#WD~RqF;k_4L%Y%huU~-uZ>t{BP`WQ55ys*Ix>cD!K(tDo*+ZJ2T*Gy zp;Q(jeq9L}lXPVRmK6|VOHh$+9Qh^nVil3Zq|<}x`?1OC4xsCCmXN2nmXt+yXUrD>{5XPMxsoN2+gSd=D@52KS?FiMrW z1hVh6Kq_BYqAa_6$(C99+s3rFKFyEV-T+rRaaXj{{@rR~uYKjUVEf=?ys|9Bx=usD z_=ii~c!>}mF-;jx*Y@)*LEimQ(no7{I{TT@RehQL0e7&gs}aw#rfey zk>2oPlRIpvxzxYK5V{oFP?h}P(T?QsQ+2td3|`B{{&V)J2R@WLkqsROk^J7QB;E`X zxqkgM7kjGpbP&N?2Lf5_K9T*cy(116iYF&aNOH6!v}VsYmQCMYI*Y!to<*0JmabS~ zgj9eF4NQ{ufibbI{M2jZBR^JkmhY~T!w)!r;d-F{Et2eB9>K}(7a|J8lMCCJnWk{{ z{wsI9-UjiM>=8M6Iv4mpePIN6 z9=eC*?kcTPK=&Fh1K($Dt7SV(v#htun;#^LI}UKbF*c0Rr4pM!Ql(*mfL(R2s`|{Q z5I{K&d4dk$`G_q%%Xd2nHuUvsdv%w6V{aKS1Z=CamG{K+PTNmC32YCYU3RuD9r5mO z$w;_A4l$Jd&Y7`;&Yv*hn#hRlSS*QzC*9SixZC4IIc1eYF!7Cr*E8i3dw+EXhHzcPS6|qvkOZwS5kp?gx$^U*>_C(}EJF6oMGb?IT~39+>CyWI&qP&Fxn96faknjvqdY z5+%Z6({#TtZ|QL`{_cv{?1d%4$|a`RIbLy%*_|MNuYxh1Km(oj(=f@ad+kr5E`{^4^?$nO zc-~6;{=6zQDV<$5z0J|2E-@VJKc?)6OEZ5v*k!pmvKxnw&ra{Vu1#;Sv;ME?y=QS% z$!Eakk7n8ZJs4P@@(FbK>odxw;1;`bXIcNVL0iA)v0QRz(`5do_7(i476_x(d>m;Z zC*+^(EF^cE>g(yc`sTdmK2PTZyOmBe@0dPv(Vpyns()qfvc8I3Wld39!ZyQ%4`ZYWZI;@PaB{|FtXi|^f zt*$2RR1Z%pPGzCg7nWxgDKi>CW4sQbT*(1}hV8~%NXY4>R!CF4Xn3=M-il?2H3azI zVYukJ5zzh!o#m9n>!KYT#A?a{Y+-*1viXoNr+C4wdcIe5E7Q)X5Y zkP?v`XNlH7N0LYV8N7ogG8xQQc%JKV==_`;+GFYs02HE6R}7NYL1)O4s+ZHMRYgbp z>GA@GMzSb#z4~-U>zKk0=xe3L3=2}2IXFX)b(G%#mhetL4T+|yY|D>vh z)%s^QL8(2|%mWw+tpCrx>nwmCrEBz+XODxr{To!i#)ALh#s9Mc$7%huXM)y%*>_k- zntAqvy0JyfEZp_)S28#MdUhi$)7Gb6Jmh)l?~SJ;=TpIOWFYlY_<(g{?CPg`>Rl^6 z-&m*KKJ;nid#mfkl4+~!$o?7U&#d7iv!?WcO%rdV&fep^$NBK5k*R@0pB|ZB3YI<` zHL(Bh&Ij$`BVbgAOPeO5sl*@FJ$%yhBP3_=kDFW`(~Rdd6}jqhy&Y&D{rkGVT1Qha z{c)XV+vC#*3I}X2Re}ls(h)e%G5$v%obd`AQ3Hpqs82=yxGu46<@A9q&ekhc_0ESQ zN2WJ{3-jaV`T^U(z*OYWz?+}`=2FDA?$d3~>47cItP9TRs4YU^(gFU;=Jl}|&x0!u z4OlO~eaNazmp*9?Q=cZIw*J`kfGrYBZk|2ramZf&!?U+&-9^vkx8I0-=Xq&c{WN6o z5*KRV!jXyOO4kAY%F4X`zNdjWg`RNLI=FCa1oCdw-keXbI9=;LopdIhE{hLbyHYl_ z`sIN)JYna$%SR5aj-=j(x9QR?M>u$U8}du8(#=U{UP)2Pv-c#GN`x_7)#fq59VYsx ztz~b|GDkX1Po(HKaGrH@DzUeoN`1Pw#`D~|AFQsG>%Q4^+3I?Ge-hpXlg=%Svn>iv zPwA$qH*BT8sW%D-4xJ@aG2qU`(yKWxAp5%0l>?U|Z-C2msPyrvsIBzzX<~DFuB>!9 zJwICWaR1fo+&O*6Kq_?TjsvOwL)OR72WD9mpE_R_`6C>=+2x6R>q#~NcP_2_i}kxZ z4qT3G8NcrE)HHhr3p*Bb5{Ibk#Jrh*5hJA*A9p=n_L#gR||gK{iMtTmJ^9hFf;g1Xce=o zuNvwMa;+}L+K$`td8o7-gG}>`vtG#^C`Q%jiUbExdwFN?UI`8ugTsa*6U?M@lDUe* zG;xPXtVK#Jxg4>esr@z{_Rbbm;5@>OF;~I1;9B^r_M2O^ z^cpVWHqPBmO09wHsXO2dOd;&6y|#ZZiM_jM($mHz`kD)Ad9O1E+%q~witV+rVzd%` zYOd$u5+CgA1{ZoDdxH5CA~oAFWX?T9K){R6o`)#v1PVvT9{o2ErfJ>O_~|jHEDi$lQUFD zntyg@nuFEopr?p~b!a=gCh|b8rOh@J&MwzwAe=%l0Jy=d6os$Nt^iaLK;F!*WcWoo zL$7sk=}?>pqEn|&(`%swQKNwp!&~0CJFdT6`Y*EwLaQecD3yh;HJgu6@(QdOG56^K z6$RRQdPD-L9#OoB*7=*wX%3A>y^mJ>jphnUEK($m&Wv;piZM`Fvyk>{6nIQpfF=x9 z$2qhXr1dYPpl^*KMT)?Py)q*xxd1&O(GIN{L&F9V0P;hlfwB~k&_q@UEm9izXI2U8 z9M}3M1u_@G2{l^IuOhO5!8*XQp@4AI95Va09!T`eJ_Kfko9LULdI2?M*458$fQ25h zFk_^d?Icd@c6dxQwNs8!vdU9*2M*E#6Xog%{kmRvuOemKdyAK@V@E^kfPUEGOBd$U zL;<9t+{>L1orWIKe2P%{q8qDpnaGO@Ym{UUJz$tecm#d0;N#gYpIFh*?{Psuc-ob48lVVl#50c0aZkh;xym*-_C$%tF(x{3+7vl__Zj zE5tD(yuP;!&0*hFpc+$Ih>e2y7~2t=Lzp=B_Y{T-7^JJGIaUUCxTy~2oRYDaY?Ah6 z%MC`A>S(NE+$2b8qR?v9yoI%@qMT8#zV9ng=|AlFq3_kpzkcKzku-C#Pw@+BdJI(7 zfIV_eSGoxYLj@YfU`g{!8ot60O{yAb7t?4KVl#9V>1Y-{0Hq8@BhNtdkQV!4BoY;R zr=W)q`roi9>R=P_;ilO+FxxjaJ8Q8&DeS2gs$_YeogV4mKh! zD@Xl0GiEg+Ob;;)P?(lK9m$kWz%jE+qW9gAPf@GbGVc9dqZD1tjCvF+ndlld=J#~l z57K!CnobvmYULfJU2H+I%{?dan6hHupnswDb?l4P7~Vp$EEL=)ai;h?&9bsxoyR-I zs#+VENxJA{34d~Nuw;>S1{+r-8>^^B?x&GQ zCQPGwfK+m%ZUVgikpN1fj~E#X!3KPmA6&#gL%(>E%vlHHp8Go3hRfOYwdscGwrqYi4R(jPFe)t7u^)81Ni|L3??>p@Y1mM0SyUGEu$y zH^sjCy1LrNGO;sWha1d?Q3Ygz<9eEs>)gAtD(g_+QO3D3v~w=INz9eZXVIwD`TbA- z>mPUh*LA|*RGmhTe9-4B!XCQ1nUsH6wYw7koUJL4gipjsJueqHk2xi>zDh--pWuc% z1MA7B7fDCNmP#cib<&PE3l)8%xs{GY(@Hq>ER;lulp%929K1~>9x&`y)I9nfuXH$;}W|HP$I_yQ9 z^bXw|l5~Y-)o3QifqJX>B5jc&4*5Q5uJ~wnoG%a2^Z1j5s`ReoZ{{V;{uJYoVYm(> zb$%aRsTx(EPdI+~xBs|KN1d1DLp5_4wZ| zHol^OnTskch+m`D8{$De7UI)X+(Efr0-6}69xD+i1b!V?&zVqT32Hxhi%;9rqQ}kSqO?jdLRPbDgnAXXs*R<`&-E>^RfgR76|jdL={O zY67vDQln;`NO9b&+B&tNu@?Hdq0N-5r<~}&U7Qd|7oyRA`g*c21aRv-r6$y5lNYAhq{7vmgbM` zh>|pOBai*hl$?zLKnE5O_S~k?5$ss~g?<;cp2AFX@L0TNm=tB~MrJpZ&_-D77?b8=5oXM=sl123z51q(3iBMk!px*I z<$M{=;tq=?hebNOKx{7L`J=u3r{2(S^bMt>&Pau0Pc?OCHSfJGTFBle%w?Jy$*sn2 z`XqHmYBc)R=V235msXvflI5j5QitngE-Bvn1iPlDHdTHQFhr`72%q50!#0|tnqy&l z-+XnBbhZso0CF8iiVd5LkG@_}@gxo1zZ@JK0S1=txh+di8|{f&aXxO^yVZ zzS^ikDI{HNo5XTTT{NrRdw+a|IPmCJXX8 z?l2ZBIn~V4H9AMnt2ZfBhQT4wq=9wlh<=nYS2Ai&hkSE>2VZgTbmHO1F3#I}+jVMa zYtF`(TO$*cIK%Ez>dxL$7etpr!?M%#rmBy>I{1^Jpc>)w-V|G;iJT07Usr{M)fT!& z`OR4p;v3{3B`o5HENjG5Y;|>FRh-?j1ie)~VJt|H(R_4K-beB{&ez~rQAzHhJ~DLL z160o=O7l}FM;f#=a?Cl1Y%$7;cx-lj{d6$JA-$#Fpo8DMq zG_~JxMd@C#3cpV3(hlWQ~gy-$iKe zB4QPUo$Nw)o1v5RzcyJO_0t@k;O+=OT4__z=?n3-37>UHp9CHc+`4`h+buCt$L4f-PzZc%WPSJF?W})(PZwHCgNs;=- zT%>;jy5YG3wW)^J>SfyQAD8HLN+)5BoDeI=4oUIFj44e(PBT;Um=%=I&w+^wRbOdk z%vNQeY%6J`8q7nK)*;gknCv5E0$XD6VL$)8q;ueCS1&K!*Qo$pU=13x6bu6{NtGa^ zR_LSE)ELD-!O@PTa?y&pGCD)ea|Y}~MeZsNK)e83BE-|Z{-ZMBdg!x3TQxg8eFoaj z=8N-L5v}KFx*j}`9zV=-okXL>T_gF7xH+uGxs1&mQI>*go?D`O6mO>`pURDjYtXUL zI!b^c3SWVCBW&cT7B%Wa@6%_lLvCLUtq_u>vd!^C7bWFNt1kHG4i;4Aa9c+Gl0uN7%WTo#oOt2XhS zk_4tzslrz&l6o`pt8-~tBa7v1v+NMYnM_(ySyk(&G%JLdS*Ff5W;o8sG(X8`IJIaV z0xv|9CaX}5$7>cENabynJ_Bn(eI<)_7V${<63xOI7|bgSfNn_R!;)r31#kjDKBzVH5WAoF({ZqQ()K1-^h zJgSYM`fB8TFMT}O(Q|v~4BRIz71|qKBV!STfPXo6kXCMHAdV1YI;3_MdvHfw@Hzn~ z`3zuz(7DtirHARb^Cnh+TFa&^!ncJ~sSQc06xH+6yVQi?u4dJ>GApJ~f(^i-#D!v@ zOMQ@mio_+jfO~T>_RQn&KA5$14K6JN~>!hLOQom`nm z8aZu^$XE0#)t*Vk?Kr|(gUxw#75dnUE6s9cm57s^Sk_{mV1s9zY@_;UP!p5&@}e{J z6va7O1Wv@m0mh=+A6m9bt)kFjLyKrHR`O-{V%1URtuo$GOTS)YcdVvL@LWb1!HWc1 z)ATB01eS`5bQbF_WB72#J(ueqIsC;9LSmKyAhZ&L&Ja`-%{-j7jHpoV%c>r|&P?Kd z?c%0;TX~L;C~TIf8O(u7-7%gQD)bA4G`T>SCzr8xv~PTgp)uE>27K*OydVTPQ%pJ* z=JORUR#uyXYD0Adu{5!kWDwDj7K&3k$;^!8V@nSMFl$~j)ulP3XmpHU(`4i5j4VlO zV0i~&<(nY6K;J~FrF7mSn;V*|Bu$!`=cy*hL+G^y0^jJ6vodN$O0GpxE@sW;l#AvJ zJT_E@?h?~X5}Kp1gH64JU?bBC)L16!XmK8F=(pF!HmaTN8fko?a4*Jo~! zWlatCQ0AC9wvvCpNy)x7vXVBbQhH4Ngj9dn9n9u*RIZ((C_T%%U5C-uz8Y^=O;8BF zEzYO4&?6__>E6gU20EHX%mhB$|D7cNpivyU1I1pF!9spU7~YPF$Ig{jfI1YidW zcs8|)GgfN^IVT~s@QU}z%7|GrX_zp~K+TZb-y<7}*sqCX#dWBKZ$*9nxdOFKI}S;e0nt|~JGd53HOyTn zDVyslXjimHJ0hy^j%47^8c>24s%4gs<_f|ncnEAXhq2O5pM~*z zoGl7Gz*P6RA64HR+)VL8rP$3u*}XK@b&34P-+%GQby}wB+2&Q`kso2?6j8qjsUqKy zPdr^K<{(uww|tVuCkw=qx~8r>RFN%F2Q8*Eh|yG7QM;1%FwI%##)PQLfYz$MavQaR zsi5iZ7?(|9aSB9?unUVxcNTf3BdVI?^a>SVd;RS-lLk!=fHC?JrnoeV;@)i!N)wA( zNyfc=ug^uZgYQ#q0`@(m&_?yXT=BO^?0t2J;C!r=Eb~M$q~yVSjME3t-^@f@scu}&1!Lp;J7Y2jQ~ze7nscW*XEqvzVFN z``+fv7k1qkk{YepBN_aV3tAxId1@6=-4fD^_z0&tir5gxM$PGRxfg+pBLP z`kRb%k*FEvg8+FH1Y|Tc9O6$H`CBNzhC71*O9-$U#~95Tg+~+!T@6g9-%;9-3{gag zjj{-`S|nWroXSUON-#HJy^r|SxP#+lE*-I=rq^$nFZ&GUK_&94a#B)=P$c)&t%j@- z*t~>xN<0r>uzVU#xbEb5yZ%jYR!BGzuj)86+Y3oD0H>2R!@(e}(dj+!&z(gS15h~5j5O(3 z>(DxMVi2cchVrKa+Isx%r};Vd7O9JAzz${hsX>>S z)65H0Hb$9s!6>KUWND5tze1xG%n&FW*6Wa2bo=cNjYj}rB|$awf})WrbzByEN(>Y? z8z^01g6t}&ML`QTiF6ZS{M9IIMungH`=fjR`a$OMr!?0Wm`h}iqFJCSSfkNG*&ibS zM5{l+Dk|1AIVi29R{(2D)-k-F<r9eH%iddIQ8Y^(8fsXg zEesYkI>`Z}K)1i|9l1)2{W`x!r~1=aK$)U&4WRKsGEUcE*3gqYud9>ghI^yt7K7H2 z(J*^#IuSHlgG1BYVMsG54oNTtJ43WEfhkkpet4+y@w7^>DjE*C-C}$R6rVPlLyjDvRjGRZ0n)&Ncf5H?Qbr z{#kLqfm+Y#9z$F@s}ml>f`D{&SwREM&Id?u3XN2W1;|VMyD=W;A#}Rdk!}!6G+JWvSSfbSTZTbF<%-{V}wL-Wb(9oIzQ8Hc4ko*vBMGN>*-alfNVVr`O1||m4P;m_2 zm}ci(0Hyb9oUE4N921ZZNHeDqK=oBL1UD)AruQ{*EOI7}@AU(L`(6b^GILrERh^+1 zM+|;#wW2BaLn{T2JF4Ow=g(k7Ngp9}4bm|M3-eGEwe^+Eceh;U zv*rvKk>ynaoKVte=8`npBneU4KR<9w!LWan6NnkV0|T)LFs%T-N~20(TxIB0Sbbw2jw6>~zyGCV$Fu-YY1v#mBVGCBe}6HR`Q?A# zcz8E}XUQ7nF2{VIs^b==>nCG;&lA%unwhk;yQG z5=I48uUjF(&!tRYem?x*$jJN9iZtR+=NGI9P%z`5eF+LAFpN`;I3Ir2#6LWXQ%a?Y z4mIt4EI_db5AdpVT0RAhLMlMtYbq*1qG)9Jo)$#3Kx-AaFy-cmf39gR!=y0|V7Njt z&ox~A_}(A?@?V;3%T1D^@U*}$Ai{i@@7QdQI{+zG=y7O31bRh-Ugy{O<6IG>;H25a z3lFfNakHmZEJm{z04ZtVn*TS=+7TG~1%lcWfblR0j-Li}KLc}o0VZ{j>Qmg0jcOz+0`RDO0bzZzXy(#+N~a)mqhE)tTsmL_bAaXs z0w4QADz9CMLLiGUMLC2fi3*C9f|b|k{zcQQk5e50aF>uFKcw}GX$)V2zW<%;?!RO{ z{?4^0hFiv*14&rXj7lQQ>4QT^2TvkMKBnWShAi#k-M4{sf-8ygi2f#Sjs!AVGe_2m z`Z>(7e=cNoMjdID1chk8tkr0Ons$z7tZ;HkBY*^#1+963z`^xZ3v2`(D5aTM24HUV z!YMP11rFzEc|AxZkjnB+kXu{{MK%BycL@wxFhm_%%!@3<_qKBK+smg8ToH?D$ zWi?uTnpvX_@>rvDaFRhY9|lgNgDA8Jr2d?ToiY#4+w6z@+X4oLJ#>Pp8F4>NV_DZN z`?azjs4x9)%NwhCo3_lF-axH`sGXe3SvuI+ddEzG$#GdfF^C)zVBUScK+O%xpU9`bI!Tv z{7%Uyk8b>=5Qt!;c`e|2(#cg9pvGQTH4Th!4i44>MIB?@cJ@5^QQ+(CDBVUr^NXkO zwo!LDeSq1qm~Ll=Uh;;MQ^2!e3DMKe35!$T7MFD=la*s*mkUGux&SWLy{r>`$-Sd0 z`BUAS`Qo6fk=!(}wkL~y@oUMciDh(cg;ntqQ z6|AV0u{*4*hzoeM#o6ec;!;o()2+!X+xix~-qibU&QraUx|Z)L3I(KyhG*)NqC8(c z6B(wHGSS3Ig&b+Tq;IxIyl)EVn{KUaYh~^Sxv26ow?zF$8%G9LSXb!9rH#Y$@drw| zP;Zvf9-VTr-=JSD=k=l82M;%247rwOSBj@?&e1)Swwfvk({k@*x07qo@SaTW55C)T z%Nu|Cv|7upDcB`Fs@eQ(!|T#u$sYeRCM~NVby!4$ubd_x)4|@brkxPozO44L+Ggj_ zi;^#$Cd+)Q$umN4SJ&AqGt~6&Z%|{A^cB1k3Dd~hB^`i}J8ZOVU|bA#5z+X}K*r7xY~Ld{XGlT~Yovm!_WSdmG=NA6DJ|54V?2d7hI>`_3d! zp;8;VO020XQ*!LY<;o1LAu{MXOcGPVYiMlAVlt0jUUIiyovj5%Qn-%;FLa$vJh*+X zvtWaL=e;AVUtE)ls_p8jyB?6%WOTH4>IaM0ae3!cbca+dmbWIJVFp@H@6E|SQXJ`o z$P;zT+PixChf}QM%uMbN$u836)>fknr~LKe%Q?HNQb3ljQq>M?Sx*O2R`-58>kQ|q z*0o;Q`&r&W$B)^W^fw&ZtL3fMyO!)=S8+pycICjEUmXxPv|Y>A%aU@opNU4(JNA!} zjgD$!k@M~o58s=+J7*i3 zd@P;y*9@ey+NQGBG{BuM7&-lN2f0HlDGTjQ?yArZGcVUZaOSxXe*^$n^8W9P_|gGU ztWF^Yey6O+uNJhXw(C-9M(U=F(>267y>5r~PHnnyg;1rv#WmA|fnWZ5yy(ZJHEL(H z1P|``s(4Of%a+R^)$eeD%*K36m?<-6L(f?qFN4a<#dR(AAcRXMpy=Ot_S!JM%p z2R?me=k%{O&JiuPc82J84|~!TXKet5A5@&r??ErZ(f_fcf-K@-x64U4z=5k6Z*ZPW z3j6LO26lygkgvn(`?_{u*g4Vi{Gr+~y8XcJD^Bs1mgP7cZ7AL_m^1Au2~XYo3f_U% zL`WA7jc14mu``nB0d$0e%RR3eN zXVT@*p6bu1Jy(#Qn|dve&KEfZzXkd052C4E`33Y9=Wu?u>nfhU9&E>^b{*=br#;nR zj8vCae*eS|s>_1XF)tz6o+&Hr)JD*s7cWqa+l7R%9@jyuGV(de;W~Z>8T^Z$q&09s9u;MB)BAbm7P4}`m!;-&XjI2Sw+f_gAtD5_ z=rw-Ycg)m@#Hd@Sq_?q(zbHfZlxfs1-h+c+z@JJ+YUH*=1iW_hMXm?k+H;q+oLQ*E z6IoW-3@+lhLC$&egg6|wCIC0Y2sg#Jd6MpO#cC-8Pft~N( zL|IJ$t~(@iYEJ+F>@XjM%Y!ipn@0|_@_fQas$rE>0>IN^3Amil6PA1ZNE!hU_9<RJ(VyCRiwkOE)aBWPX`@ntkE-dMN+ngTaV}J>jS=Rjt_KIRnb&0 zXNilPjO6xC0F)PVx`S?EYudz-mwU?CSIDyV94=2`B*xvr1kkktxe@&RGkRMGQS7iP z#vxyppuZhFthaRzX|s0zol#7QD5Z?aURjO2Vxz zxl$u}1oX4s)Fy!=FZ_B``<@5i+Vsme0P%khN*O**i*!ZaJr1?OGt}(yEvet?uZxxn z`eb>49uiYl4QkCG%WWb%0aR;hv(&W-Y?0_d_vYM?Un6`aK(5vcr1sbt`9#5hWtbrG z2-xH|*2UW$t)6A&tr@nHnzjH~&)393b@KHM#9)KsOIR z1xTN_vo}-wegT@;?@8i@z_sm49W3lOPZIe9&G@_EuhRN+=M(raI7^GIbUTPk?T*xj z40oOlylh+V9NyX#Ng4JmLzN6MWG4=LMgx<{OSKHoHua7>TD&&RYq{V93y;2L^_gIc z!WQLN$A_Y&^gue0;fWx4fxNRM>ZruscJQEV9kppsSoQU3>QcHb8o8BV(`#Toc`Ojm zTDDJ0jRmJ$nWUrsBYFbZJ$I2}@?`PT!Lm09zcAkIwN*yQ%3|$`#(*n|GFURH=93Rg z2J}HKaj2{0lV$s+BG566v{+|L8U64<^=AXSHQV+ceY?@>U5@q<{Swl{+*@>KV+Y;t z7}Xb&%GFbBSK7*Ghql+3g}Qv4(e^L*a>>1MCr+M9KB;#p(&C}Y{jN3pVIo|9fNeYl zj!^si)QMYF;#Dn6ZE-Y`Gqj(6+}SdQKGb zm>O2{$hsW-LqPi@4U%}%cNCz<$zW;0MPYv%o@k9+ixzbpwC1Z{AMR>jH_puUhTjUu zmx5(q`_%emZSvgh>QfB|%ic(XIu0Uh71KhXnu^S&dfuu{+8tSRM!h3b5JfcFwD=Ap zCHt_d7G-HG#U^2}b_>~AW%P@H`qAcq0xtPh=i$KQYQN?XcaWuZ(Xvre`(C8Ao(#}e zY+LC;bx?(17R1v-QsWuDv0wxAj~&l;2;6e1YgK7?#Z%?@wxrSFZ7YXLh0V}M0(HU4 zy5aPcQsv6e>$OS$gQPm>9qp`5Ykx>M-}mptwMX_%E5~N(1Nsip$6tfMRl_3^AeuyU zFuZd1EJXSYl7o*bc5v0{Dd$2uq2?2`w1G?0a-~}sMdL#9!nOseQj;_xnWPRy%N9@J z8eOtGr0$aj!;BOy=D3D2bkng zqC#&;22(Q_bnSS*zKo++4A-{yQ@yF8XQ@kh8xcvVN^T9EpG=QwO)h;KJ*_boKq65E zPxls<8!Tu-MNBi;S&JeTSvv{_!JPG|lkF~1?11?Fb)|y^$e0gWMp8#P2N-K*Xe@w` zc*vF-GzRb~LP)oR-S3FX0u*V#Qb@cAu1ysZlw}t`6>P(|^|J*pO5(UeCrFiaonBKG z7SPPLtO@Pd zPUHLvX;t1eWAW{5-0+0)_2UO{Ja8&82(NKPss#i6O8*7kc=>EN7_ z&0WKv>+5Q@PWNXW$#?Z${%Ky#bB?_C4!K6IrsWgIC({mT+SD^0{d=YKF7WNox&oxy zzG0kOcc?53hyXvxa^|HxtX5}B*YHkf^(*v@H>>cTVDP)ni#2B)S5Q^q3%i&L&hGpH zWn}lY-v4l>zp`$cfdT4@Ue^EWz4zd~N4Qbz%!dE4XX%HM>c4i#zInWV>X&<+)s=Ne zhMQbhIB-%4Rx&fL2Qps@macD-4%baX`0~wHw&tP7(vqKWO>))AnmX3-ZTjJtv^+N7 zibj?+_KZA#F?%|6*RtwT(AU5tesBGFbJq|(wfUV>Xv+D*l2cQ??^qxBT9>P5y#J^E zr)z$yJ@MZA?#a!|l%$61M_zK>O1>DJwvBeVh~FImzlmpN^sXiK22Ln^}FF2i3 zu3Ia+e9j~4$NHnL7w$?1w1hu=&sKKw`>UkMiU+_qrC@qjetq^dk-yowtNOdcjls*$ zR|oBr%c~up!Txu92m7xbSz+I+S5J@L^NU~Q&GkQQ3k4iNV|au-8aQ3`YtM7M6kt{MWwnX>eM308Kx@P=l9#{AechHtWJE@B z(YIPl>>`J=&H$Wg&#sc3OO8Qxs5>WlLiLsUr3q$&{%%2ZMRC`C#JWID^BFv(r#n>X zntr?bw97fu{~yjQFhgDn{6M>-CcKpFD)RWsl5bbHZk3uc##!J6(6Zx|c#UW$8o&v~ zUOLIMDixWh=;s)WNfE{8?Hb2VB}Gg2U+#+XCDfb|m%6Y8Arb zs__rmw5MyLWp&GjS{kB7=`~Sd&^Z=R7LZNd(xd>`ZrNv#K2h+2-qR(hCsL$tK>2F| zU!AAktDHQ3H(-FIt%1MtZ`A8+=r-~4!Bgb#g52Os7u4=_2UDA-*VE_wK54DK*O~b8 zhc_tXe2I3q_pg4vaSyWg3ReWjcXx;Yk)DH0+x794qY4>YSr^Nl~ps%g z5T{C#TJ7UK$2eJEbb3(UJoNXFV)1_UT{)#mJjs!9L+xSVjP-Q5giX5!IxFIuK`N zZzc_9$*aUvwnb5kC`^n4J5#-s)A3=jN~0tLP7TB;G|ZNeEtsN=KrEQ;t{CwCg;10U zj69~9SbvMc4ENcTMTi%M=wbwCG=Py4bu>>h1#L70-GZt!^I=l6Ow&Bx5<@9Io{8&^ zmIxY(){<+BRDcIT#b9d;D8<8CwjNVSHwN?;-@4#LEEF^;#BxqEV52mwlU!{f>tOzS|tkkbs0LeuV_i7Y2 zfj}Tqxb<{<_bjsQhX_#8Cs14{MqdwXgE9{ysz>Cb+;FSH(4f1fCvb4-Wu(m#4x;S+ z8ahJIx{W_dD;AH8prB`u$t-3b;bk`F-9yx0zZnFG3^#rwX5fT zef8)3l~uo(tG30_P3RJuL0w}5C7-3~Z8_%xQ$&ZoiUU4xo7U7gfgXKSTP}2lR{ZwB z#E9*81tYeX?%2cmPNhCII@H>(bjc8_y9QKl;QN6;8buRt&N{Nb@!ewJ^N&* zwp`hvwx4{z$+f0=hg6-@{%qN{He$5>uy?X5m6$+H_)&T~^ye2jSJ!^h*66&*P2JV* zE?SXPd~tH3a!;a0d-6L|PUk&qi>!KOvLa>myWROIZx1$4ru=EocxSICt*fYO$I*C@ zhCRJa<*A{LRUHSt9oN~e&JE+?>!X)IBEFvU2K~Q+3Lbk2GCGD{10=apr*fXm5zB7|KbYAi!N8~ zMOrofxbk=-z)HWc#`>LtPtSA+0i>N>@o}K9>q*h^ed1nj@X5GhKyF(#esK6}((ql< z4sZ0aEdT>jn7&$^JaPB^zlC6=efiP6kFsC*^Vb#~p>ELcRGyX>W%9=kwtse~b{BWj z3t%UEe8qs>&UBu7TFVPOs@b{s9W$t7e+T_`<1zr1>-C)?eUYv}W3;n#yvt8dk9GJv zwzhFoDPp=1e78s0%uo7ly_2VGfr0$v4!zNR>UQ=#$k9jIhz%!;21heT)zD*rr-lz? zg$}($E($fn1bVP$vfyR+;LzlT;uDi+9}56PamK``K2sNnG^Bn=796O>C-;5`7~FsA z-Dt>gU3ZtJ?YOOxyjasnz9%lS4t&+xF~D~G+^){N6wdc=81DnxNdTl4Inq)WD5%Lw zwyR_3Mxjk!5}#^3y@@_c&}Z3*-8Sm59Ul8}WHc?-Gdgicmcp*l`~rErsWMp`!5h>b zP$H~E0Ik)QC#Gr1`$4p}bw0eO8Qe5;oBd}QHw;+aSr4ihQE+MKiR_2TZ=y9ox{E+U_8Nry0exz7DAMVObnUqyUH$WF{ru*S#-KS> za_UDZQhr@<1n72b@@?CmCc%)p-TI{@Alv(nv$<18+t{hqZNwlQdL%iGtTreI7#;h6 z_!4L>_WS9{qJal9cK0_QpIl%6`sCYN1K{VH+&obi&FiwM4ZA-ki+5k-fOr?w$<#}| zzN%iPstf9J$K5Eo;7UPh!Kl#JmfC!Vd_Uq|aS+I759haUFvRbl9|UjV;2TTHTx)|m zw%*W3LEpRNeX8-&Fp$raB93vk;+j)=mw_`lj_$}yUFX@ZdXps46<9i)b_ZDw@lt_? zCz^@}Pb?Wc=ShXm7p>0PbNZevJ(^DkUORQTeVQFsO4RO$>wz08h>A}|zEg0XjNDp# zi5{#PRJ+%3%gO4ry14piYyER|t@XgipCiu}`prmyQ{?aWX1{iWkKbG`4D-BtD#QicXn zgtpvWMcZ|7=hpg1dN0yPHE@8Se;S@L1cRV9gIcxaWM$|{>0V||lSRcLuh9-iz1JT$tBwWp3|e~3nQPt&>DNbh!V zxPG5J%fPze93I+3_MT9>7HWW}WFqjmZpnw2xH_E`DI&O0yRFLt!eO0c#L_ZJ= zC_-}pY(Quu((Ta6?D-NJrN2!_mS&eCfO?~slWVfUu^W)f?c4F621ne};XM2Wg&x^` zCA-YIPMW5(!M6@1U%;CK$L=y0z@_6qXn8i+4Sw@Que#EM+DQAjeVcuxcMEuZqosg@ z7QDAh0@cHmYblZE0)P3<)pPf6$7`!;8ZhTlD(%x6_aL3!;0(V2AZSD$fS@f6`uj(n zQ~0w6bX$m<{$uqYKTYhg&ygP+-ZzkmrnxnAmjbw7hR)zDi*(23cC!n}P%BGa1#tCz7El28pvW>>fkmKM?SWW9!uo(bZa-z!R-lre8~TGm8uSW< zpd!KFcL%jhy5?mvq%8rwv_6yF3lEzTj8E`f)0V5!8=iMC@KQN zzQ@)AYIS?+0j-0hIW4Q7p$zP^8BiiX=)6(nDC!m9tGr}k5&Ph z>i29uHWpNxhT0|rwxE9fc4G)N2G3Ks=T5DDg{;ciK~^N+O}<0Y7dnl>^AL=pcV24% z)T@^O^=c~RW%nfcGP(L5+iwStPd@O?KyveW!3Tp4nrYCoR=tW^3l0gIBR}O;`1{j@ z%7QcrQD-jI&HT9YL~_9&8|u@`i2WVbcE_32PjtI#{LS>g$jsQeGP>*aBc5ANorXd5 z_!4z;yYdf>Z%I4u^ z^m5x{G&+MX8GIH-(sPFV5*kR)>OGxr-*fsd?oe?Rs55Kc1{?^#uY!E|cVugsO*4kk z`)m<_BX1Y3*#5|6fKWo0{ekOB`*D1I`WxgEtEL+Qb!Q#t$Z5x+C;j-xWWE2XpLom4 z8;c9Zy)d3GgMk!=TH8lIuW(dv9zLvHZGW)HGYK2DFkJ-%hNI5Ov_JdP5`S>}=Y(Z0 z^#SGXY;j!A0pil_=YHPM`D}^GolzZCryqq$@lh@R1b5!?cVxy(a=Z6yg5J|0zj4#Np zeLQu71a7nwpX~gTo~cdv6Wh3G{tkezdz^f)wm$7Xa)q^>yh%=ANnPW8GvQZJ7TtCH zh{4;OrfYJobzOFkuiA>-StoL;z&TW(_>9?kKOMoZWG z>&{DM!)aOMnu0v*8W<)Y*6vzXw=x-Y(*|$zVPXW< zreWFZt&KKSxCp?p)>GF4%o?y2fBLXA`3G3O*;|%ef2jtjb?1rnV(nwS?y&~d@o@Ee zaS}BGSZEoL^Zxp?wpnr>e3Pu&d`b~s4-|sIDWY0EiyxMGo23rE$;_fzLEO@IlVI4#{Putsd_vO=r{@F-0SU1 zgUE@iqHlNtc{N@2!5V{*pgNG2>Yxm4KIv4i)16w5^1dUuW`7Giawnjo3}k477e)i4 z?PHxsVYmd@qVQTnoAiFuSzv72-Hw;ttG^PhAndj0hXATD(Qkm!fg)j5?z@fn4=MoI zuM<|S0)NssPMnZtejL!?PkkIR6m-<`-7=6dz;+F&(#$wu(Ooo_+OB^WdS>LHu#k>h z@6KDXNqees__rs9H-SQd-UIY|yRgM}ccylDY&76|cmmLUiEsL~Z~6mS!%G_i!n^q3 z@MR*4Sfdxz-J0}hDN#DAf2S8sJ$YI<+{t?umI&>^`$K3T8+M0GqyT=7;D@3Je?5W2g{ zRv&~8OMVo7(^0YYO|ByK>7x3VF1!u2^RCbjy<2qo){RrGYv<^LbnnF5yiBjI z*+G3C=OadXxWVv?rCO*jOWCS19t+H9>W=^)PA7hhYWaoQV%!3gQW*_WHQ$s9+;{1$ zKz%?TpghFu0F+K9r2EhUUD{sC^nwB+O{oKzPyt)KoM)tomg5Kz&wAMvNVIn1O0moI zqUHmSa&3*KvG(&C0+3BzVdvYd1uSSH{Of_w;34qgA{unxK7I_vfwsT}q2;t_>IStA zDS&>?!j~BuiHiz~mP=>t5%69w2B`v{boLwYnXqB;T^FWwnMPelD1d`Ye6uhw3aWh zg1s#dw!q*fEYW_YPGER=iI)oVYUCLrUZXOqMCkbetC^qAktTr? z`J)=d;NxqTDimwjPxAxvQK{C_V-d)NS~V4_1+zxL@|jW~(lM7{WhHnoEY#2EFr%i7 z-~lw4hJvN25W|)#;0-29iluVssuVf3^xSvy9Cp2)k_T7-Ym^DA+)$5&#GeTtdvU zQS%~@3d$%lSYrMAmw#gU`1sDb^NBA1A2xup|Fyu?st2=u#>#~6nrm-q zdN!bsfvFH+TRA_h1K_d2z-z%zTyO3MWxOFc05F*|f5n#Z{nIS~Oa{1P&lXLts_y5E z&A~r7-|hXxmDD?R?qB<-PQ2=>ZU@w{vi|%YZIbH>=Z?bK$2+e9tX0=*_9t~fv`TeN zJ$qtfm^K!6j11N%E5oP;2fc6D6G40d(>VERmN2>bo31@#)WPpwh z9?Cv-#r_yCO#PM}CM`FW&%9#791Xm64GdceSnmd(KJp;0jpP*n8^16$WVXa`%<&cXEsk0FIH> zvygDaMX-kgAm%Q}F=}9nUCgi8a3nYj33nL)#{$Min{<99c!&kmu|q3hDQD&!#46hE z{!}V6AmPRfuDRb{_d|Jv;Q=o|mJmrH!oXkkVg zUcMWqH9I@l0x~EpdV1N81Arf0;hfH{L_gKW>8l__RNDb^43<1mQ7cMTW`clxue}O^ zpFo20fRF_9D$L2ob)wVGtg9Fg0isy_1U*y1&$yiAi2J92SJr9I3{HVSngvi~_o}1W zXr{Q7_Ac)Q{tM)=)F}{-SI|pa04B>d;$EHt*SCQgb_e}0BH)CDAJ&#H zgTK^LdRw@EDFPHSD0fRfEk)9u2!!8r#&mcV7BEKbGpGuG4gts*y+d7=4dLI=2FL(L zQ-l8L05rGJ>}}ytutHt7Le1LsLmF`8PNbo|2P(+2gUd;0inpDd8dkFiKWcKqeeiwb z0BAlK1e7r?6aagw$a-hfEJPUKo6#$>L6ib;Z$n1b;MzK^rte`b3o6REavxL~y!t9v zN>54#{#rXc&OjFfsZ+}ef{Og7V4mP00i5Va}Hk&-ak(Js@A8WrJJkJ zGOdDqoixZXwE?cv@Q^0wP5id=;w5?twUg)FlQ;3(E|2`B{f;6bAkgWP$==>jk(4oapFX@P2H=ne$y7RCV0m`DJ}geNH&!C-oV zV5JBFifoPH0hEjak0uc7valPfr~=J%W0W`6+I=6)@pA% zuPH`Zz0@7lShlX&r-63m@K zNNz-KCS$l9DNrv0H(xR*F>R(3nouX3%LL0AQkV=xQW;obDI=-6N`d|mHPRe_Bx(2J zGRw9UZPb#cJZUN>TCg^=i6 zpnX!f4;;*^l9J0fXb=r79?@FGc4qxE%mR%e2~#F{UX9c5SEb8!r?om|V`C6c6!3{u zH#M#d)Mjc!OTa3wxJ1l>!dDhviAhjxdQaXJ*COi+CZ9 z!D`VOeJ2fO|138FA@3uUCx%0fho-Uu^3O4$3WAJT`G` zT|&wIb=i4py)~0Ivr1VmE0!wto21$w;PQY?2q%GMtm3nTnwdcxCdFki$}q~W(h@f- zpH%W!FaGyj4aG^wq?fA0KZ;AOyMr7BSD_HBRm>;miJ}h9k3K%8lvPVH zQuv~mtZI^U2TM}+=rTeN0KzZwTG&{^G}_uM=fKDdW`NBopbV9A`}sAV7A=9uCKfa7 z>zrAv5IU@aDi3PGG+HmR37irSaKcK9&y2TZ$LWc9OSx!jj>hU|bbXPgIXSP;4!&eC zKNk8~Zd{Tya%+H;CKbO#0^(6TE~8O41v3!<6Ox#5`tp0<`s9;u%~cBqJ?@w>iwIjO z4>0HAIG#XC@_g1unVMPf^&+=HFDDA|3Wn#*kPnMmVsXjL0N1S)=77%^HpbRj>PVgC zC7iJ#U96E~D1cRxT1a}HlmXw_EsK3Qc9;$rmGG`)NoboG4O0wW#1kB?!l;peHcsGD z0=VnerPg5pAu->9#Uz85Vexz`25!unwiZ)jM2na2TN(y|fflG3k;1IV+(N_9gcSt@ zWwbo_VvRJM74A-C!>^#~N>geI$)3{BnT_Os} z+V+~m{rVUeMTlbDGNGbac3~ztHnOm$=w+gYbCavd0t=xxLdVTf2c+j%U&e>g+m}D3 z*AZfi)F9>{?%Qs)L@3g8^wDUa#5A+h$dHsX0m(|?b0)!XNZ)KZ(=%ilwc?6b&8S}x z^u3kj*FwA!T|&l}=z6+()LX7gjqSBRvkjh(qKY8mS$0&fUm8tr2!Z&UB#sS$5@VBu zcH`{1B_4JuT~Qcl>V8!i$xgl^!fJI%CD`HXc4Adku?n^aMbEkM76l)THu;}a8pzSq z>E4o|C&(cHj)-m?=qy^VcuIwk#mtdNFBZ-FZr>Q%Bi)ZIO~iQf_*w2O886A4Fi=Xe zqal5i_TAGoHnWod3vM(6NHGLqFfuXQ38f+TD%Rb zYi=*vW^vn_eLS(%!VoLL>#?pGWp-!k^ig(H!Te#C$JV_}Bot)T(=%{4eoGcOd`0mhA$*#+plXpLFMKt%3Jn#C0#*rs@7+AV`W zgqgJhV4Pw#!4v}yB@~JQZ(5nb!O?sAKi~PFC-Hg`Jj_U?!YCsIiJani>k{qpHetDj z7EAo7W~MyUbc?*@n1Sf0SdmP&48&Ta$=W~_ zBXX5Wge661rMZs1fPi6I#5IfLu%$tahscYpXkIHYUY$tAOU>gJA=xZTk{RtFVS-En9v5(GM-f?a3vQ7XatjM$$pzBFJ4s+J zR^U`IhJE_!XV*7Qjjx@p6>PrMWD!YnoeKOT#)MM=RTjYTv0G?a?~xnHA{jhwMao1K zP%wOyD%gCIroEOLm8D1%zd$r;vKT9=SdA=1X5u2{;pPl*d zx$jI!?jEvPMOrM{swe=~64>F&Rk1pu0>(zeIaoPO`vS?s?8Xq{i#iK_(jW zO)Re!S$5}!OuPnyMpH2-YW3Homn&2@xkp*3)a_8K=$*yHgch+R>NOC#X)8?;0GgvU z3|rY6rNwP!x^2kJF0b`VwR~*22Ry{riqFcxrmvJu$7-kne+oU6E98VNWTAzN)uRNF zl_U8#`F7YOg|V>PR2pg9#F&i>#kxMEXW<##Sfr;immHQsUIl3)jy7jn8whY2zYYuX z2NM5KH&<5xEu68*+{}h7k_+lSlK|-AZeK8LW&!r#4t<+ zfGWWPm*i3{7*^ZDVWyH!b)lffvUDu8XW9~@DLngvoIpgDsAISe_E>PS8zcs;if)Eb z3?`|BAkAwFabB6n)ySAgAC^43aWOUw!@;c?GKj3_ahe7QnS>6j1?lrh@TOt_crD6; zDuc_>e#^x_x-P))3w%wjN3nPjYh8V`!X{S*_+m<0JeTZbf;LWIOh zW{&D%d6NneD|j_t)RrQJrx$&j$BMkSfx)Vp5EgiYRpf2iP(mGo@k@YvK!e z0p>0kbf_38vzZ~5D?&smVIGocGXiWR5x!ascrd9>Z3q4i^Y9_^1Uuhqwg_r>rsflP zQwtljgJzR^7ddhr)7zIF{O`+0zGZwD)E-{RXh5BbwsHjUN96Z3{U@VEv<2XPJP=VR3 zV=Tk9DTd@3!9j~{V)$+np`Dm8B4P2s_F>o=Bw_n$UV>486)=?m@OCVQv*cxs8$0IAE`&;7PXgssU{_8t$ki|F!ubwNwY=gOZVXi<7cKr|4 zudGTu`tgmMP5h0Wzxsia{Y^4-iT^wq<@+ZD{Qp&0;5`sWY-XH>ay$KSvA z^H<&=EF43dHqQRjrfodSL;%%(5;)IVxWe{=hdI+(4LFE)_nZ%g{c=a~4} z`(ZAI8cY_%zercmL1@H$ET4oFN zVu`!A^TQcL)jRaia z&0Y94x*CRM&lT>kiU`f#WcgxFfl8XA=xmjJet#W>Va%sn|Ly&a)BopNH_jIDtN7db zuka1E@yqyz;dtBMZNzwj2eI|9H+6g+4-$NQvfSYN(k9ji|C(Z(;Pf4G!$f@AUv26( z)@^Lq8lQZu@K{4`?7`Seu?OQ*k2M71$s3!4#-4HR&M*CQ@OSb=ED?J)cG~pc`Ct71 z#R}6eR>Up);-BeQZtQvZ=Z-xjg33rDmRev)?*DeNWjYr2CZkG1z2XhaT0*pU~6JQu>~F6coZ~E zqd9GUAZg;^)Esj- z*Lvsr*v+oP7|)&E7?trwih)2vH#&gP11|r2{-ghrcrf>72Ehc%JQGxbLiJFXID6|u z6kIh7L!uUw93!iXSlNpR*%;0si~@^=;QA1cfoj+S@}Cu;FG&lSFPU{p!isp!_%Z-& zH|adUrm-qETRjR6zw=<6*lC8#%-qV@Y;upk6hP>Ro|?bz*ifU1K5;TAqW#=@FBge3#j$($22_gY#27MYCUZJdec zPO3aeJzkE@mV|kDQ!eWUDJ|Ae@N*GM9n)r1_{^`nG9O4h*95ypGFfhscth`sDJI-P zgVLVB;mBmE-x;f$WPbu5gHm-JVEQmY(9An`@mMzx)Bh>JaHEynArL%8QQ)ZKx@;^O z!UGAGR}d_qCdfLb0rrRnZ8lDdp(^L8T7nFkodhaji3cSR47Ct1;0Z;;LHz;>UZWW% z5OM_Y8<`j~!)_20hy<%Gu>f3p1jl12%(T&BPLEofU(COt4zqTs@PBgDTpa0m^jRv#WGUB)nvlT z#C9*fbKmVmG9w{j$eouu+$!nG$|C!2E9l`#xk}$E`*aPpD#yN^>1iewD##;BfNwXn zHkPgB&qSCZpZ0Ua)U>(*RCX$b8nOuh3T@H6!xppOT8mq$+S}I5g9u6{jWX?GBbGse zI819`p)Vweyl^m+VIod-D@)?+#a^sT>Syzov3Y_<{N|y3QrydmGo&87eV?0$0AVEZ z4)N||;@7MyTSizn=jiw?q}r_BVy&?k)n-cOuSi|F7e2*roB7VM*{Z?f+m03J#ZV6m z1x8?kYmMc5+APDkVpwU#?T=Ua2zA(wDMx5b0l}4`!%3``VWuu<$h^-a8Dc8nw10T+1_CN|7+nkC_MLSO%*BZ83tFLTUod!+;s9$H-tx z$h&xCmRXn;F*AY73uR#0Ap(4D%4%thvE07}X+{ zirF`U;zZhq2qdi&Ply~~r57s$gnmMs5ENP|NdQ|36G62k6p_wQU%pNXD%Y)0|#AxA8I{JP~!N%pQ=>7!1>XcX zpLV^-!s%=!2TI$*lCO$ICp*v3rQ*@dK~X9a1BCuWvEFA{O%a5xSEOQ+#|Pxz^zLiF zeJ)Y_aIRi3X&xJoDAR2wJW~`{fe6ZBmcp!WnF1N{-j%$~=vl?+6=3JWS#Vp1u{=Ykk# za*y5)I)2EaC5X4aQ{CiEEE$2P7>OmwAudUBQ|Ieo*<_@IzOzzEV7dx4i8Qq!alk7+ zu_OxqtecAf>2m(ATZpx_o%w843G`2`**26y)Rx0qzyO3JRumqMc5_0Vy0Iu%krMnu zX@Y8Nm`BFgOW6<`*_qSR+o^~-T%D}O_tF`xo`C0;c#|Rkfm^4%ZEeQ67^a<>$Cx{v z7O&Fv2HB@=YUNIgkCXEuPEEX@N}!^*aT?h2qy*@xis(kJr-XrT(S$xZ zOwxWmff7RElAZ-_(Yhr`l+-f}jLGdV^QA)BlP5(V z*A|ziKS?AeZJw^dNZ%RzhR#H7V7A{7;62r5`mPV{ihGRTG+Kr8P7 zh3Tt=O6RKdjMpddA*w$fBcF0I7o;U?SWA{G`~xE`LI2aONxtUg=+pT5z==CT`K z3u@iMjrbx2t7!|%Q4v2uJ2$P3%3j_jd-$zdW>hlg;PY6-n8SHaIaeCiI@#CRn5xwj zN+h8hJ2Vn}yc2Q>MK^COpr$!-NfHc8LM#){a%mi!$DJfS!t6d2Ay0cPBW;9-wX1PCu~ys* z2398dtP~@AH9o;|kzu--d>0odG55<2P+;I&{82l{xrIX8|V85587$ptuHiJ>^)l}s|LHwJ+xu>U{K-ab5zv%D9c zU9IG`EK57%kvvuud!Erq9?7yC3ni$~Q)V8G){kUaj)W36<|Ec-NjHi4uraOSaN3#C zqs>TO+mTR%LenOztU-Z*YvZ(`&_lE=sYnAH8Gm{qfwlLaSQJ8zat$zF-IaAas^*;sSFiu) z)q*pqw6s{~4jPlwwKlf&Ff(CRWGn89-l=F^<7_a#G_%Wa(i7HYO`SDIZaA0{$b8aJ zd6i+0XPVsRiKfZcSU0Jsz~S2 zUuIf+u+ueA8mLr`M!ZHN#HBf!wIV9lt!B&iK<1oIM;=11Z9(%J(FAEw9#IEXkO+BR z&6!m3W{^~4Mzx(kaodJp-oO4&e!T(+G8=0-k2dO68dmn&F`BC7v{1*V99lSlp7mBZ zCX_Wt#c;#N=@J_Ux^oVLT`*ahKr zQoEkNdg14rAFuh*dsd~OLq&`6r_5zPlC^Re737D^2ThncrJ$L2n&Zl0>9%9uCYG$5 z_%_Y%oI=t3cjH>Q=SGwaF<6(kQMNaCn`rG6ZIIDiNauQ4L4L%P1`p`rQKMjINpiDc z9y~up-S0^DNQ>fD8){LhT0orL$5v#w}~D=B6EMo~vS2qDl;PE5%#c zFrB$M7ZXr^Q?SiKLg&{r3yrHip;D|MVZC|UV%3(3T#@ALitM;+$E9EX`jTP7K^92eg?b&70I=q9?K{VaZjaj)Sw$vxg;iPSdexq8t3F`{Dlc;rGN1gOja*k1 z4|(!^f<4LFWXnH4_1x63Iki919(Std-50DGSJQjPid*xn$#CPLSO=F>U1&*DhX})J z%9-cidP)X^~Mbc_r3AT&A;Ecx^%h0djVkCcc!IV(?1P-H@kpD!R+|q>TzPmadsr{nd%7)M%VJjq zMmY!-nSN{Ny`5#!g?W_BXoCA4>nReETL-7-ol1f-TiXs?5h2_XOck5bB;CaX+Prcf z3R-CN-6C950h1{LKK$WA@!>ND*ws$YtQ==jrC5YcwyfE#rc65Bag2kM+6-Y4J?i{D0I3Q<28S{xKPFW8!)W0bNWtkuIVvjTGszrZhpAqe@gatJLl zcQ8>xW#H0PvZ&_vQ8X|S6pdLSHQL@rs0yzQSOhnP3TW(}PocWFLiRl&)D0hy1jkYZ z7Gd`Z?vxq9w}ezf7%{O?$DVXCSQowqDbk-rYffMkUIQ__QI$9VHiw-Wk4Bj6#<&+_+0>-N05Ku`Gxd*ljS;)1X(2bg3*{s|t zp!cQ|q%lJ}-1(>zHCPD%{E7{DtH*?}NwlcgO2e*dVc-jF403$`J#Wb-(yu`OiyIc))}ww z=Ru~k!bqAaL!~pZS$(r@Mm~VaAc%7y2VT%Z4 zEZDy3D;54^{JJMNYA(DC>g=>1DS_!}_6=bgfsA)JS_Fkq5oa_4?vJ96Ft<3^tEUsM z%k^zl3hx&~iWW1bQBD#znNXz^T}^)Y3rwx>%l%1C+JAoPy|32nynW?2Lk8MV!3EZW zU{*I~9gGSQSIxx^qtpY1$EGx63RDc+y_h;9pt$4$L`W`|gBp4%8rNNyO~nW**;G-+ zP#hH8N9xJ2%IAVhh1%75Lb2^Bg3@h>Z&b8ZR3#GZvEm+j*=W_08J!nRhz;_>k>2UG zE;KJ>P&lUvi$h-?!mEb%&|!nu;)EQPneqXB^Kjn4wHDfi+aF5Qv=g@8g8`NsURSWVLlo0oAS4BYBNXc09f>*8~ zWN90pkeDral{7sH?N^u}Vf5(nv3Wp>)q8$aMtsDPqkF6!|Frm@|5{V`oz-6&vZzxe zDvtJ~oom22O2Hn&)CkLm>eY**sP&3*5gH(jwg5@gG6<>IZ5Xa%THJF59?~QOLaU)Z zB&u5{KDX`n*Wdi|((3vYqOAx@K|BtPPg!b|-p9hk#I}VPD)Vr3A#$^zLl4Y5Nu|w2 z30bQl_!*%}k3$9GdF)E4jp%&ZhrpT%&}xe&8r_xl>mWK7sTtP$gF7MHyZ=PRvT82nGZ_Qqn|uWErSE z78Fznd7YY-epGZ`-?rt;-&}v(5ms&>?UAwB*$$bZaYZ;5&RWc6w(J>tCD0JQ6l<;0 zXBC{E%qbN-Dx}3-nq~^w1(WJ)-bEeW)FH;6YLI=lmLgyrF={=Y@_T)}yOCP3b(U;U zzY6P#**7#h=r?ewlm0MUOgFqTqQj#x?>Ur@fRp@6h0|FeM(EoEM&SAr``{nldB4u^}7i zA521tO_?LH3-Ehj_C(9h)se7@8YCks!#x7m6RZkDSG;LMHenvADxu&_omF@0_g;SY zJ7X_=G57}DqH3idxFIa98WF!`M)NDU7czy2Q&|Z0jroJ7%MgPtbh~+#2^TqZ3DrE4 zKT3S94w0K|!~q6Tlq*d+I05d^C9AA9f)yY{sb+?B2Y$a?jzKD(6XCIrVG3*vm)p@6 zDde>(QIEZoIOSSY;t*CrhG^X!I-_MaSkSMJbwaT6Ll zKG*crqRhUzA$OO(p@z#Z8rs_21sy3Hd2k^6;9RkVcg8V!aofH=TKE^qZdCZi&pzY0 zk9{<$TsP6%P#Cbt4nimLs{MHWA2#{k@_VcG2%8$Ty$sKN5-Bx+j%xQ z*=nS+)93tGUE6D?&4a%=--qF%4a?HyLa+W}bFtqzZOG(2qlJ&icXGsn7Tu}B4VQju z^myluNBdp!;C|!fCwMP+Ka;#WW8C{S`|9AmNu&Rd=lISA$`hZqXV50P-=MEG`|T|^ zJvY2fY@8~-M>L-IFQ>o9w)d((MA;Kre@mZHj1OAI)NzA<^u3$3Np)$Vvvnj*CWd!z zoz3<^L3Nzhu17vg{r< z*42Nhb7_)Yt$)u8qc!ioHU#OZ21>`OyK3uy;a~I%Clsl*uW(l}*S4XW<>J}&@oyhs z30vzTo9sDbH$srF)7y9@{h#^od~Q#D_a#5k^x@~Mg$8!0oBZlSg%hLmYvSp4ub5>| z4UAa>ng7Jtzy4>knVv#@??Hxb6VgUG?<{04yO&2|RQmML&?7W4;Ozo9->NsPq%p8@ zLVIq={!qPG$ey@WYw~E7w7anRxV5dh;T9var0vYK&EH#hEPkRRKiQI9^mk?(j&#;^ z&7CuTB+YNM#P5&%wy|PkOe@-fXlp6PT3}{k=vN%gmv-z!yb8<{PJ&|lr*R|)4C1d}- z;WJ)B{yf~p9+Bi)NhfzVzsu#B ze>wAo6Mgi7%w1=t-;I9j-MearUs;iVVRpN*cfw13%j5p@-XVSN_G$Ugns}<+KdL-n zANIff(2qs!P`wKo{6}g2m@Lb4TP-o3d+83orqkHbpbYnD3r`hZ?b>_WaL2AbrR`{r z{5WM?(rR;8{Jo;F=zThq96!+2D{0pA+UvUq*l~WF_e$$*Tk)dyvR==sM?Y}Ks~uvzr{n48 z@)?)i(IUTe%WyX~9OU*6|MA4|c5CnGVB!Cq`}PGpWqhtF*8j||FZjC?#^1Tf3pS=4ueT$emJYLw<_}F}5aEGCvL)WDXbB7c9=faR zjKa=$oMXe^rI+5ZC+$2(uXeJG^{U(6Ie5%^$dx3k>9kVVE{}FCxtBEO@6Rv$uVx4M z#zyg2%MRPW(nL2}ec7jsQOO@wnn%8~{R54unF;3q_q%V@#-t_pi1IkR z{Qlm{fAZ!Vuh;zQh1Cm`e`pTdvcJ5qulFiHb+YyGeE#QieJ@L2-Zzl`xq%4}c+gjR zaFh<*eS7fCxc%zMZTcxpZyc|C@Y_O%r58sWs5y!W^kDeON>PtHh zx4y#q>|crsgXt zOvq=YC3!KC=$4l1UfdVl#b56`!Q_L|5`ClZUUye*yT0w|6Kwb(JwvXr(u0F!Iemq9 z_u;_gi&>mReTXZcd@d3uHY z3medG*Ky+AeD-~GBkQ$scYR%N>XV7X zz4pN$eDEJ?etG@13xAs*){g1uU#%aZ=My}QjdZ86?K|3`o-tDDR3p7*f~F~&g_1=& zk2!O6K1&`T$mU?w#Wv+hMX_i5i4ipo%(-|%?_vkIB6jwZX*1lTFE>Wwno-SlRX@Lp z&pYeH-Bt`Nx0+9gmAb{+f@1fH=Y+zCc$*ULW%)sMy4NPDJDDEUuG8b0K}J;6W0TVy;JR-+EZbDdRp9pTak~z$2*Vb_KEQ&aT$I)3!!InJqv&uQ8 zCsF2)Ug67R0fMxC=TXH(D|=dporE=1Orj$^mDgi0I%^|RN6-=-JB-n84$?7(9q4FG z=7>jv5hsjP^L83ruttL?eG}7{(38fjz&q`WG}KSdD@CJ`V#hF7T`gIMu0M!a;&d7a zvbwvtfjt&mIF5`Fk2sz4O0=6Fp*fsR4JWB)ZY$Lk$L*&w+7<0qm~k(aw(@2wB(En~ zd`T#Hwx75iu_H94GE(}Xv2{u}FVrjI8ocw`@3eUrcFdec*qGwDX|ozpw-kP56`u17 zVk0(urmr3xYzrVi(sZiN~{w~UspP@ObJLq^YfKKzu@<`Wq z;%`&TBgwCM6}*NwrrWz4IDX4|lC`?9gYc5lD_-PlfbAjWBK4O^4e@_!$icCmHV!cC zG%b*qsEva)ERSGw|2k#QNIa8sH*)o2GOY#2YNS6@u!*>_^E!p$>C}4^3&E8uq|Umm zWYNM^tWo&vD0Q_X1@;oHAP1TnmPv4^hCL%ztFngQEniGB@>*Oxp2UjbT_0&IoihEJ zm%54juhAQpccw%f>8hBuF8QUCraOGf4wE$`Q@}Z|C&vm$8_;z3G+$F}l{;jwE^fbo z*#0u-<^y&3A73*Pm8ja8_XdfB74%kNuf`MDmTr98karpCoRe-< zst8Z1!V#85@wLX>a=#ke6x}p4y`uknf^KQFRmLoo_zYNHXj)*j>5{DdVVg5@m} zKRBCWmWF#}AsLHsM*GovFHVGN+eyX>4a_f~8AGL|xh^e8(Eq?6h3u@s7hXBe?nua zCQnd^hOU*a5N#%Qki0cDMvErSkJ=H(i&|MEAapls*aM<^*!N!j^m~3nYTolBoOy7Q zggHmc`E4X$2d5+97qSGNX|&0&!0MQUE|R@G!00j$n1eEuksaM6dqqtTu;?^wr2*Rn z@mbR0oSW4eO7lTPeFAs>8W!`5_E`|=_mC_oPC70U&&*rDs z?|iZZh*0Kv#INvjj>iv^2-;_aCnT6s=6bg|m*cT$9p_Q4-V@Gn@4x`9-N2H{leujQ z)3vQsJ|Xgwi122uQ|)5ywBECVGNs~iDm798s+7(;HW-9bP~yZ-)8jU;7puqxud0!` z!ZP%`>&C6zv{^ok-|(Wgxy;=vntPIrru0B! zxEN*2Yrqd>Yplvn;5yR7Dy%WdEtdwvO1O8C_>0(b8I2(@e*~H0d;`a(_>H_>@mrwfGaOsoEf+!) zpaU5|wZZ~Z6OLQPOy4k5>vlCDDVPJZ+)k4cM1b%R!I%-c^ zNN^F^HxOdqPkm$%3$Z|M1ORgrv9XTaNWB%o;2|Y}9uS*R8l<~yEB6%Ld1e>aAUth+ zO7>%VUc`d(CE^Y`c1|%^{2(1ulr6Q&qxi^@lb9%Nr;*av21`RW5 z*ZP$u^kwJ_4=7>28R_R5L}t7866hEB@~<+jsWtTEfnNxp$$F1qXQ`2PK`z2n!vxoobW zw6-p#=EmIsq-wf3t7A#~T?0F{7&g%Zq!J<l~7W(042HfulZ;Oze!X86_l-m|wL06CaU%fA*j#kxF)E>r&B&q=qeT@o20+<^ z+;CB#38?%dg)-5q;)9$7z*@yN#fLaSvIR0MHd5ogh}L29nKFqBPXQH5h$r`h8g3v= zUWH249}`(;8y~Lq+`%!W%wlvL85Enl-sV1+g7>-T(P}OSLT9lYl)=d>yuMmoS;`EFw*%cg)3&(Ua-l|~f zEs`jDGLvpr603_~eOP-tW%H><$XJ)Tf>-6}pqE9zT@WCyVq)_6!T@C(QIg=R) z>880XC!>eSFvQE^9WsU`G|(=9hB-ryVqKH2$w1J@5RFzUtq%EK;!l~dXi!ORuW^_W zDWMjz4r5b}AABs)Mgs}!@xl&Y=tI9MW$Hx7*DgK!@M|yMyaL)f<<4LoGJv*BUMt9) z1gJeP2~XfsS8Tk=f)~-g3VsU_=;p{CDLSLz_eMrhoz_j zw~~-)3Dt*2qHa6aYrRF^dmFhx%(gQk-w3tRMi=7Tjjsq=BT>>wnv^7Mom;C^We0ha z1>~$E`0I+OMYUQ>(sNu^7i?oA6~|?%AUC3W7lx?QIva~HK%fvCj(tK32g@?qP!cx9 z%5SjP&WRaFn+2IHL3f0$#d$)7scQ|s0v)`NK;BTFIvB{?R!)u)vxn#!}&0}RcUN1mP#xPc)f^?V+1~85A7fW7WG&P7?zzTe&IRgdH!xZ}c znO09gf&}%_x;tKh>@HeA>hq9tFeG=GA%)e({PT(q%`dgeZn8;f0UKnKJ(yK!pQUc< z24rV#F zM6j!9irmYgp(@2SdMj$l?Mf>}nz;)FbqKhi-%XH*5Al{d7{GuhVTPo&%~Cr9Sm-eC z@vv_fhNm9zhFvhF6y%!duA%b8jF(Q^N-Rl0liCWtyPi9p zFk;I@lU!ujsbf!PO6mym&Wt>o%s?6Gdm3T&1qgSaJQ+_8dI3+W;FC7Q5(MF5_tbk~)8JbWF zv3$KA5GBcU(R@Mojjh~?@HSh5*ch(tRAl&6K&|n$h7fL~Xq5Tp*Z%X+-~Ild|JOBa zbi>bht7Iv%P3iH&St0-^$6F|or50)MR;Zcu4Gj}LmL~rMPG*M z#wKkV?14vJEARCq{Q>_6UqXsD;7g$rU5XXdNQ-1sUxP>xY9}B+;6JR69QMJOB67Vo zn+hn?5ehnVJm5?LOkOO~R+I=bf{bkLcN`xAmkbw z_IF(WjW>SiT>b40D`2gaZR*YdtQEUfLTfUhP6#5|CA5@UuSjTy45O(}0ngxDq5pxG z2^ZG)1Ku}hl$UA2~ifEm(< zAoNrM%>)l{tt_F#;?|ud@+5EPV^%`NNX`|=Mk$JORv=58Q=|<#NVOUy;5zz4rbog^t z8F;{PDykHSH3vqGhGJibVn09!$0dp+=Y--tqh2FV5KAa4!R``uYeiWhHs$4x+ha;H z2CwBcTH6I1P;93S98U4YB&5vtid$(6&8TfTU;%;B8k~Tfl@Tl>;L^G&aPB%b`3AHW z7!-nb;GIbOzmo=%h63k+LB#?d0H;)bn?S+ZUFVl1ExNmTg+PTe#21-2b#Kwzq$PAH zFB0O$QOKd99MM*QgUc*Afv6n`RM>CMKlJUh>r<-?w>`{MfW<}!Q6SpdeHF(+zfb)cN4W5@P zEOfilLXVPw=V#akAe>kjxTBqflv}NGlM&)?KT-C3+}y zX%*2`OX(&G^xBH(^%GhJdImP-T2N&jv4SDXL?58aXaHhCZnC5mHszvQs}Js8u2}rc z2)dI$I!a5?yA>q4GevZ$w?F{>GXxTNFOtNY3>Wl1U{sDjyOlRFG9g$(qSJL*~6{ebI&YnT(~)vs_suHeyk8 z+ewB`GSX_L+7TR0?jf+Al^%&AYCIstj(GB%+GUR$)4B=i+(QtCs?aCxejklol?z5n zpRgzQH2S+65Kw6C3W5#&L_vro(X6jQL=+4g;hCc^_xALqGA%eVVF)-dRYF@ zYWN<2SdcdR;OezF>hYb;0g55>IUhlDmb?zl&Jkz1&I3Al5f{;_P28eXr)Xx2(!wSF4s(WP1YJnMf))+!01|zEo?2 zlZ?ydGs*hqu$1B4MJ9n$@UrIOG7%Yl2L4N+3NKQ`aB!Vhq>$3eKnPzVb8TY8-e9>^ zAaAzrPBJyM&5*PFes4f&ASD2EN3psCF}$9&dgr^+W+VPc zt2VZ~_?wJ_uVV2%Sa{g4+*5SI6&+Vey8E(MCSt(`Fu6V0p@cImtQA`Ar7n zXgNNKD#u5(9;RHr^P%2THT?&#VW ziv-eHK6qThRQu^xuW%EuBGc_u1`FPsDM=x)v%3`-8Mr@-q|A$0<8uFb3>R8~&@3S+ z*g+d+C$K4m$~MS(k2V5_0r)%Ly z*_z}PHgZpD=Cp_t41_Sik9#o2zz^ZEO8A3=C!T-tw};JFKCx<1)+uc|&3UR}4f0lx z6wwMAcYD=k2nlj1cDIlKhq16 z+)tir^@wg1PzkffHxRaksXMPo$P2bA7nGuQ-!k%bHmq_Wf+-cFmAlp{EE9;}FgjIB zz#SkK)PoA2Y}1oyW+))~Lu{!egp+JDmGE(Js4Q)U{@3q}Sv1__!L<_E()q!NI5-etI{bWCSW+Q6|Hb_?FaG}*h6s?3~8cv}L z?h_A>d8sy{FOE+gqj6|M_nR0n0d2^AL~GVly_rJn4hJCaA&7W3BEpd+MO{r8b(S^R zpFOV(spa4hKvAvGq4)d<8k$pO1;h{oz^Nv-o*+WoND|vMK)6;az?e8C1VcM0!W@Al z)-mY9L70-(X0Yu5!6LeNLPNlm9HAuyJsy4wz&2{L7Q{Hr5FFRqBd`C|eElDPy#ioK z3|&9WV{}f1zkyT8X|?u(ISK4wjMeZeato{!8u~t!RQh0?FKRhoN4N(uH9&VK33zmq zUb!hCHBf`_&`Qt+)|hRY_9}v?+Cs0PFS>>6OV}CTh>TQ1-VEewkE|#q7Z)C&clrk}TQ#Tt`M-!DgM$k-xC5I#R$zQyDz~4rN)fi?1|nROz=Z*>1`>$aWNZb< z6@y||Pi9H_qlgzL0HmJ#yy8Iw7p3)_Z?H;HHYA*;u_XXOwl-27C_`IK)1%C8kWxkq zjdVKeX*01Qfv+V&_MqU3&2(ob80cEmKx$kVo)sC?%|r=B87^;zuBt*w@3Lit-~(0I z#HvUTXiEebuBgJdAuJi=Qhq7Wgurk@(c_UIPY__Zkvo9|LdCn$wp9p=#&+eJ2qM!L z1W!J4*OlMgaP@nubS8?IPxG+Gl~(JbheBGP8js+&1Odv({Y0xEIqoCTzKK6U%HV^n z#@cY5Ry854@p#D?V{p1wG9!q%EuqyJjIo!_2HE?7%_L(YB5&#R0C*xOVRVblK{0?& z(DvVhDPCoSS`Q*k!kkveVTloIy5Logmhor{4MK21GkqTPJ)fj4P=dV%lptv>F+$7U zMYI(|T&t7;J}h9`)uu0l4(iZ&LGY+V2TKt-(7}YN07L

v02dgIHkYd7rhy2SpGn z2w^A#ItT@Cr~5Vu1Wz3HmEX?);GbTudFk}32)Z!8re?qr`=!WSHn7Ac07K>i{5cXJ z2$vJ%06c6NYv%kQ0ksJXF?KAL=uG8ILF$7YE@~?PLikESIvC;#q(IYF3BN8GY*0R4 zVuOPFJ7#4XQ%ECR1Vx1ToHpV?tOYX!gGk+5X1Ic^XQa;y*=##SxFrEL2r7ecT??8- ztkOY5T+VlefFD$#3T-?H4JaXMM@r}+Y==4AupvlPl`%+Q5O`TdFQ9`t&rX)uq68}< zXnN5w1%w@EbuRt^c!rgi8JyxV=+gw({2Sg9zVLO5 zy&`;bDp)iUog%Iom>`4?5xl@3IXVL!7=X9J1gi?j=9Sj13&XC*OR{I%T>%r!aA?3l z_*jVvCS|srwe#%==2a0XFApfa@}HspXxa?FC4C7M(L98NylLIKmqS261K}A@#!CUc zJ*bUvDg*A<*~md;3=NM1BV0zLf;u9T1A1P9^fd%j##*%?ZgN8qx2huWz05<3n~smT zV9YdNg2O(lpjN4%Zvw(t5VtxOtYC02grQ4ropSE*t9Re`y63E57)A6Y(11La|1V^) zgkqHsrx;w&h6HZnt0-=i-eQ7s0AlRXENO-_BI~R2XCT*ijB|;heu~KtFx~D(eSRQ( zGi`6XsrssXNq z=S%E3BuSM6^k|2OUEowI3{VNuhqkh-1ZGwEfL;W-%N6}sVuGvib$XkL7I<^96#2p9g{AC2s`!4@?lT86Li-01;cTR|rfN*Df%_@lphEDiFewQ_O0L zyy6-SjBck$6~lfU(k&R~B3K~YU-083_M6m?f(AlFwN(vRY~AE1cPo%VSYfbh=s*pW zyQ_?Yx^}^W;SN4%W5>_w-_{(sHgw8O>97W<%rC@>J4y~oKxV!T6jwqt*VJGM z#*1_XN531Y@uzmW#HrzK9VXES114Atg)5W@^$MLCe#;0m7oxa7hzugcGmb^0vUR>m zQ-;ycEd~tLz}W1?hGp!1%|f-p1PA238B&M{7ib_a)Rx3BFeEgmWI$h1E!PBb1;r5P zG)(ib^Oh(E5kkmfof2_-lmdPfG$AS!pa@f|Ag<&v()NC2yuu|q%_^kMHdMf%6P1^EcLU?7Nzzz`c#m!8}I-`{mj6P5&VPWK>)ZCMzVKn~Tn#ZXw4!iBw@gi9nw zpg^x*LTvvc9Y%ogniN)Ot6UH8nf|}f|U$12n>;a z0*09tInuM63TRlqEq-bq68+KoY$`5{)Mivk%zdg5BwO7c|)IfDYOcLe#}}4u|Be1-+Ty z2s(I87NHW0h!w{5t~gazbRvpI0@PP2A%Q3clu#;#3yt=YRAqk)4 zkOI0Jk2FwQOTeTYVb!D&q!5x=;)Ekkx-AgJl3TK(6A`I?<7Xe+$Jf=a`o{n>3eAuD zw$jZ;Iorx@W%OKBUHxZp7cqmsD38T^q9&3;xO0LhT|D<+ZjJ~r|C{TjD4CB z&!N#X}k3m9PGM==qwbf3o^> zCry+o;x^~3#ja@FqRa`Iz!eWeYEZ)%{B`lahe|mQN0snWAr>X7hnHkzz30?Y+csdy zOP6xxS_!K+yg@)|ByN9jU2vvo?WLl^Irz)*KXMO7DA=8tz_Wtz%3to#eo>uYGa`t1Mg+wzt4vWp>iPvx(i{OW~=hNgcxgkjo!dmqmi9y)un@X+>qev!L;;j3(O z-|fTs<&(d=XYcvxt3x=}Rl4`_#|jTU{*}T*E$LStZEx9|kd{wgx@Vwo-(Uaku7TW+ z^V7eizuot}UYr`vlYgH(lNLy}M7H%so4W0dMU7 z%hSjA9p88Rbbh(%iTo?~+&*T!anIho5e&hrzdH1@yG{{$w(j!uiJ|*{@s-#628M2* z)-T<4{Z~%h|M*Xo*M=UtTe>>*H+P+|*yEYtP2QESd}Mm>(6bnfCO>|4`o#3&-yR-UEgv_&YhpSeE(PbFqs@**|+ak z_gp!7{QTbz{q4!)(nBZxm+L>+vh7`aS}@b@m6JQRr>~3wzYCLBn%bXzXo&wL@ru!( z$Cx^!@8x|zZNYK&eeV7f`-U*sdulTsxc{MB<)0)jwe+8SdEdXJPTly}<>@bf@h-1s z^h+yJBU~XLoAz@jn)KZF$qxSE-nrDTTJ9hDRN~HH_FBu{r2KlKPg&YG`NtTFZXca( zp8ZsBaw9)QJD16ecU{>zF!m+1^x3U^VCOF>EA-bNxo4SNYMsLnsr`f2Y7rmr8^TQ_}Hx|qyw{to7)H@(jGkdKkJ#CGzK>PyDQLtl_rp;umwtz0%YrzD4F&NIP#ozj^GPeR~OJ=-u83zi8h!;}o4b z!Y(0namgPT+RRT)on4p*wJnm%r?-!s=&rxeF!V9QUNo-odz1kQgW@09Wsf#J{qtt> z{w8JUE98WRQHL0aPU*|+o=tmTwD#n`NQXa1YiG|Zhm_0W@$M~@Uh3LQhZbRhpWdtR z^VmFcTO)Z6ZwNw=S6VO=FZU3YzV74HZTa(c~Sx>k4UP%XgfWUS!xoFp)sc)WGD+_p67iEW<80ym%J;}o z<)0hAIJEJ>9i%n=6n~|uxwzN@)_}b3?nIBWGRE%S>8)G;`FsEC>Nl<_ZNZ*2VI)*e zP*IIN!DiGXLfElf)YwD_imjjyV^Bg8jX;wJnhoPX5jE0mOAdkCp~^bAg}7>jq4AiC z3KEq@(I-xZ_Zo7Bu#X%it5BiP{CK{k&?0ye%^8IdG+6NIoP$3o3l^f9GZhmeL{}p! zdRu{JMlBVuVV1}nXa95h@4j*a{t?_f$;CMcS_Da~`cec&uEK!U4NRrz z7-eV&h??<;YH=aSB%%hpfn9aViPUOB$Z`Z6j)a_?2gR=A_ar>Y5j+<1n2CZw0|k5| zDqfBWVH1h%;{lL?x~u9@PdDq)?Tf*R zbsDJ=7BBcHA39{Pr26Ot5+p)|vw9xNE_gy0eNv#6D3p!ljVBdt{ZMv6(85k}b2i4C zLk(osw-sl8)QTAJh_S!pAcpuIz9R>$K*e0Kk|3nJ@5U(`d)ARY9J7qsY?Ck@<1R~K zN4zpd&iQNEC8eJ(Qw4+6Seg;=cS_y_)VzWPC@^d5*})lS|CTmB!7 zesoM`krNl~{Fi(GxKN(j*^qgH_+PS`lxL0-W25{X{juj76!{lpl|I=dV=P*ib;OAF{!sRf2$pP!*dP~4PEE8^?sy9-}{Ao$&L1q z-1j-nlg^;ke)_tuW?g#$@xvqO(_V3BlfOoco%~Yz-|sl!@6e@B$RpPoabK>%coaL$W7=~6 z6sv9cMaShV+re1Z7mXz*j`gN?H_E%n7FSw&WMPAKK{{h({vq+|G4;hCtpF<|ooJ^f z%2}H{uT?J$$11vZ(BjH$~UCc|)!iHJy&-5)- zZK^<{6oaynr?q9HO0Quk1X-q7nI}ui0*0pJ813ciQZXWEbPK`g)7k6QX;LAD*B|7a_4FagFQn>3fOU*^jw&qbzQBebC*y)1M#fJFpwS zEPaBwqo4KAg>E6g*=?a+xwd&X+E0>QiXr>5ZR{ehQ{-EoOT0>MxRioQ3T{;#eLG^v zhgKLh4O*yEyFm`$P&843sF4l)WW7KC@YtLay;-WVszsCe=eVD9Ty%OE(>m=vt@Z$A z2K*FyOoq`WDc+AQBto&7O5evyen` znp}eBQFDf8YL#*l`6o|Z);gWmW#h7Z^TFI&nFxi45g{7pa7h=LrOB?U(b0$_4XTplk2H8oI(k+7?0ug})hvz) zD>vV=s;1~rt8%(&{_FZ&)6Uz*Iu<06d!t>JJTmXeQhmRXcW(3=WbzIK+eb#_e4{+g zClKn-o4Soo7}iZ>a!=jZ=p(n;IxCFJG;%=`r7vJg-1^4m+S<9@hP2DN+dU#%;rFsC zz1+Ya95?+bb~i3SjmT#Y=(Nz|V;l{4*coDDtIO+RY;mw^+-EaVqi=Uz*KYO??G-J1 zzJZ_aD`!3Byux*7;*wJJ)JQfiTxioWhLZXcoIA|)k>$k;S1N_b%D8i$~c>TgqO^*0Sd3>ObWzoRpXKlwC_l?y0Y{%WdSnj=8-z zrF%v#-hhsN^oe@;3F(|vMQUzJTz&KAAAV#N!D)sa1=R91p~Fzo1VcdM1xB))pa-+jB&FN^2~^nG9$JLf2~}MIO0&@$tmW>Z0oaHEZW4h$|FKm5|Wv6 zqN)~F%e6&Ms3=5l4v57f3g&6lF&MT`IAMo(xsBSObu-}=-aF9L!_}4C!{VG75s#5L zkC-rhg>A}&qP+2qz2};0e*eVkZ@y8!x8>$1{GomXC8gMW#NB+O z?yu!t8Fu&Q;dW0Ckk5G0?@IEO{>lD?mioTDJ%N?&9Xwyu)K4pmTF2Cl{E&Wlp{jQN zCeDWJ&En2(@$~eSq}R+^FBQz^i-o=?M*2vvVOh&cGUt7`|5JwbD(8QJ`AxQbY~Vrd zl6yVv)-QUejSGHUyM(j@b~%p^TzFs|?O}_G|7T2Dl9YFtk_r}XN}a8@KFLsdcGh^EWZRM$jb(pOd-7cW$Y;3zTR&pV8&^jdj?)h)sR;=-VybAGWh7T-n_!&WLB9VPpRSW%B)g(&D)cS#fv9IUpvU2 z(OUFH-w1EJ^=5sIXBzqId!8a^L_=Csyn>x21+%`UrI_`+p`(%`%L~^J>h+^;UfDaT zS1}^GMKzsuFXzy*AuM~k#tw){?`BtiBs(FY4``LISMNM#_h4sm+CIq3H2Y zdP%mrm8_W2i`4cvNX4Cg3(fzdJKy;(smZf)vjfC_%sQk9U2QqxU=!NnpYEJFG5yAG z4Cl&k|851ouxe;U=d8Pa@V>{r#zgF3JRCbXj5;+t%kI3PKm76Zld0HuoK!5@(_L*l zk=E|y08wYn1FVi6Lh&l+vv!i{^6~jF)#}-G{ zw>syIDbGncZWKEh);3s4ySK&qg^~Sx(#4BCuCh;^mKuA*X7qS3?KUFLl2&DqSHJ$| z<)76&ch9Pb4ZGcKp;%ZSl|N~O{5XyHn1abBEe5a36vk($@2b4QShKa>Mu6+M#EiiK zSKEQsxo(*3p)qukWKHObxLzV2b@VCjYNqCfRTKbBIRqC}inQ9lk`^?3v#cUGh~{r+ z#7d<~FWf4xA>(Z!-%0rUwUDpokZsak0g7&3-jEGtneanp+DY%}p0Fj`UT;m<%1O%> z9nSAi?1`d~h|vn_!%Sj$F8n}rP*SpC;@b|b@ck}3PK|RmH_GiwY;%~6ZueVSst2iw z*&mVnlIAaZU*WOWKlr-N|0q;>oz)F@a4DT?p1rbg8${dYmei+t|Si?oNI5!ld8B*0`1K33rGG+OyB@F6BOPu?KGWQ5zK z13)xYPdl7%8eOr2{#j`-Hrb;s+f{bbRY~L4reulG+~PHM(%6ZMWDN3rjxu#k_e0y;{Wu>Aw6ngBogByT7REInQ?{K*Mr| ztZE&0tGHJ8@{F5NW)YQ22;|4mL^jc+JRy(7xV&sk*|92jN^{B=3>Hx%maIr>GKK@w zBx!b$qV{g_MMGr~Cv0<>nu{YGY)BZ)xY6-Wg!Tvh4RAWc{9&m)RnE$%^J@0aHcLpI z<4xL)B9H0wJ*>iG`Is9~g$-d>+X|9}sK9<1rL$`dblE`X#n?O>F}e${{NR-Q(VBgi zR~L!qC0MgqGpAG-?(;_ODQ-pnJ`?{@6_zr7wqZ|A~uD_^7&5WErF1P-X ze0cc9#!Ge|mO~lZ!$?!RrDGjGYO+tMbVm9&*;;8YrQ)jY=KgUXToY%R_ch|U)za(%`EAJ&0gg; zYfP`Qhx$c+Zc~U@T|DWedFLiNZPK=KYz=3Ke+%VweMkEG4KFE2e2H}#y>{+ydW+S3 zwDj$xV~7LOlt4hJL*+U_6sPJI9cdR4BUx!!Z?X#;ic3ntF~S;sSefy(Sea{7 z$J==X`_DBT;e+?$^hvMl&+F98lg8;Q=(0By>xk(KB2eHL;D^jB(nNO3;00?xwIc)e z393*1U#i{)u8r%=7aoKR@<)s`9^(PaFf)wA2n#TjB-C}1nWI4rG9N}E4)&&w5eiXU zZ&DMI#cA5k3BLEa^Rv1yZc$SVKdl}j39X`zGXt7-gG6*Z zglVXxIXHm$qwW75`EFj$TtiD7fu%aw}#A| z&7x1jHJMdHHi-$9A~a|iA+kn{A&8K{s~T*0gt9|X7@t`LHd;cHiA<+T;O5WhHj4s# z=BPNY8=+@7gBWEHFzgZp&XvVB5fU^+2?4cPJrJ`XSco-oI$WDb=I|18gZV>ab3F;ewFC>3 z5I8*{83IrVSj2NOBN<6-Q!oI&O`;h*qC(hCrwlVpk2Qsf7Zn+teP~557Xnew0|A+Z9NTu|iV4ep2=k#$rSTSc@EK1c?lZD`uG8krPL)Zs(2L_P*$CM1c#$hZU9 zc#dZ*R){e`>Qgj3fpar|@EA1f0bXaTSu}|t83K7>OUvaaM`qsreCV00{b%5f;K;H{ zA%Wo`@GeqG(Pm~kpz#g6ZjjfEais~VuscK6lHl8{l2NNOtK31TClYc8tx0Q_NPtnZ zj^uFAL!0T+XVLy90t^klqmWdxY|WlYl8V$orkLfX8HFMQeU)q(Bq5es?E$VH0@y0o zH(1NeqQq%Kao}|}iv&@v>H-FZ4eg$@)b(Ko!YQyd5*8l|Lu7``vmbHRoDM2f;?`_g z3@|Yf2=GlRup&ciBH+~)2rg^nPv2SjN9Hg8`3!vd8wX+u80Z|@=;ok<6-4eqYBKy- z66z%dsD&UPFIy84JEtYU`d~UhpRCh~sJf1-vTFnd0{|;chq(c91DWt+k&pnWbTTI9 zpfL^bz8uqO1w`yBkVt00EIo{r0D^ItnDE$a71n?fhO1aZrrf+=Q>@U3Ai)r4fdv{M zm=p^FQm5Q{0H}MR3p;DE!~B5hgoEJkG@t}f0hl3vYPV-tAzPydA(OJnO1D#8zPcp$~iBRz=nymi) z^r|+KI-AjDxV^!E8`~++P0%j@R3k86fR!o}IiRV8dI5r9QjN}wW=xY-nKO%86-8Nz z(@$UZ{_AJgRCsOXSQX0#95WLTlQIW+ksKgHeOV>VGDDck5P%|es|+k6B2KBWs8wPu z0(Ni+ye^Llrn9#nfCLNU@n)>K!;_x5E`g?G*w!|W`hgNJ0Qri zKyoZ$1mumAFas%AQx+K-i4bepwMbOpV@#?G7K&oc0v=^riJ5V-NN`?aZ8~JDvNjsmcK_G!Kg#>3e}JpX9LKN*yBDFglSl#6*e4?kCAR7! zF|EROBN8SN!Sq1_#TT*|CINR$CE-+n301H(3q81CwGmk$VPc$#5cm{tb{U*xQmkB3 zWGyAUjHEhLjjU$a6!dD08DkY?mLT{C+5u(Y@@Gt<3>&7-$-KenNs`HtAb1OF2s{Wz zlQWHXqK4RJP+(<%F18?4!_=AbA!?;$sq8vhB95ue7Rh;xfF%%QsJz8rJn@s;iq8-? zk7R)Pak(*rnxqbi14TtzK;7{ud7-Q(6FDi@l+vU|^JU6PnKDmxM>n+IR zr$S8#i)-rtz+*`Istakk!|1n7yJ>l5+ca)GtIX1QHrvn&#v?(#PYac8a2`J~dX*Hv zLFdWZBH|NUrgq^_GjEfHJ9QsUKhe;gsta=55I^ZQ0@TZi!P8gB2)H1+bhUpol=!W0 z@50A$>6RucY>NTTjr9n%M{SLQ@#HL>!%Za@#d=Z_JJoG z(D>FT@M|=A8X5epKzU=(eM3vXrlMcis{O`eS=^Bo-ylQ@BcpH?I_xW>UTi( z?*-w)lkyxC4s*JHBp@6+bE*~{0)T}RCB->+onov(ak->TnkOMgoR3m>^Lsl^V_YL9 z&!bIT7YU*6U}@D6R(_tJDc&eG%-&JeKb!7c=_vn|&TXvmC=(7k)l9iZ*+*(B$Vx6r zSMTv3qW-b4bhbJn3=55e!EL>Cpy*rQZFS_$mfaG?DIGmH%ombe`;H$U_AT%q1}5+1 zjr`}kgK}H*&Y|#!wv=0$?|;9x@!%gv>x~HAwHM-eJQplM{dm#27qDbLe#3ePswnWv zr-x$Y!tnE@JH*x(?3D^mmERs2Tj96exsR%VQc6R*`ly>*E@+g|^awTcUfV*|*%7I& zuA8fAb~_q7cP)|Uc7K%O=HFQ2>UpKbMgIE267P34zIDEsA`gxxpCqdXs_V;zJG+Ws zp}}om&6n6XezIT6w^E``#-%?oMv| zWcJKW>E!SipMR)Ap2*ykDc$mQDqg58N9x;7riG{8f5NxkKT_8Agip96EKfY#Ha>jg z;ep_=|GQ=K69%N5akaeFuv8mj21wmIGvB zF9FI?Y^oE?fDwHkhrRx-Saq^XpxOegBeEF72ojQ0UH1V4y;VJKtThzm`_un2$Itl}+b?Zhj<-0_xuU(_73AgJmHqrBSK>8C zU=dw%`Ih~aNj~k`3Ac%Oy1Zf8e~%nbyBeM-S@AWa6T%a0Pdv>oM4yN)p_TxdxiiII zW8LLFv8mI`EdNViF@1Wuiof4?Z_UjcYPrhk@0sYOJ|KI9CnuhQf|w_Sl>?hzsUw&C zBPjM%w6)SEtdwnb)%oYi?y2tZeHFISt!oVAM`?SZZDC@8T2b;{3lqP1e7pGJ-HDn3z+c_mx}@4QFEA?)<_ zU?P!^5*T`rvHTdbfOFB8Yz;REUMpBD8vq19`-s@XLW%yFT<|oNNoup=b@VD3j@O6s zk*OXi8j?ZAbQC$0ebv?l@(^b!K~kWK$p)}f{yc5FjU@%(76qb7KWnW;#W*%AU~m_? za}EKqHD&Eyif?;>3|qur`FFF|ZvP*DJO4j#ju`}~IU=#kB&i`J<~U67wGGa~iPKKZ z3tC9_6)I-rR&)X^LQ^9S##Mt0*jY0(E`pO~E9BQ>4oYs)Eb35v5FTW`n3GM-tQ*Cx z`kQ46!3iNR@Ct^!q)C)C7CJ?kyoy-|vsN_GN;Gt#cf-=K>4cVh!xla@j_nAk3U7l4W7Rf3Oo)<$L~TRQar$M?u{diZ#3ApEW!N~9@o_$&K6 z+8(YlqFV;gAO*w_XAY{FFnf^OGTw}e2Firdrb7+<{Q318_Xi)<6fV?GEDx_;I@0Xzm%v*0v#-C^VHg6>wJq`k_>y2XNa^d zAa8W5kHtBp( z?xcr3cZY2wWSgYTN2cW7gf@>uTZ^1}K^k!f1SVl?sY2RBYLmRfa0fez!uyd2M!vH8 z?D{V$#q_25@Djc|iYkVoSbwIbqQv_8?&o}Utvl(5M?J~q3*H1T2OoV*7zsi#_#?k| z|1kVsP3>d!!71DuJlGXplJmm{dc0JMzw5a=`d7i2wv%qDlIMqWQ_NGG%yw0v;t4*@ ze!wr#qYX9m{Drmo0=YZT+x-exlA9<){00qCdSeu z`AaGN?)p1D#R&n5_czcm?uW28HQN~LNo@7#JDT;=v}qI1)aYTh`wHJ=|qVclQkbF=eo_B}mIGg!$57Y2a<;$=f?O+G87`N{VJ; z>QW0el0Tn%Q%XPHQ}vflOwlfgD{e??aN<0*GJ{r*>6MLiZk16k^~tO9P3{Ot#)*39 zpfK`-$v=1g>!%uXQ!Hkb(Kd)TTKjoHIp(62P&36`iwSr)RN4YwgkEArr*^C!1F)kH z#n=f*RgB%tc6aTcp+ND z@j8^N7;}4)Ta^Z5j-1$l4=cyYY#}JARmck81NfnM+}+f{* z8dGk^UW8Y*TOi{GeGq|HP%BR&$GCj4@uxrj^NS}xz5RdO9Ljadk11J>8^b)$;X$Dr zhH?){rDGT6c?e?I@k36QXdNLb;N#Ygc~F~=R7|Dl1n_}G9sF`sC~VKg?r2OG7m*yO zqj?g+wY|xZuWTiE<25?xOIWM;a+dYFveB$8EJV3#thca2veHM6HL))Fbo3$(60EI{ z9;JXSA>{R=mADJHzCxwhvwML_Qisi`h6(a7`l;xvq?es^wNd(>AyR=)C!O(L|FI@i z?gwxdB$HK%j_l2<#YGHl-w$Qy$a>$n1Ah^{H@X?1V zd{Qxx$CkD7p$1Y*JG3PP*0pWG|AJ52MD^1X8xRk;UVo~E(r9$uKY++O8*M?5FEX`x zV(n^c7`ElslWDdF>Tk(u_*c9M_pwirpLhP(mM8M~%QwfFxG?_8zdwL~ckiVGi`=rW zcnE#wdp*8j>}@J|6*ccIHiDPlxa)W}{Bl#iEG{hDHpMHp?juLG&aum11p9ZTymB&l zy|MDHP;Y!8e|c_5{^;42c;$iK%fhut6FTz9W5@ZcuE$OsyzYCnpoI=DA0N5vk@QOo zKl(9B|LB#0*N=|3+)Mwyd)@^o246wVQggX$Q3+c*_DY1zUms_b{=ZM zuD_xC=qqG-!N?a60H)&j_n&W3x-b7BJ+V?d;>Q=rQ4;miKYkg})pYswP!{k;$R{g?5jhihAQUtRED{o4J9ioLZLd=FJNv>#Tk zZaiWiMi2Vu7Uipt7DwVsO;58+U-|O8NBK?N6^=@!{V+<~P9-m~-4`yS^wxIIQsO@f zTSD}L|H4nIi+8s7qS@N+nZTy<$1uB6={~Xjt}T_+#My5;geU1=e*XI%>wogh-&fyc zk*@;ZEuUO?${3x%v@)w#H_=Z0z#Ry-_X^nAi#*VE_r~TD5sK)aQ#*_J^R!p59v&`n zrBTw>$gb~56(3G$?s@N$TycVdz{+CRD0X|$*A#7O$K;02%QI7sd033W3ZuedouMj9 zE)b?v{4kZ)^B11(ZiI~mSD+%@RYU)hf-NWCP0vS_-oWJ~|0vpmhS3(!KarKkv5vqdrQK3h7?^=17s_WT3QV(0cw6amXdixPO=d<%mkKJvQ*+ZqB#c$KW z9r9`g0M&<*+9_G9Y`lxocld%Xg}4n3xcBeaUpC!0{`L&I=##sO@Bi5qW82^ERsXj@ z^7YBP>rXED5fF8RIsUc zoRs#lT??K+Q9+%z^UYW)(#r$t4DbA@wshLq@bG2t)I*nNpsFEQG30(IS@AGcS#p6| z-XcyVLtCj9TF&tXo!*b8l9hql$Pe**?rR01@6auDC^k|MjCsRLGx_0;{a@L(?&X!L zqo;f{HE!fLDnZ<@H;23nE9@Yy8qzaz7;R#kZNcG!wEm4@Z~bDNy|CjpX@rc7_C=&a zkp(eL<1{{W4)#6goBYAO%NgMDqOy-3Y z>67RX6*>Sy@P75lL%EOdZtbpFk<=9)7q_;@5}pJRsX3T5 zW1Tr*?a2600L=jR34!~RaesU!1|_US2b4l7{M#a0qbGUj@|Y9NW_dow@w`umhHtn# zx}KF9s1T}?OCa0Ozg_-pLM0e$g~Y@q7?tEc#fWzta=6 z*dfNS-j2O-wpG2r6H{QfWb+FcQf_!1W-Uy2RFblB2zgOXKPMk3)H)c~^D52-5^TvB{bvWFu3*PEI36mjBjK{K9|b z6+U-sJVJ$cPg`8*JNvT}8p)p=>2g;4>#ep_=Ct8$Q^WTF~)SRzEk z!g$iktz*rskJtPnn9v)EMO00d$BbrVUC023#Pt-rPe8oLFOpy}(BNRw7P8;qfNr8s zKxv?{nJ$x{5O|n^eyas0U@Adt$1}_W`jR4!E0pL+iI_E(1d*1imC~pRLG%bhrb-g8 z7NSRh(_AsDynKKpo#=G*c`!6eFircBY*<6q7!z%Z4XlEW=93F}N}e939xHr2uZ9g)dTJLtuXVoEAuX|Wh4UrzC#K~2NUVA60sH0q zfXwORZu`F9(EcWAkbk{VdtS*;9()%Mh7M@lbx+urIMi5D+tRD_UrT}WB!8NyZ{BwS z%&(ym*lb%qs=aL?f__LPDg!-9+djVY(uW_->%oBYqt8!`y}K;nYqdv~M!E;ztR5*4 zrtti+rStiE%&UJhlseK9!H?6&Mx^NdM#mu4KCw zWbqwoz}_G%MN%_Z=6@`7byrsx2X~zPW^m;Ly7isT#5)h4++8VHulEic#p zuwt>QwJ9)I!5vEQJGicQr{CSOFv;E1?0Ts3y;|CTlpVRZU*JRbQdh5J5T7z$`=I;#z_^{pOxOdE2srS*_Zf!DhmM@ODCEKdgCdjzS-i}~? zXUR3GX?&!s=KL4%`@Ho24wy9XbLrz?#lHQgYp$~+vXDAdN4K6w8xnjhQGcEvw*LhO z?rw5={sJ{$b@b!(m8i1mgtFm62(hpu{&= zsnh@c&87eMx9@NKyO?4*u~f@P#?ViMvs;C7VW;r@?alN~s*#Sh6jsSgZ;+J|NNA-q z+#y|I>*yDY;AAuG*NcOpiW6;bA^dTmsM!^wjvwWk4l7&sC`ISu@4Yiv_heR*SR?LL?1%_~W7BIrd2 zTmDp=s=Qna+hyd$JDun5ox-z#L!6~xpVa5Wp~{^hVsF?R`fY`KQPQ`cM*2rEsq#*I2vm@C2QnYo5jrL0li=f?&sB5W-=~ zrF5{O{YkVUz4OM1cLyx1x0TlO(q=oi)6RMS1aoR3B|qBY?EJ&yt>LQCA?a`us-3l- z9;K(JF?i>(u+##&AuM&zXphfmz0OZ{kQKPbekZyZ_*lO3v)}&L{kKE~D9ig}Wn({a zJ%y&r#^;9oEjX4K@sr=K8%y&`zAv-k*u2sg_zt@*^`a|`uX6%e!VwX^(EA5~b z{Qj*4jgFPLuz`J~-UZ(uvSS+@d%!1trIHo)!WTHZd2eOn#kIe@<)*Lq(GV1`RqU^))HfN+cgQxZ^s&AV+KF}0&jzquO_WDlhz5C4`OF^yC&ZYeqb(?!q6?^6 zxtL;sn*9l3y|2BWhW2P%Vna82J_%n?eN5nu?NJ>Uvp`!7=2?KGGD+aGc0S4I(ghwWo7elGQh1F7 z02w3mp2?DoJN^{JZ{~gGihd^wQNS1N#M#)?Zj)8uZbeop=>%uI8-tNhGSSXA0`cJZ z%C*XlgL$QY{<}i^a1o_noUELgJX`tEBYfw3PuNH5`*2dcQMFXp3_&f%9} z>-il_pz4kE58CE&teNhU7Y9;jkE90B3y+qfNX79%9tQZmsP@U2QbZ3&o9)9?GcMF8 zp&V3e@@Or7MFYLIncNrMO71)1kh;KHFe)c#^g(T2$%R>{=4`6+OkWAZ@B3cV7<9^? z|004uf6*A}uwSUT=6Xl}Io)Cd+KpNJe3;8lfT^?eoc+b4Rqs-X zyqbmF(i6D5A=Lt4bMjq8KjD6lvcE^Qo_B?c*ie4h2t6H6vlpSQ*TsV?wS(@J+M{f} z-Nv4Ot=uof3FvcjJ44)Ng1|a`RGVE293s z70Iw0Rc5r?O6SqHpa)r1>pRjBDx>0B?8~W^qU9XVAC|kESAb}#6@cSHQtJgB*EZeP zGaZ)FQ^E3`hPMje3m#hcOzzM(PjJ02f0`&oTOv-huX1GY+FRn4uYdKX0N4ArYv~tL zEy+prdC2$|!ChE5he3MfbR{jX^yQKEfC-E zKg04k_&!9X1%JyPd`o_~(SA8OnIE_=*~9PCRAyt^oGNZjX;Y53car<;4rvnPH(VK~ z(Qj(e@)w(`T1t|$)Q$)5l54kA$zJf^^v`CEmfwK^!JfFVV?}u9S%`?++*5c-Zvoq( z!rrB?d}0jz5LbHW?|_6pc)ITw_E^9DN;9+6$aEi0mF!tWE9}vM^Qc&J{~{y31c`KWl^hv`}X?S-(ed=7YxawSz zls!^TCkU>v@7m*y^VeFNVt7mEo7DR?e-|8={y13bkMyrR-lBsA;n@h6J(b|$XX#HB zxYC|by6$ru~9Hi8Z(wPmbqs%y00)kp{JzM!KPqhxu;x4t2{F|PNePZLgb zUF(;=L4N&}rRR42b?~>hB&Xbs?}$y_Gh-OPQBdtL?a}u((Pg9pqmx;715}H*_MbDW zt^>p-O|^y2LOgv+UaU_IJ)3$9omdQ3xE=Hy520T$Xo|tbpHD5$OwY_*m-4wus=TwG zf4`QxWN23-u;?C$g52IhMd6HzUOofsssn?|JzdP=XJNr5Jkp0=GicVPkKxp~@ovY7 zH}B~@@vDrqMt$_sC^)>OnH85G(ZAMfoTff_I^%c)Yo9)A2WegLF?YOxOYsRXr7i*^ z!u9UA+Y%c=UbUgkP9?uT)%9iywB*1rDt^;_wN`sq0(;_AN*^6#QeAj4kPJ3@yDFcI zv<}~{jZ~e>th5f^BzvXFJ3d_WT-(zW8wu8&<+pSuw{)JRLS%IvdQ1 z!Xm=!sS?1?FGA4tdA0lWdRDRRD?>gqTMjbQ%%tFXj)rKua2PPdp*rx*E0l`^B!*4l z14BZqXv^kci9D}l!y6Qsiu*%^o+yyl1IgGF&gnlZ%Up4PS(1U)hvKPrs#@VAfCOno zw*erD#G85J=d{ArK>{@=Hd*A@58kMHAxP00$1CHUvtNFq4EdX|HiRe|ym5YhZ*H9T zqDxvX(ngRiu@UyVkg`ch>g{A%1ADO@Hkzz(C>xR6A5hxMMyogCRm#fLH@7d`cJY>? zGO0I45^BkB95U3S5;ux8Gk5UKe?ryQ-|v{jzu(K;nlt5inX*&hy30I<+OrHHfLVoG zA|%2eXv&qjZ-N#tnOmqX&D>-T3`KSDn|Uz-S!Ju4A&AeV#}0ozukG*8`JxM>J`2Z~ zWYuJ2#W85FLJO+FLwRPF=Cc`s-j-q3fj;(tC9(+Ww8CUD(2GXcMc5Lmh1txvvx;Jf zDKQHxB49b#Mjx>zrJL#`tYmQwe#*o%Sz15ThZ0(pZ6rAx(cWLsu0jVNojS&foGjaF z)LsP&iNh30a5jAvL{*3cX=9>n0|k$BupE*Lr3Uy88a^dhV85@Ecm-$bMY(B4r#YBx z3ae;%9pVUTHJfE)u#&@D5JRkXk;|LzIdN~^vp*+p9e7pd+ASgs3EY|(;#SlkGdNKD zOF$id=D7J^?S@$B|05iDB~*OEb3j}cc=D~othU~Gkm32b@y2F&2qlv6EcgqD0acy< zmyF@X*h=LMd9VEbog?|L|^Df{ZIvx8Ef40|) zm*2nJ*8*fk@jpx~b+-8YpLVwRPb{LVu6N`7mA(6fmWiVac=`DMSNNdok@@nKOO?m@ zbo|pmOCtJ5^jB9iU-|m0hvh%-J@10v!f(FjYPcG2_I)FoVJqVQ`Unse?T#+^Kim83 zM@A-IT|PbXMc>spSnzMY=DT+uU3C=0lfKe2QTycU*Zn}JbM5qqqkQ4@WqX8Nj#iTL z{KVx1(DwjQ(N`Xv5SG5O&r$i1YoTV@|J|*S*f|GJDkod^&dqz%uYQU$JVpM2oy`-a z-N)f6-#R_=i1G>B@`&#ed-c_3s?z`dpW!(ZpX~-FqR-}lr6~EDLs)T)d_{SWzxKM@ zf3^I;sipGf*DLK4PY68|3-3R{rr=p=e&zL}{*lhTZ&w0Cksp#Tue+C;?>|05rI*Q( za#!+Cu1C_2^y`0R>tBEEIQ5CE z7l@!n{Ly9qk?l{AX^lus` zFJK^$JL)>l9tLiI>6K`j{SP^&L#tMFx3H6=Mh2C@#-U`H^eY+s9iMG2ZT*P@giapE z8PDV`KSMidaSH37`SbCit&rY?nBoJZzY_C6z_WEsc`VwWC}v%uy3J|a+b|6Z zx0_rYw9@49>;Tq={TgnLk-a?C;D&j3#*$TAoOeYDEor1t}sv!7(Y0D z6%w>%1wBIb1F;@9v4tIo50;@`<(L=0Ad*~yF7AS~L>4|@!A9RJF z_ZqU!8IVhP0#R`w3tx_DOPWQ|t(RKNaDXuM0}e z-H2?S%h!S>R4T(IGzB0oMD@95b)bXXfC7bem(giTp2FA35h?@ls?$H*{G;#WJ^SxB zC3WMk?f<$DccIYt|95B*=+5YC&=;BGe`^-AfW<5*p}L_~fKcwHG66XKt>f0?%!`Q| z3hd?var5lWf80=KnfLvx>u;{#D>A=r$b;uOIQ zTtpcKX#oY91Hd}5R%A^~8K%3<*pFgRA z^lnMYa<$vA*vYmqPCkihe6>n!BWnQdsiN@+TD)=9K0826J!U&pSJxBz{}4&~i{Yc9ah+`0#% z1ALLSLj{-%RGwS?lnTwji7W=5BCjT4#=yXXga`m_fdEX_V*t6X%*JW8EJvlHfR3P)x~xq!9vnY!C*#iyHcxGZauD&JUOBnr5O zM1DM%l}2WN{g>2lSGMlDF*t-}+YAi_9+Fr40y7Ss1*IHp5VskTjdb8-43`GQpgh3t znyUqhNAZ|C&LFe+J1$3~u2Gy+Xj?XhxCORkakoi0B8!yit6Z+!5IUwDCfl1mS{-RN z`e{C}2^uCT4K%rSh>g&4|EdjBa&#+{jd+NA`{T*+GFzU&Eut|ztj~-JUudqLX*GoR zbttP4lw3*o4o2&Op(3Tr_H0`ptPW^S$W6*$C9vOMx-~rCm@{-icvA$(akn4=?gm3SyA4)_O;)|lRUQ&Bxwg>WWNjv2Y<&Qf)G~v; z+Q8m+yw~=C%atuDOAr3nClBUD-ncnjM4Pdh6XQmqV%Ye0O;V>-dOfWs#Y;%AWvM^V zur3_5HfD)XQ6e_2R~B~$t+RSkVkQCK!#h}l)mWcdEnDBf8oQ=7bwNvPXLW#U0T&vr z$b5~AnHsU(H5n4YW(7%LMjN`%C5+P%HmAgNhSWntToq$>AgRY0ki)>$)*ZH3yY(!i zUs~`tB0su@|l&3M@#oFSO4p+AyMR% z#+8)ecEARaz&xXHN(a)>PMb)D>SRMh&rR>3TNg@a%FZPY3rwCa~)u8xzR>^3g?hAq07f= zeEeF9x%x@x&+?vbx-}RJ0`-R4U6Vud6xSZ+DDFu;5PlqJ11d6m1qqC~w+~?Gc?FTj zoN+cQ5a`FXUk!>qwp@xIV&g?E5#jxSMPbH<6?p(dhv_v6ku#R%GUC(y5XaWKlS)kP z44PM3}sPCj%|>G0x+A* z(w1E@CJx-KK(XfIWfzXi-2WgrAlKC_bT~ySw{Hzmkir|WNutUHH37vAdJF)Altftig^+ud!zc z6yFuv0O&bxHH-oAIⅇbsLjS!Gsj2baNrflB|?j1e*fpi{%YIV^{=Pm3nR$N9X|W zi!)#rL5FN5E)NMwUd?Q3LN=Stn$%-;I?~`g2F05^^Z1747yjp;A3SsOTGx9+gFWlX zNV0vr3CJi|_FGzbnx2*T+xPPAYA1cv_phI}TjzCda{v2Bv>sXdMPH7*R%gtmn&FeQ zc|6|2OM}zgus0Ohk~VAvL+#k4Yb2)mG%RBB@QC4=3+z#rIXv zUOHHz3C)`0pzv>aPGzs$i9KWvHf@V4HkIq|IHSq;6+jWXo3DvT zIy-z?PDuboI;FL|+Re)k)yqw2+Z<)s?0Omn z*t&K!!4LsWT<1~xQ16!&OCzv@pL2wBhjiI49V#$0K_7~uA`jf7(F>tYs)jIjFkn2pC_$aj)IaTdP1RHY8LwSZvdujCT!u+|qv`@4c694i|Aw zoL1!;!-k`LgfrHXYFw?Axs&r8G((yOjG(~3WKF2hkxp4}$jt%G%TN2C<4s~KYV3C= ztbOZ%%^CUC8ga@O7@_1WHTX=#WF4E30V0uNz3gaRz#{Hth*sHCW4_mz?^xF!*o{%z znax|aDeR)YM&lHdSZ(vMV@;Ita#AnhQsf;q^lF>3T_2|6%w$+GH5i=+z@YlMwfRSf zer{K%Zhv2X@|eT3w$W*uqgNj=Z|7NTL1TTk?8(-F!9sr4=-~HH(Mgi;gH~2U0bo#3 zsv7EYb@9EXY|POnuoRi;ZB8KD+D;jqgC(Es7rJW^ZS;l+DR}a4&))x*^=kdC&207N z-{aO3MugB=Wu7$UYZrOcLBDgaF{3F0PCf(9q6FQVVqi0}g1->}z9~71PmVWw->8u7 zn1kvaEMUA=24tv77Pv4!TBcA?rPA1LSQ_cbML|v)Lx6-QR&<6igK^1cZB^8`Qf)6Y zHG4Ktf2e*#$afm+Y~z&GaIVrUc8(27cObKhutn5X+4KSaI3t-&DL|zD*3XcXwz1|bzO%= z-@ahkART*+wh@;0v0(8Nvwyw5?QeH&yE$=g)2+nZ;5uQgLY2{ILU++7?uev59a@K_ zg>ga}GCk8{%aKe?3H>3keGV`(`p_xY@{iecddLEPn)dAe#6|$pj8i38o1y(W~@ZKL0*vYQ#MMcdXMDPWv2`_)jvhG zS>1KP2v$<1Z^#uAv$R27P6l`K%=Q^{$l%7)0lAK(s>SCTl?!pR2xWR%lyj@ePF|q7 z3yoUB8W=XV*wmQO|CAx~T(yVIl~AcoX~bq*Ss@>L3A=J6WkKPVW%XA)eaxo2K&^ZN+9Rg;AkWQ)Vm0fu64r32|0`yxmfg@!;vxHRy z+?*PiLZYyW8}lIIoR5x{R+H9jCC8A-7_*lAs+OgiTYmZNtM6>PT5)Ry3E5+A|hj;r9Q4 z%Tmwoiiw`A`&h1$gI89!VYntrI0%>xmU-fT*dZeaBj0SenD^q}Ln9oH)8Z=HS1XASeh)R0M$#?t z#415oi~y_Fgf#*u#R=A(-C~cui|xr)3PDF7BL1wSsg7h z;^%4?5F{8*I&378b1y|u4+kXT@6&m#u!xA1?05O9*$qm1`o}0>)htX(j46^^?k)(G zl`NpxImj95u`;jg$P$;B)Wcak8u;&LZ0HmPggwu=!OLE)n^OAbJ$f%}+M z2LAgx$wq5y9U;VVR^8rFCKEljwfUk(5jD{EVl=@xC1Db#0LS54hCbLI$|i}NGU~~E zGer8<$fh#}udEqe!*vL>YF67ZYgZ_{ZH=2C&Q7bVp#U>Gb%Dxiu~DFcRuq*4x=Fo* zsj~=Pg&>f=nwL*QC?$uZP7mev{L`P`VXl4a%&m(j%BAZmkWj+Q<@lLZ&Jp z8X{&(I+2AK0u)A;XySR=8a=sSOv}{_5ODyV3fR)-&@Md_^dd|;s{)k;MNBibyC9mf zPKTTe0kk)wSr<8?mo$mvDsUsvK8`chAp%%BIg@JUfj`E^w2Ez3Ocl>;Ma4m}$xB zAPmkV9!Dx+E&zqX>Sn`A@(Fc}bZ*{tXUQYJQlu0BBS=TQ(iT4#ZAR7&d9A~nWNpQK-Wl*FHG0gtnb|S~f zwE>q9W#j;;_s8uJVXM*qP?sSK=}pi;GP0_%7bXg7<~T-6^Q{KCNmu=%EC6LR;XMXE zO_`U|WO<$>=$Mns;qgJ0?$di~=v64W0fJyGfsTc#T;O0bZ7|jsa5Uwi*gMpYA%ReG z@wYgI)PE|=3meS7A+1K;5G>(QjUkf2L*@uT08nW9mv8?1e=+qwa7~=q{{Il8;$M<+ z!~vsZh5;tXpM_!@z0_u&j9~~!mXx}b-EAeN8voQ@kan@#-OUUSVSori(_Y$jZG*vL z*R~h6_p~|n|yI4WOu*57ZPAX%)%@Fk4 zs1kIYYy_x@eu$-$YypDh0BHnlM7tq^C9Y59jQv^1VH=Z5PIy-m^jVa{S^&ccp1jH- zEhR}?dTA4a?@hs8AmL+uSow~z}N4dtf>5p9ejK%5C9$hZhBq3UZe?Tsm_wpK6_#R5Qh z#4#~hwz8DRLJ({-&xlmi##6AWqHKgs<)~&B!5b{|aI)-8G7@Ug5{Z%=P8GEV6(^^G zHvnbESZY5)euYp*>8p5-2&EF+@nX(aeE`z9nfgkSaOsg^%BEp+I-6(**byye(LFHD zFO-QC)=U^w&z6N8EqD%ub!vox%!(i>cp; zy&!^2^0EQ}Frp;yVj6LZC%vh8to2nl%YGdixe_R!{LJls6-J7*XAs}0+*KP?}3{d`&%N#)# zIaw8SwkB~jePO0s7q)0E$JfmzlR-v&iq-Y_VFZvg)!q^*Tg(np#wNB^(()#_IJ8hR zs3h6S%i86l+cJlNfxpI5PqH62W%XJJLLd-=kwYtSt)i@91kP5^7a1cwh0(>r z(&PkeYzYK0$XWo32OC8U49Z%l)1g(p%wViRx7=hYHz=VXJ8^uhR~x{hdq?FU#*qB1hG|D zBODmsGu`;#pI*3UVfY8Z`rhlZ;3#atEg`)NRS_uiP*emsswkO*DP7s(=TyjcNH!*$ z^JUK1-X|(X2*-g#;XD94Ik4lx7F+x-Meyonk)^df+!>+s!(R}@5T#`#ngRwKY@k(R zQJ3UB%^3wZoGA?3g1w0tACcq-+^EJvz@!d+iO?v5uE#6P55C29F@}CsV_^@=dSqc# zcP8jWAfKgPF%ok)iD#e@Yh^W^g!=`;Q6r(1yLT7e3hIWBz)wpY(@t;mt4uS`4l9## zGeeyuLq+`dF_ceV9OUZRGOIV%_iN!%z7ws$mLr`AmqpUW31;E36p2>;={pS~+qAtl zRsZg@eEmjqN+({lEWg@Hj#Q=dZ7jbE{{T<2v7*}}(u&vkRn*0L4o&nfzE{cbj)zsz6 z;=ed}+pyTkWForh7h6~4c3%y}MH_RX+~aLBG&OT65x$Wd-_i71D92!LKf+~fTm5!w zdMJ-=3dMiO=L@S>EIS!!fmxX@6~&U~hwzl}9GjlP9z;u)S7ja&irUxjHE1RZ@$-K# z{qIkHe%~sD{!aG(YX*;=De5>As#Is!cl*Ra1N#BlD84AfxEuM@l~$4S_=J8ZzZ(ife>8aCU_x=Q`i7a;T<(KJK{(@{rV{%aMNIwah?2f%c zzmTfzY?tE0_K_{ZgXqT;(;PdnY0@_2tywDJ(Xh)rBmWxTauSr$Ls6nAo4db`tJI{%~cLz#6{O_lsCS0?$t ze_8n?@|EZpp7l1muAF=}o9uZb1QUYz&@Xx%EHztScA>MT&EH|`+4IY;^uk}!^`~$X z^YR6ASJ#F|_vhNOqE%ew@>`}$Z*A%%yY=i?&7OaA#wowjc=>vD^bniPMBn2&n&_!U zyWTXRG-U(urF@hRRZdjD_3{Pg75aAg!l9L|UBjI$^|KZJXmO@tl;Nk)#VdT=QN^b5 zmuegRF?u?ZCcb#PSS@)3JwjJ}E`mVfR*@r>jBcvT?QdWrPc!W?ve~t{jjB-BwD_2b zwm<*V=(9B~X%Lqxe(t5$@6cOjvmVTADe1VmZmf1&+n|GYep2gdJC?YoT`iHRNIvB= z&Ez|dp;5{8hO6dsI4=22Uu8Xu3#q}KE1xMtiTgKLv5AEjj!u*`u}$jESGhQPj8b>L zEX7d)2o+v$9Yt56f|?EPC*j_aUpp>^y6ve`ulS@;TktuP)Pzkhl6Nk3i5+QxRJt@{ zuSi=lls9lIaozV?fk;C=(u_Rc0|pn6=I8UVHa6RW8e!RO$fzIWGT3a$ulr`DiDn@zJl?=8>$ZI(7tYN`FMk$C z8RYWGqnBTgJ6AAJ!LL2{d8SeS)j+;{goOK|LOHh>l0C=R%XM(S9PZIj-V6g|rQ6W# zc{5p#HMO(Q&|IT9@yc*!+-2jYUMZB<+=2%`shh2XvvB+B?k3L0{lxyfX*A1KcIiFQ z6z4aW++J}pwJwEz2eY!BlBTO@hL*pwNKKkQJEqi_~0^$CnXNyE_=LxO8zI6b77QE0m4(X)g z1hx*d^BIN**dophE<^LkxxG_*?>wf2OJ#^+(htjt`4BYwFv;jjQ|Ai&^OAeZTm|a8 zaac^FW*A<5h?z?_WiDXJ1Yb!DxClX?)SE|#(@1)TNyYlWa?A_|U-=N;#duEF^4c71 zjJUl`Sx^W;Xcs(=bBF(LddvH%51MUK9t0^VA6_nL{HE$-2(r~=mQz*Y+$zwJE@do} zQ0Iu}Jx_r*CoK*xmV~e_l0!i*P}WiQ&B4`jHi{fog~h1E>+*7HP~}x6JE&@bT)UJ! zP+}aCCn!Siu>kv#PBjTVfC5oimeZ1{SY0SA9tf(sdW1VUR`R14or6YamZ6Tc@Tx2?4}1y97Vxx*BZ9sxH*0idV7`f;WFVdyIv~G-ylfMv0iBJTPiSIz8x@2C z#y0!RHa@r^y1r#PKqr~>d&7&Bn`o#C+a5m8WJOTS!Ga4Cm}hOm1S-=);T+#UC}*#PhvFE&aRb4a~+7 zu8$OBAF2MWt9r`TwGR*BD|pB^jawMcHXpac5ZTAvWP~p&mmW!{r>Ra*Pe@ItK#t%k z+g^YQmAc6Z^py)2Zs!j}l2vcpg*>|dUAnBSpeD27>Vzw!wG_?Nx0y$}S(R?Sakw-6 z7+uWwY&hr><_R_3C4I{DK0j0X8rKjNxL~oJnsk9Uq5!Y3R|cOydF~;Q5H{d2tQeFL zpKpBoU_t60oXJmC7k8b}ck3aizOk<~m(B~eWpBU*^k!(CS!)~W26_bDpC(;14V1)L#$)|p1XaLF71G0l_V!}}5ZAbO&yznDCNW?dnNV*bhdH~x}x#P`5j zH%DUD$-^=0-CDovM}1$=4IQ7Va4Ts>&7#N3#cH%@e*Vv4%@+ z{*o&7a(BmQOt~yw_;+Sitd;(h7d|bybr2-@;hu_&4FUG^zQNU}*2o!AzRzz}BP%|L zW#<9JKph(Cm_->ro{hHh&Mqqj1M|p=N8iy`7=Bjb9#XD2o0;s(!qUz>WjB9zU4F33 zx=R01>U7{Y^mDK`VMc>CF9)*v8Uw&k`=PQ-+!W6?*xfBuvizeR5^!i+ZW%u8W*H` z+A`k&*t_Yb05+oy=5u+$PKsZhx*;KHap!D(!)I8m@Qc!u0V$F~DFTq@S$L~*{{Bz1*_Wl3+7s#Sc_nj#`J^U*4 zFHal&o<{`JCU9+m-AQK<<6EoZ2 zjc8}Ljdr#@YN8r1kF2Ek6s@5PigFaPLP)nMVec_CR;Y$Aq z7&8AzPx`Ww_4-7Q+mkI!+0V-j2cwzlC|&Pg139%OGJAZhI=(GhR+KSLI}eSg<$aP@ z-PwGuR6ZQdNSy}N-~NQ*+RQJQW~^!zlO|1=uKIf%wP)m}sbKcS5is2{cfrO-g;ua0 zSnf>Ak#=Cu?V*_Olg3zmio(vm`n24Z^1(w7Y!|gm4h&|r@{!z4y{+j1)SV}hOL@y> zVWC^?>jQw$9fS>N5vwRZ47vAI+W`LyY_Kywm-nMenSLKrF_5(cHFdA+BOUUrg!9oR z887FQ9hPFshkp;b9Nyu)Ne0oh>p;mnWTMnIIqm`>rZF| zuu|Pv4QQfsPtX)q-9gJ7cMHzSaTVwgvW!V72*PJQR&gRA7yp27cD5J8mk}WenXYa# zNtyOw=SqXgW2cL$lOX0Zj!BT;!W@@+D(ENrN^(hkkq@zv{tVzOO)jsuzJ6<~VaW>EmPz=Yp&9t6C;7oC^Irr?uGMu;C~?A3a2z!s39tvw*3OkYkffvF<0U)Doo z!}FtDFXXGklC3WV^LjfC;*gS~lq;YwfGzDQstckyN)_e`>@-fRnKXSyCua10uu5LW z&%;mXP!teZjZhU>mX|k3w;>qJ%`rueaBf)fWbr-1MtyH5`Gg9zsb!37g7{N;Gjw~-^xuW%c*clVQ#tIV!10)=%`x1qMm^q;#j zdhUI0R@$@fIe%zk^PRCS$#I6B4Hb4Z#(77@XP=bL6cye|Ag6w@`?h^|THID;a;!B? z_D?lsC}_6vy#W64=CPX5(!0)!WZ<^5Do~(z9GnQh(YkAL;Lg9jh8pW{-6(6#dnEWq zCO1UgL0`TSs;wxld1Kw*t};`-hXO0h3ST|hjQ;+3MVjL@^>X(>R{X^ayu)yPRE>8X zs(Zs!ep8yv{(WfXnLO&&4(Z*sZO)8`hnrFSsq^}w)A1q)QywWqj|U%_$PYL+hi*JR zUDw^hOzpbZj;8AFu4(R==!sMB*_&U8B%x>^e&*un0f9o1J^V) z-P=vQ|JUh>$M3$p2K~$0?j819OqT~l{WGsU2jHp0tIe6d_}DMgtA1I?@UvG6w{M>Y z70IptxqqE3MH}$yGpXoD!(Y&`CokmC@9c$D;d-egH?ZYf!qvDK8o|znr zuB+_ZkGfZ$?{TD8z3iYzCX{A$BfQvOli}wl_2>ndxANc7JIL2ZDf6C3GtW*#G{IBl z&$fB;!Z#<>N&O$Fy}h4ML%#9EGBHq*O75Z9XY~G`@wUfLH|?drs0r=|Lzf^er+REE>8nN^#>dly#fD5)-!NDpNl`-}1~cxuI)paEcxX zj4I7;*;AO#3>K0_F;snMa@o3XivSrxpEmrCKY5wN>ipLr9eSGn&qI=1i33i`c&5*s?c4wS)?bSsMEDy2@j*_N>0!Qd>~e-*FZ zTgiM)p>r@9uA!>BKKIZ5tw7Cfx$t#ndkhwdORSD3!#!n`i5l;|9&VlnIA@u0M)Av+ z@-CY%<=y%qx*{~Vfxd98kVX?qjX_=9v5hKdfra8{BN^n_E7rCvo6Q@FVEG>nZ$kdC z{d~?k|B1ci9}GjjE50E-9q35qipi|eNPZ`_6-_m?74831LKiIs+s*L{**IQR?C7vh z_BXmBZ!z6Dd?P(pDJxg#-u)olF8h2p-MsbssYeP9Q3Y+O%xpus84M?M(}N>zU0rl* zODtMk@On10*;h|br{Ro;`-C|CE-YVvQG_b#lH6sWT3>B@*!q0vT+Xh_;1qo`*F3qJ zw)sZ7=t8nShT@0Qm13}*>Dz|=%*DNZWAvz*<4=V7@ppC?lH;*(lX=&}*4U{)*Vfmh z3FekRmwrFYH~k5~wVoVn1{m+LkIX)xb_2Pu-hTpreQ+nALiexVWALHb!~KO_{Ou1u zuGUTMebD)meQ1)ID`^fg)yJGbMeJEa!kj310o*VP*|O(qRyi#b)B?zck4e(bA3#o> zA((6llimbQGURJL%I4XbXSl>-Lsq;cYC0YE;TYth0jEC?FC_zMxvyBkk1gSKkZJ~1 zn=tbkEsS#JO)%fXngZxfmM3sF^I2_mr(mfEdK3U2gQ2z%%lqxF)g05xE#QM*K?V&} zL4eJcVaCImR4-mldYdIVS0b+?E#4>ryE03)rzH)-7a%3wJS4ivR3{Ww4pu`(4>+`v zG{gYi7#F_|o^!R&r1X0E5{PK{U}$k?2Z81&O`4K(Yx#a@gjRogxPBg$C3z z&bS!4450yUasky}M)9dAWOZuS2q={VQe`<$udn2L-v$LMG;qI8Y~t5h#%eXVjp~#H zl9VXkheSBZ^ZXnPQn+?XtHM??l$K>!>atYao5mfNXj2Jm2T@w1)6Ir_3}__LtH3V} zv;>k@)><(W%&~uWf!f3X^o9o5N`rkVQZrEu4Gk#JlwRiV&ljfNUDWU(BDu7(t|gW^ z_*hoo=bqW0omZ;g3bh%0+ys`!v3q+C-;q6-v$cDYzk`lVgfm=Hb%E=ef%k+v{MW;? zK%i-~65Wi?YICOXXOw2m$De6{YpEc9|Ms=#Rz3J*r zWypP7bQmUYh=YYb+HE?&*X(R-Xyx}_80F%IkEoufN_VCIuz1tK)H9a_*T&%a{0{YO zk>epMzV454JADPOn3hrh9?JDX(fL#A`8?~FP?qyOYM`U!1Rf1Eo#Fo91UI`a*LIiN zEjH5ET#eK<>Q8)_uH)ig@Q*EX$xY7UoScHHRHlJ*Wo%EqweBPSQ+{l9^IxyfCw?7l zab2%`q(DA1BD$#z?qJ8%@1vQ~DYfljcMzAXrZa_K)lOcEp>271s_y*2kM5q!Xa1(I zY&ic@v1Jr+6fcSK?ci)~fbpgHOWAz9aJIfZFHW@=e4XERFf$VT&FZrEHXJNm72lm> zeIvB)d2@X0egSYIo&3}L&ILPvP(gq1;(EGi7%o&M03il(aIE&Ep?5#J_~bd?Oe`^P zJ%_oM2Ku=&E3O#1c>CXGkNxxSzrEixi0B2p;atKi9sHdCQQ!F-x|2IgA9H!ob|9?T zJ-%lK3nS)3zOCoQRW6+Fp7yhCezyDd@Ip0)E=%9C%7#hcEaX-D(bosdsp*3|sG+aM zZIA5?3_gZEgBgD5GHs0(b*EoY;-#jNc-PLr(6zU1=mj38t2QR#f6?)^*Gu+(K1vO3 zoVHcaRVB}=)N>)O+@UM^A3TMw02{y`kV zCn|#-CBtEO^c$@&!~=F}^L1yx|g zozb_xe_l5KiE}XiI0t2Y$8ocGFPN};H*UzfQ{R&R0bPBuQ5rLphEGL?!a#xCwc1~3 znA)A=dgCo~)4C}LCp;dl;31aOO^17I74l1`WcONg6Ej1$(lh#xUHh#U%g^;XAnSJn zD3|Hhe&eiwt^C)YW(<_1^sIVdyz}SeSbxkq^JIJU?)UxShK{d7XX$R2*o-{eai;rb zC|YH=E0fkQ_`6&E*Mvl%hnw*8&0%v@Z?wBBEV>^%&&Swzv*^HS`crNiEckU_ITi1& z3hc_*W7sLr9szIl+Wr>5^?XUj%F34==}=q5R~2y3*Zypap`Y^ZHA=hW+%L*)k6x!5 zzvwBaPW^_iDtcB;wOtn6dFRgOpYw+=mAv7wc72(bSelW&_iTu&+Des1DaKqX`SK+I zX4J^Q6RjxmNuG*B*|@PW;!qkVQBk|cmEq$59aon(fuXLA0N-+?tt~I?DLAu@nOO!3 zg{_Xq{k`qYiB}JI(*+Z%rz#NX@?8*VzvwDVJs8ed;a5(aAMZQii>p>4m}vzhjJT&X z(HDb1Dm_=obPb6O>RwT%WKUIUDIUKTwLbc-z9s+LYM`xfALV^*xImv0gTJ91tEXe( z_{G%l3#L1dx4GWn%z;)q(BdD0uvuj}_0lK7>~guOXhsjQJnR1YPT^zge(TwTgN60} zUI%?9jK}Zg)ie{zeR43X?<5to_d`%&nA-<5 zs$r}^@SzJAN>FS<-LVM)76}Su%t~eWT?B4I7zk794K#xb$v_M>+b?m?WCJ?afw>7_ zNezYi6PkWh$uy&11zvB1l(i~3Cjjrgf%c(sW;n#n4CDo-fSv)YD-v*9<9yA(;I~X5 zqsPD0Zwg2S$PgIo3pp^JAZJ3L^6s{y8Qu}%_n}wdBvTl;VIKh!3~iz+nMU$gcuZ#~e=qRk{kpygdO^G8B3URGFlewL)2I0R%a0Ko@0q zR>}eb^tK%5%K=(Gj?7iOScO@xRaz(@2y!$A!aC6m*A2@-h$9b82Gwd)&5WshuqT&< zwO$ux)NGVs8G`9iZid+uQgzgE0D%$QFb}jX26EC?I6G^FN@k26;!;dVW+#Kqu%~g7 zVnEXF#J~gUOs@oM1-(0Mc+iiu5(GX3aS<&-HomNb2nU=Kbjyu_a}ZRT16vzo>GLqK zw2Q@KxR_)%4YZM~Q5rV|EbUt+36?8nThLud1tr&NCey?$VdOSG5$I^a&CXyB(!qJ# zP%3;M8p9Xny(cjx9nIv(IXv4)&bI$7;z~LA`GdBOx!i^`gBfRs{WpJn5JIjU zJ<@Hy2HO)iw>CH4%uG33%G}j|)I4UmW&W7yrom^9cU_>tA;|Mo^`3{AXuTS57Bf-< zi%Or<;}el!i!}%_^lb2F!KX!2FP>+?sb!|9C2(ZwBpYNqea+V2-<_Qw&Muk`0w-#zu~_&?v_jrR?^ zG_nC3eD24}5{CVpZ|J}c9Wz|Qt{$s<=TC9Lxu4>kt^V*`>;5BnrCZEkE1Q)P2OTbX zO8M4b=%4Zw_|{L%3i~Glw781Bxb94kV_&4l0Tva(v<6qf1++oh-QxUM_9v`ba?i5< zJ%ii*dp_8PqGwQSVn*+MfkuyhM8&!5l)38Bt*56E#3Xz%#l5GpG<+9eQ9|k;^7bD3 z5?tIN$^2YVUeL9N%Em?h@a$gvEc*E2i&pyebMzJPA3iZ$w{f#o*?4w)SJfKjm{iow zaIRI`qd#FTHsI=uJQ&n8kud0CFermAbIjd`E>8Q$=mA(UcAewn9bix2^<%TRpd;cg zur2p|RwKlBSWbjb;1d^uZFmEnX$@|u+JM2RRxqXlMg@cZDb&sQ_lj|7x_cI7)0Gz? zNYRk6DEahT^cXc$dfM=HUZ)w>qhQs)-nVE|(L{CQx*L!@M3+lb>n_&9xnz>ZH0Km6j#YiL7WtV6~@`WaSl8c3&-j& zY_H!xfeoxce|?Z~n82p=3=}Wv-g6LTT&QO!CiTUz;B$D%o*qa|^*Dg>Pr%&D@` zvId+}gx46(>+f0f>9w5sskH@uc8@>%%*=#8JlPNNijSw;ik>}gg$UDc=so7#)NIzX zUGSsXBEM~tnW#^IzkWk+f&g>N?JCw00M8=pn0^rAWSCBbB06rb#Lrv@d^U*)LQ_e#6=e=VP604a8&%*M))9H~dB`Q>H zNbb*Wjh3N7(R563@oPB6>p@kmZ#Fx{8g(=C`iI&yeozLAkUVtEV`~k9{}Cp+EUr)X zd6W|G5DwY!b;MH1J_bGE9SSYtj#B0yuw0Lr2x^F@`4&FVvb+O!Caid3Ibbgn0gU`5 zt@}|IGUQdaB#P7bRETU<;LXO(3)D-45W%oOk=Ft5E-4lEF!5&0h8A`&VmCmA7Q z9^S_i@CcHcMFIpTMva9?JW3qo!uyL5_%lLdOgzI9QOo^DgGPe8|0wbN{Uh-{$j!ZX z*b|=&+5ZHTE+!zuSq~4j-ozuVH^uPH|6TmwegD8{VHusH4NRX-KUZZqLS|&19kKN3 z`n(Ipl0lSCBaxsuVDUg|a>$AZHmJ9V6x8w7bICB%6Hsz@L^3g4vS3VtT_>?mf(&UM zdfFV3qd?Zi3GyeH&tpWJ$cl zK}LSEM#$)eM{KW-_{#K{i%CUHz?9aUe9A10fU5~?F z_jgy7^NoSLtEMUE#gc(f`tH;@Ow!b+?{m~ zHSeqUyG{1-tSMmmK3xYN5`PCamTvFJ7~pU3s(PpJG=J?C_3_h*_5=Vf_Gfz>ey%5O&)Dypdi)Kk7S3(2 zojUohwR@NSbRqim8@q!Xn|3-3_$QM4y?p+8{wAJ+)^_Zw1K8TGy1z3QoxOi{#=$5F zdzb(o2KM-g39w&dhwM*R)cxJ$fPcyz#er|`ZDQ2|$ZVf9Z8w;vz#fm~@0!edvF`4U zvImGUl;DTefj!2l=>&!>Yu9A(C%djPFFK*!z#Lnjb{>SEOD4>*cA!C>u7fw3D&oOH z)2>^l!Yb$Wtw1UEoxEkLIt~6J{G8?QjHOQ3{n7MJLANO@#hU-%GP=a}LEL67Y@?E$ zN-7@A#$)jYND!e$RnEsSKMbD>r(jxFy(ons#5q6+6?V7!>s8;s{@b)!~kY=ifYM{rjQQe0Ry!;47|`G%-Snx z_jjCy{bL?;e_Tnnz%U2nNn%ukF-@1G(}yhZz(^!{ z?~ijLXz}hT2DoGjo!Uv z(>~5*`dvnm@>J@__(@C;X0i%57ovTFX3Jt`gchJuRk5mbZ)W_-x@uD4mkFdKDvx(4 z4RlQK%dBFq5(}2;npkeJxyX+eBA1k^5dDmWtIc)=L6O5U^Cygp8Cq#V64%qJVi{)x zFJ`V=s#p3U)G%AI^OCe9E@!`wf-&7i^&NMo|O8EiEwO?j2xp zvoY}q=KW-^26UhaB19)6EeTVtVqihZ+|h z{MW>5@XU_49cFb71J&(+1thtWA>-r<9AIo~1IQ-E8Dsc=M$=$*;$`P_q_ne63%LB? zyu8&IEgaED3x(mZl3|C~32=vk=kU-uwQn<|aR2M9UR<6IS9(*udRd%PVscx0Ekr}m zQ2pWA@78S5G8Pc;m3TJNpK&A9X0qB&@sx(Oa={AK#LuvJWEX4O#dg4&OKrrXr5-OH zC%N8eHXAw5aki64s?R4~*-Y<#nzO2SG(2CxE!)gqb?91lGg`FTuHc1_7h9+xrWvbk z7jJC5x*bX78@cH-Z;RjiE2JUeJRu@-dDU|ndIE~`nV&+>)>nc$Fpmx)rM{fQHMB{_ z%6yIj(yphFVToC`kSSW?ZpYO4EHObi-oj0;6NXH9tL~>fuCZ*T^t$>jm{54`A){##iZDL9Nt_D;w|I|K$9KqYPDgI3J(+ki(EcG>9QrZ)Vp45jX*UW+N}6`s*? z9BeLIJeE2gA<+G|Wi^XK zcqs#pPzqDWv%wLsrkwA$nU^llV<%Z9SfG@-vgsWV_vGt&i3DZg7Coobx0K_v9YX(s zX`oQe73QPw(o2?82B=Ahjhx8!xQslSVvS=A&m?v5$MrQWLQ1Cm%|6{Xh2Hzkr1jQ# zi;UIJs**)vE-TzoW6?&gK}OjcY(VpJ7bsi;V9D_Tqc(e)R#8}Qa*?k^>*s=08mYX< zH`B#i>O&j_el97hsnk3nS*K_~%cpV+d1^CeMXHvyb7T}-hDem$Zbb`JPK%&m7)x^A zsFJHBE18vxr~_`DoBW8x9In^5)DV&HML8%x1*L!INq}{8)q#FNWFy8o^cWvG=Ypax`5lV&;ixy_V^xie)5UoTSF9)&h5==5BgsPiEK^V^n;<5kM~k2z@Q7#@ zv|Zd9E@W8@oPz)Ny|4Cpr|P!cZ;&K|UUp@`e4R-Tv&s67KIx~b$4;s-nfoy_m*+wU z)=8Z(~FfQXF6HYV9vXX%yFdCi9>Ou|t4Jbk&9 z;2MPzn>4So+KR)eRMG`@HHVf18F5pVjZ%{baXUB0Q=GCnTO4NR)Q*a?B%9OPPVH54 zHyl=NOSygOGq{^OI*gAashKgC38!$wAazPyL*bCcEN|MTcDZCR5A#dODqD*fDJ&Tg zkB=lNy3h)PcY>~OVL_fgpY@Kac*MYCVKM@5 z(I_OF+Y}zVEE-*j>Rr&P?Gd~lZ>^W00~Wl1uSK~6yIH5#>cYlMt&w1o_!aogkvvZB zRcg?8Kxj>Q!Tqk*)lj4=cq_w3N?r^mt>IfSyEbgp_FG^sW0FY&$89(^+Yr*)gY8kuE% zL)9IwXs-Sk`{r|bd1bW@iR$aD&1-2y!uTLTk4NXV&=YKA4VSBoQz5pcvf%=LDQKIr z=4EnRKb==x)7lf|%2hg={Yr{-MQG4RvdVoUd}Yo4!njA_bpA^G>d^PRwD-Zm~$M-KL z08NP@QGHbzRU3Q6-?^)8RKzQctKzsY=);;1wUFG#ZQ^$;$?JKl7*}y36i91IljUe@ zsNbF*P;^B0adlF`!^PYyVrrH!IhdjsM^;zA2~8&~qT8}6*%BVWSlCM~LT%-kCbRRN zXr-uL3*B>!@6fm)v^A0{qrv?mK32xJt<;V zEn7H&uH^!WP;K9{5K7VcU9mrl3%Pk>sYQv{m#ekBP4<&wl-)#PTNmfaqxgj+!u%yb z>P5~*-OJIAj_Spn+iq5NREhY?Jdj|T)kR8_xaz-6JwIqqsEH^8cV9?V`;!EgKMR=IjjPM&v=dRaSrW9kndUlMp^TZ;(x5rZ7U&f;@ z8re>2U6Gm`v*J_LCv+q7eu^@pae-bdw~E9Zilghj^c#FIs9G1RY3r>-&T?3#N#O2nDNTD{lyi0?b zO2|u;STt6Z5JG~eBNk_hbET!CkJF^vqBLtqfP|RR^IlD*A|x9ZEBb&pyCjt)gR-g% zz^(tomR<|twuuWOOfqi-Kd+u=j6E#iZx&)Yd6`NiGhR+H>pb2xLDJA!QZfvh9~EI2 z_J%B4+QA76DZW8{9S*_7r%J$%k|*tc5pFQ=4d-O z({p5E&LDwnbS_JYMJDDSdtH1%T@YSUX

qA0)(h7-N|yP#gG*Q{TfiY>^Apj^YW2m9 zgw@*f-fQ$IyK!*`^?Z)DWw*MGO4 zWxu19(j+vPssb}Mogx+&53`WwbNtnLEpCAEAntKv`PBOk{OF=92ky6%Mime~fBcMzkc+(;c zuAgQL87fmt@-hh_EPAy%S2^B|GhOVY*$J#PORvHn0D0X~-dN)$7IMW(B(tQ1HkUiCL_2p`$J)y*>5t)?D%N;{%q{IHHy4w=|uwmzA z+)%toWLliGqUbjgN#(rQ%fYNBvek4BY2jqErCzo{9^Mso`Dud2>ey#H)~eQB78h^V zcLa5dS=_RZTgWbOF03cq7K%PsvjvuZkVCj<3|Q%tGO}>RdlTrjkPa znsl=8r=7QU|MR!M(A{q<0-e)sJEGs0?II28aWc{>&rz0;WYsH>f!Ycye^r4jGXq)F zUAzuy3!DZC_&1cIVU=SPQGY-t4E08*_k9F)yc&Ut;1v+B1xJWcYDL5HUW-U;D_yw? zF&U?@Rk#Pz}1bMJd#R|zG zthSQYinP-k;EY-r>vgEus9#uLy`Q!ida(|~yv8E`a)KUKTOs_y!a|aNisvNDVu_fG zAgCfs3whSx%lZM^g>(@}q=O)mj@0VhUV=>u2{h;9NQGF!@W|2k@l>_t?(F|QxGGeQ zUi2c4fZ!u^Xese*GDLN>A2M?T5`pD@ojlk?DqgGPfhb;&mmpXzHey2L30N~j2!i~xhelA1+Tfd7HU*vorEURofw+4b$P|Hds8gBFHF5FH|D zJ~^Z0|0C}GI}~L|Apz+_b3_oGQI; zdy!?uzY^c?*+ov1=HAcy-#hk4J3BM%%sl5g&+~nr^PKOQdhMJ4d}-pl_=+uR>0@OI z{FBSH&e0I*s9u)@Pt!>JBO$Gs6Q*yfa6zSFm=c{eWDi+U@Y8e#j-vI7H}TY{Z*Evm=uexWYWrCsk8CRHS{vUZyzn#Nol zv_2-IRmj%eVgZ#T)KinPNoV8BYFblC=U#<|!`@oIuVzeSanY4oJfZ~bE>3ePd83efqnQ> ze|@0?#kl8rS3s~Na0;7gzz)HQH73jTHI5MI707by0hE?mGhl>@sykIx6XQ|W z-E8Zp=!9KW@5p6DC?hm*qWgtOS&UO)3F4I#!I;&cMZN$cJ0+r-V(o2BzHCI}=9n`KEy;6jj3x)p*poCt^xl!BGTKInh%DB8;v< zhdKw+v=~yB0vQ`(@$LHN@aP{5e)T~0{xSxMpX;F94Sy$xWO}>$hcjwX*_j}a!iPg z!sz%IT>>6;FTMMnTVAc+d4(n5f}U`RAVpmBI>(RJ&+t)?sICc}8VKZ&)0Y^=AC#zO zcf^I9LCuF@QCNemp5~Zfw76L=7+2r8^Be4b-TY)Pm8pCT`bMHD-*< zPG0jxPZceo33wXyQC=t*J50cishs?Yq+f9$NTe`fWq zg-?e%SUR(D_fZQ_(Nu^YwXI;bSEYmqaw9MJh{$R)Ex6X^n50GUZsiGwR@UM~K-`%z zRXLb5e6d3s+vg`em53f1a~_7l$lbAuPtg43=OapQ#fPeVgUbOKE;q&2m8abbIak(0-019|DjAN$pR{QLZ5adU)7a4f_?jv$bV7X3jK(`o%RALSm9@2HtsflR|-VXzb{bTt1 z=vLX9SJ`zc)%gF6Ktz&K9M!#Xk4dk8+%>Br+UN6&SA zqL2Jo@9M9KW?o56_BG_Uk+b<*m_BjQ93S=1?Nra|Zurc%YyIvV?ff%)JedFD`_zNm zU%C7W+unznWlM?WDcjhwaecjd!tIoHj_%pIWoW(IYwO7m*!tL+i^k-@o#q9X%6cv{ z@+wIM^Nq@18JCR@nwy?+?ThZO&5z0SqP^#SH8-R@-a19S#SLMmLm%0DOY1rL(S63n z1QKT-#` z<6qOM@Az|v)E^oL%~>`zf3qGQbf){<+%xipVD@k@+VO87TU@Mhe`FT=Z2GTi+K_)E z8{BPTYP7|$(s0KHWw-rU<4UGyrty5!CI7aXdFR+-V{6kv<#lD$tv)Be^+WB44W%{^ zhQfYw`FZPGoBbEuyOjB(osR!zgLBxKSRC$eJY9Fl+}D!QKe|^R_>tS8Zf;f@cih#m z`YA#_b=iQg-iOicyJhkzfT^qL0=Ytdd`kAhG<~F*u8E4>+l%Gt`9%Xf> z-Oia0>fXT5l)ZKKgAde8%bDfb)M4if?6C9!cjV88_sDd* zZ@G0TJSY8yac(}pmr746Um$niyPE~iyB$wBc01i8-(}>F9XS3EL$CWsW>W!<;~aeld{5(h40CqliBQ%VMkp*cKc+1 z;bW?frL~@`30G{ly|Lryoors&0FD7Ae|x)h)UJKV{s@+cf5~+I&3Uaw-_>aR`uCpL zofx0*R>x*O^s_$wTlMn55pvt175g{0ZynxdsOcIVbuaH5Ec@PS6CE(0**160;=P|) zX>_0bQuu1ej`4}!=Wmpc=Sbmq$9ux<(3%z4p|N&FA(U$`~v8 zz3L6g!!rxr1Gm5b^d0y5m*vddk5(=X0}jxgv+eq`^73EZFsYm8zuElh$1c-`##?JA zmhCfhw~e>Hbm+704Yi|VuRQbV;7+z;w|D%8F{^C*v()7eACZob#|(CRQ@E1a^S%%I zrt`kfz3Cs3+c$1&RnIHzdqWq=mj~O``!^SUL-t3{JT2${di~TVhsVOf|F`as*JqL| znZw56kMH?^G*s3Op3V(7AH4CX%N$HqZkY;hG{1T0pG`i~sGljk_4K5>?7rljH7^CP zt3Ukc9oy{mlbHj-?R(^h7cUJQZM^aLwHFqb&wg5Yy>sKW-6u{gykfugxv+Ime`RK1 z%fhaWwfAG8NPBAQrds_%^QGsH{B6JV%$bcHhutanw{C5BkEm_U9e?VFL%-b`-1Gcy zy_aqO%C5QBl9O1r=Fpv|Mx=zh(zQprrf?)RrCZ09vBBH?2bXtdw~(>^JhtL)GOLb>YTvWCx6WM!DTpZk{_}P1BE`DSiIP6<)d7`OlBzf=54+jTpTW$e+ zi(dQqZ*G&!1KTe>zWEH_IsT)EF21}?S~xKMqwLb)%D%&oY)Z=fR~GJ?zDTwCY*hD>`XIWQHLJu}%j#8|^OQY7TyG7{j+0JrNM;|Qx-r}a zvtwGqGI2&b)Hc$gAx}9l-Z-F%bH znU3!4Wlh=8nhiFyz0bUQapO%-{l%)}WCd{^wE;6!6eI@&p3uw@mg*_?X@o`=E(0w! z_5jfZCnJ8V3jGC7;95d@xaT7!O-i=Bb=wyr@M&;XaCcHswX&U&pu$7?B z7EO&8(GC**5KU>(tt5jdiSP^a>Uwe&W`##R=(S!?))z@oa%tXQXaTaUD zTy>1MLP?Shte~w$aUOp`9WTw_Zvz7rP8t7Iyx^M;f9m>%b^r3+k|3{caJ8&olg}bJ z+Q|0*h@ggwcBM_M&>Cz}kx74p?g*B*JE%8QMhkLCAi7N*Skk{j<>3iYI!oPH?Vc|#^5EDb@exPen54vVOr`# zDmtWgiELyjGbxZgL~2(j%}!I^oH3>f8v0aIL&5APl?^W|a@ISP@Gm4?@=4+)}V zAp$~J&uvD;!yj8h)WWr>lS2;|czlFh0`K2Aiyz`=V-^g=&t^7FeXRO@Z{rfaRT*>v zC8>cD1k1#6yG>(JJ1-L_rTKx4l}QBV}P zR+&9F8$YQ&m8z4FTbcUG<|3P}*oQ~IXbP12;-%-7paSw}YL4=Qz{ zri@TE;ydC(+I2UONjs%$eqPBdwJg`*9HPlC7=bc7oxlKkJC(*6OB%rpt0m5933XO7 z7N|(p+Tx^IX>(b{v~wYH7(6p{4uzbqzSOnG$!~-uEy_v{vNPJUQ5IsxrP40Tjlppi zVpazQOh*ZIK+~!Z`?fd}w3v|KnV|5g%Qg*@L(eyr;0EucEf%Zk$MzKTtJ%RG3k#TZ&FV z#nYj`Ma4>|*>O6PK`sXc-#u#XT9s}ldZ1;;WteK+NMew?6^WDyz1bXqMwK($@61sD znfRhZsW-qS2Ya-R$^pZ4kOwDBC#UKTisLbHm#Z7r9qLo_*NnH?LDq^+O1>B$HO%1h zt?zzvWA*u#Rdt&-o5`E1rBCy+_HhDz>$0&bRWli4Tx>3tq9nnVZT})FZczvfm3!W{ zjoc=Oxydfc(}!x=h?j1K;MkxO%uGPb=n6PX)ritYa#OUndkyo~ISnY`#{$i1?~K9S zr?5`$Fx$A+xmhb$TM}EIuYLS5ZB&QN_&Ql`3VfoP&^c*%oMppilD?nG%1}*Fa3MAB zc1aKX7sLqd=}`LA5xjt&P8jBh0vbX?FX`QwN~H82l#HX~xPzT_pm-Ug=IV8q9cMEB zbe_xa1j_e^rf3}$?`hTvrZ#Bam~Hs>4zrh>V(kqVO0eP5I0z5`MPj3Ln!>#45EyzW6f$PhW-dDe=B=AV8yQK; z8Kcl90aNL|Cm1(xQG3E=;%BuB?vPCHChUHCf>gMVc+8xErgX#~#4*4D19-uOyq);}YywBN-kGrtJV) zcqqso@#E%&0J-krpid2;09Ze+!SAAEie3Yhghg|ihz`pqS(vt(TTjZ3E-J4N=G*Be ziFMQl<^U{Sdgt~QWf+<#S2K2y80eU{Ownug`0OOYl6sX3QgXTS;iU(Vt>y>`Qc)*$ z0~pYV{ILRAHU$xT(hiHVJp1ZTO^TdATs@4V}+814$51} zJ^IUPr@^ju!1e4^k!&rU33pNj6?1TgemQjVxa3q(iMWag5n`fB2Rsr)NThOfUtP|? z(U#3+gOMq^p18xNQg16d`k&qpQe_q5HEC$<@ek zP!6nYqd((0L&~_CuMHqQmmDzM7Tz^dTcx<84l712exea{O%o>JK3BibH@N*j0fC)sWza&AFun1W`QHtwgUSf^t(2!-KD9cEE z)e1^b#DhgE2+62ENG0>@Y2*PFQ;q{XnA>V!-Jy*2SAYNOpIx|W@Yt#@&KvDM?+!{Z zGewmI*kz1G1k#4d63oZI6mVREgwfm8K9kJt3S@UHVfkxhnQknM(xf(Eg+p>e9e}5o zn75b;0)#i}gtP`g&#oc`#4#Ox2=fc(m=ty5EpWRUup>C1WI#RClK|;a1=cXhvCDZQ zifFgcE-SX~1yz_)sl!S|o5KatqBtS^F%>c87L#$ZlL0xw^gG}zB@XmpC{>y<=yUPr zNZ1BX44jvt<|vj#0n8hlTPE`w`x;y4aGV$FmFA3BXeWAtELvF|hZYQK_ozEew_SDG z$MJwmD#uivo855RkN#j~@z~p2?fCZR}nflPSaK(00gL` zl=)bRc>}|B){@$vtdY`v_N&|s0`Q##%N7t{NoK*uKx;AWWiSGw6LxtL@GzW59G*lS zs}u6^Q+SQ19@u<-(&VZm12>+B~vfTiiafJ^OR3+r)1XmPoVnp&tbeRz}}hw##0 z3gCJhLb2Brn=#p>*DPpyZawTA%TAFdH7Dy%BeU7@AV^+2XEj%tl{QqY@P3uc?uYW# zAwUakN?0&UcyA;-2Gt6*dEW;`3m&vStdu$Ig7|vSC3k2u4P0)3dow|O;L9oR%nJuD zUG;!hl3hhDfaOMil%z-cNq~SN?W||&qK@tleB?F|u5ZQxbp4Njk%3O{F(f zoPb3GSDLher<3cM?gF6>gjAy@VP@W%5Y_^R0so~PRhpt|!}b`XG;D%*D8ju;J6nW% z^LG=kU_$BMaJy+q?SNpT*NkJ%N!I$LF)3x?i6OV5+C4k@&cdv)xe|g&FS8bE4%LNGtYmBI6|@Y}$Oi2c>Zd%f zo|l1zF(MjOcd2a#Y`UlcLgcuUWQwfIp?uK1U)g z%KKD(DuW0ydYB=HQXVe$qMp{b*3lX}-4pl&s4871T?u*+%O6+K4h5N^ghb4Mo&%$B zD0xx3w;LQo!&7;+Uak!BLI`g;_;Y6`=c*|0rA~)(#6&`b``u>O$TkAO&F!rpNwS+m~FZ7hIVv8aWd|G*u&n?Q<+5;Tm998=Z@rzOm9 zk)qrIFTm|_F}kpUFRLj1%}~MhA;qk>2iJ8%$(c;9)qI)WgRHZ)({~`Wr1Ik z<&+&)jjUH_o>*w1k%kE+G70krVManrFEpYd)08P2Ij$)XN4^%(M>9E%E*7jM9)SRt z$#hTnf_4su5PfNF5nRkD69Cgt#yi7g{1-rAD(K_3urd&LOS{QvGIDRQZoDbr0?pM2 z#}R7|Ih($kyZqz$8xNi=$+V&tI{4grIEer_?^$%;5)d?60Gr@Appa7094?O_7CGxk zC4EFR&|&=*>(td6WgXj0xdR>t7UTvo70Ns$gh3SVCVm6DnVYNk<>@}4))BqVT{|*L zg@Ud)-dZv#JMhP&q}%nez}z&UTM3l1+>k~T4>l`4j1(f)3t1q66bDVXSR00z+d5ZL z%Cdk5z>*7G$aD^3Y(StYS`M9@*Bd0vYh6+YxNBaPjx+UD0x(z^VxV--e@g~UDQhMez_FWJIMr0V?Pi0e~(sI-ISHWiAnaH)Zd5^*uK zZqi1$w}}~Uwj@kI!_=56oidauTF;rh02u8>`<}HUzV2~h`nXM&$Hq^So7sedAOY1A z$^ws3B9l(XdYDPX0x*-)-P>o1$WSUFLu3NDp;V-^bEwq6L=JOosMxtUFm_ir`6%QH z3%wqKHAkSKe9%^rbf{vSGZk}7fbI~-5SQ`{;-w6nwpo>d!E<4(yeih2R(6MzssTDoFWpUj6_{MVM0D@- zE(#Bzq~(Uc9o~IB@0j<|wC=?$qdN<-b{i;&bd4deIbrs)^9kWNT#ntQN) zkoMAzW%7MT_K&loUp`NfYga9kuN0Iji7a3&A4KhA?|Eca4mU*E?=EO-gWc;gE9F zhg`9KDa&!^SJ!1v<%@Ei`=%;E&CO+U0V%|}nMwNsMVo}rDpM*zC5BF7bxh56_8RWO zR^m;&<{ql-R$J8r2DF(AwJfYu?Lw=xpf9Svw|J69k<;-Z&|2R)JD2q!&v9zZnsp`` zKS3`c7}F2BU7+?eSxPg^?ZLI`embG2bu5VM(8Tsq@>T092H@P`BcXG(O83xfk*H^8 z(9GsTqtCu5>w75rCC?>tjGfYZfqL+cGvUTkHj(Ry`#uVJUjE6ppM0zO;m23ynVo_W z|6*T&jH1n9Wr1ynIcQZMY$kIrFc-!+mZ0e#6ABCS&J`AmL=x8z(t9XUi%?iZ<7&*8 z_d=>2xMSe<1+IxEhq7*w<-IHuNWd}~2(^>+&E+>H5L3&2!OLiIKVRn*T7zNj{vP#| zv75Z;CXi@6L=~|6!d3ZiB*7>lEg;R9paWxpuhMLNa0!D^4sd5i?S^>$S**>(o=jv} z&s>&q>?CxWHCGgt^|;5`d=pBsv>!pd2&>gQjH+o}M_Xi&Z7kFww70VvM9xJ3;D>#> zi=GD2fj&Y-YDX_=?FLekFrFE&1|*)RShWMsnq-*mjr>egkd0T`&Vyt#dgsxazQ*))3)aXqiUJP(QRuAWR-l(4x}aRT_SF#Xf^HxodC1Mx%1GNTT3lz> zF~X%gFq+IsEZiM9!5`tyucFgS#F#5c%2qN7^Kk(=$cbRtc4j~(Vy324&cVSDhW8eV zFyIBQwam8)2%{leuCzWEEo};sDXXIXLNmH~gadlivhGrC&s^;NPjXFZvnJAu$60C{ zl>w}Vj$rL<6{+1P=~b|!$HzgRz%>`rHjqw`rtJ)kU3ZWNZ$KvRNwytru$P1wJkUWP z1m#_lVGnr!^wATcybi1_LL*@OQ}`OVzLJ;Y4>m$~m(;f&-1giHSJBn%#?`88w1&vB zA$c>vU~H4us!k@4cz%w+>IRi8oDUcmq! z5CPZT9j*2fMm_@r#ZBkudt&$fuXUzK1z`T$j$Y1~+hGD`Km0eCc9%eoD zjLF9re6>KhS%89n9*SFGGI5vD$hMD@u5=q+fyv-Q43N;xW=)8!cZEUQRrqoBdHrm!y?riHwxQ$8s}6a!?;HSj`NVowU4TFnJj)H$U?tz4ajNs^xJP0 zKJ?k6)m?nhn{U}ro8b9N4A>Lbg`|eVu)ZU?0Sog&v?|*ncdK7x>3-@%up9Bq-i#ma zlz|YqU~fRH)dzUCH`HDelC?4H$pa`Z*ami5a?c`pR4)xoxi8ZC08poxKv+Tmn;Aj& zWx|2Etx)c_NQ(5k3oWLLi826~`sv`RqG)mGM~2QbNTFLFAVOW>nM{PXS1}$C*ddM2 zrsIq2S!BXZ>APLngpzC?TTy@P-OXypEW0>y=A zhTR9%&j6h#qH?nA2_<>zIVTT&zpN!$0{m8oBQ-mrr9NI}Sr}`+{d{(1U0D>{Z!9br<^r;AzNqnE>B%lUo@e z4Zz`TXu;l2TjFGZ6yNZw&fd>vZ&jjytXeP#DXl12s{Eq8jUI6tSy8ZQvscm6JP*K7 zK~YS6Vu`-(+QAGQG)w}tc2%;=Bky@Lc(3;)+fCP$fL192)2}El0th6`ls+i#lKR^E z|1ouPrO_*CE;qR?v#3&;P}Fs>CoW*r3yo})%uR88i+2IMH7Fv!+f`S;HrN%!F+Teu z79vuDx&rcf?_&)R7gUs&B=FpGb;;iLny-@Z90m|FGl!X~Y=l`rD%7oqU0{nnl5v5) zth#Ga&4O{YtHU1k91_sP((^kQKs5z=0$6a(`XHto%o||sasxUKCTA={&}%3VYtGa#ChDBJ$C@W?G#y8YlIa>Y51DpAqyjX`wX@?aql_YNh(wad(ZM5ro8t#Lb(8=wd=v?Bj%YcPbu&?B z(8b9WX+{HhugW^KaZ#8RnSn7Mh_;O*+6sk>5^Jd3#}?W~T%IPagmay5_pZcVLK+&)Ip@< z?kSF4p`Ob9P)~gZ(6z0xhyZf&3I+NJE1CyWcfbP$m5&%TLYz=hq3E9qhzN@E45K!@ zKT`QEiVDY7UBs;%Ss^5VV0w{ch0{s(*$^mCv=o}D%dcG~v97%=?2xS)pN}s8qCC6N zlaY8Y!B!|~G30U#gi1TGn(;Z<`o&a;lvbcl%7|cRj{NHb4~Er`UMWVv-BDljG|?kI zM0PS+uOdUBz=CElLkI&2Cq|%)kBrhnivpSQoS-|(5$l}G4{6w|84stp}b zyt9Up^?|G~lEg#*k_COLf6IGY8-yWf$U=|E9YN1cHXVVA66 zMQwt9|1gCC1zv@#&jwxkR7f&*q}cOWdTt^yZ7oh)Rn$rE2DcyJR;;L`+=61liw2Rx z=>x5{(+v`BMrs;}%D6<(@$>NcNV~u{@#H{JP1z$se*)UT@oG^^ArP^jBJ<*6m;+*@ zx@})^_&*1$db+2-`s=^9@;~=qI{#kR$0*YyM*`STBrO*`2=$PgnJ(&S=*CFc%@eH< z>N4>m)C*J>)S(Q$v+>F>6QG&`zm(Du2mtY5>=+5HqAlf8y<1Z5X7-qWs^s+-$QIRw zSo;|lYum_hyXwQ8b42CHoi^820&N|D0Z26k&{w3G0~K(!3`wDaSyEU;0`QjCA=(8X zx*}T1;yQRmw#r%xwAx%a&PEVa6@@zxa6ko62;r_JOm!0MNuKQuiUR-{cnjE73{e{tr;CQ5 zMiLvwD^&%63PS-!uJDHbdtPq_cy5wAn>9xMEy=X2?j%EONDlF;FaHz`hb=C4f_r zIkrQQJJ;Lc@Hqv^mqphrN%o4~R+@0$9r4&^ zCqp}SF!tDCrr!Xqtqc&)gzje6PI*H64QgeJP&F(eRd-cqL*VW(UklY&OGq{AhtPtO zU_0QmRxNCy)h1-E%SWuZ%C>NyRpl#&I*XCqqB&s1;yJAp#{qlD zs?K)pl!{85+aQ75td!(V7lk_'@_+-HiTz7PQ5>g1e}D#8Ku{$>W_0O4W`4QN2T zoBUt&76B}<>fTNJ4p;x(XIJ%B5dAoIdAVkB3k5z+5(lgh#hjSsi4EnU{4nNsdM5ua zdN>@@_-^sMXz|_R#?L##g2un_pAkfA@qaBHm7v8(eIh2(0--&rf zYHQLB;#hfF3^$C0V``=0CV^Omf|hqT`5{89N<%kf zu`i6>G-J(8Fjb#-)SHAye2vVv%r4fk8906^X+1O+e942%ya2ny34X;s!LeMZDfTNuhy zr>TToxg9ivB8edz@SJX4MQ!(<8B|cYLN-3=?)8mGh}FM5!*$)*?iqJCLsOT9`n=_e zmRUGD3lbsv_cGV!wk^WSz^;L*kU+BjEcWCFLKyR(Eyd6L9$#w2G+lW5wI> z9ki+*wcdRHr~dJ!>JK`lBf^3E-tPO3JpRVMy~;xu?>jpC(8b{BL$?;bvbAOTq2KTO zX?o}NUs;;PVkw<%_~)U=UIY2C`)1#V!?#}ko4!q3Z(V-quHnx-dDp(5-n#3Sz3j24 zW-sph&QsY#?%SMNc#I>VWs|B3#V@(s{I z@7lZcHe^N#Dv=Tz9E$@4h9lGY`tR?!MzoXWzX2V_Ea9!3TD^_-BiIY4$5J!#{(w zuRs1NCHFek8_j*~skhD?oqg)9rNjKP-)p(_(A_#NxXivX$X>tuQ%~kr?%u|7#~*s@ zWvnEIOAT^n;RHl^`QEQkb{W6LKpg!Pev4n)cg@S-YQDI16vD=0Fc8%3_3yOoy?yW% z6HLobEr5f23|IXY`4q02J-T(|w!OG=?9x+DoOxi^zDq3-c`of+ zHKvD}Z{5JI-gf24nH_ENT;ZObbc zk`9LX9&fxm`%muf?N9V|)8n&;x{tF$JKG8*|3T+*5JwY~k~J*nE~*&{M=*=Egu*>^ z58K?bC$o*8e1~po!SWS_t@aBvjb&e5`nAG2Go^nSdDaarhv_x<0)%c$y3cNN@#mM= z?OlDt7~p1a(MEF1;qEVt-ECwr3Q%~zgR{mq3Fsaq*HcZK>94cHbYr-I$8ic4J*E4O z&z@<9Jg1sk8^8qZD2JW<_m1wCz@a0yY{wK`;Hb@ub4L8`rw{;&l3rlb(%9#=5F7uqqDf>XH-DVA9+RF*=Q#Tdwut8zZD`T1 z6_3DN^20*f5=1Kkj|VE~|NidiE!DSf<7fCLD*}dY?09Tkp_On|zUm4X&A~JZ6XcaF zLxtnjrX)@ZmvRGW_VI zjV}>L2KR`4bmn(o-+6MSPs5+`t*}{F7#&x}eIYeQ6*F>bvhkx<#I&Ncal6}PbsZ*0 zG1DJ27>O`1VKjRIG>uJlhG5JGp?)2^!?477&W?AI7aKCttnvb;^9wf|md5AvW)K9{ zXauX9*!l?tV~Adbp`%D(Er{pdpxe5_h-C;b`2FF)7)qwZote?b8QMUr*a01bDc+RI zj6=^$N>#FoH7d*x7V8=w)7;PKCWau!2M;ucIe8BmHT@h2p=1-8monVYL%2r6o$nvk_{DrUqnrv=L~;4q&s(<-5SiJzbmYe)m{4yLi8s17n` zaHUZi=hunWEGDIe8ZLuAMl2c#NU@v9PGTsJ**_m^V^|2 zm}6+w(uUjd^)OcM_`_d&^y(kpR5~d38fhzy!G>1#eVPE8sO!#4=L_@@xHK%e%NcV| z&qRlhZUv3QqBlQaMdV)jX(k0d*)U$P0QBPz^|4DEH2=rO$bNrt%1?wB&364yn!VU> zJ1;8>!RFvTXJJl?o7tluv9sPM5>AhJGHSH1cUpAwvfMsl$2*2M72GwhxPGXBGM61g zNlm?Lb~po8v0zjUZ+v2ALMGXVjVI?uCI`)r_c32oEIU`@h;6C7cJuj)l${BN!Og^iBWMpqzzZ1cVeqwk#)xXuU^RY>J*rTU5siQ_$JL&0lKhf-y zk-m&p#+oc z8HJ#qmHW{|8@-ifbR*w2-1>-BtMQzTp^k+$nL$~|R z2W(GRc13TUZ6x~N4cW?&HvV=1m4Y_6IHx*YIuj6 zZzpDt3W`D@n#Tx?v#X1;J>8qb9qv#)eWkuqiL!XK&At&tJQ~5syJVRaPL;8CaIxve zs%urDFXleXx-9cz;PyJ@qrw_mmX8E1xcmLi)&R?}MB?b>*9klR+=v8^1OmuoW@wXa zbm~1yMDwef7s!|0-WFp9YYk}N#!;wId#)i!+F<4poU6B=bjoR)&0Hb6D>a@LgSbs2 zthTu`=yQB^#Xm-ab6AnKS_%4vLr!XQU;NPDmb+2y&LF$qrfs5iU?!?<*Gc@fFKqhq ze^lRf^QttEG;?74Y4>!4?i9R;?>b(EDhBAzMjGTM@F*;b%G`_0(AL?Qa$3$R`}9gW ziq{dqM{>#vzyc2s7QH9;924eM*VTBRPa%wOh&PAS@l@V4LCI5oScnB0Z7Q@hQ&C&v zjA%kMZ85O!RL<+SY1E0v2d#h#eoQ|mUguNcv|3?|=|;~*Gw}L^oV5mz6&EV$RlzYJ z_@GM*bUW(!nqH>+QQZ=2Ju9yf;qKX|dz+R@b{bq_QILTuK$u`%U@;fj(lNRv-> zl5FXi?^DX%^Lol?sR@ot;b20!OTM7gL{zh1`6t;?GPSWId8+F7si`S4HvQtbf-I=2 z!=|M%z2J_nX^HJuyYdMigkz~G`%!m?cjp*u)0MJwbgn#2#xp-+Fqs@#EgPNsNY+=da$ZEh!82cG)$Rv?8(Qo9hVM)bQxrFMao& zzdN=nw@9-tn9K#?&RWHTi-+%_b}bpwP?SfERm0 z@)Sjc=EdR-1HIev!^eWF?6@ARF@l)2&qX1wg?mFE#a4I@(_A)CT&sKBHKF<@L2NOQ z+`#LI!>z0%npFM6Q*W=_^hSpz6t|d{j6cz=Ui$d1&`bKS%4zF3IG%)GSJo5Zgj%H| z-BI4}o_iGZN*apst5ko62A&z4biteI#FHm`72z~%1M9O!#lEfs$b`;oiksYTFcZKc zQ8#ZzbgECvmG%5gwK_L}OQhpTL(Mxx5Lm+93ewWw%og_HhTf2V|`+Q7FAf6_=(Js58a zw-{A+6u=t!aWm3WD9`v&Z;x6AkyX|Ck~pd81K#J{OUM6x;Jbf$e@Pth(qUx6j4Dc8 zWEmOLcPMJswh||vtI_Avge<0iwTgy45g`2CbCxD5UC}LvT6CMnpYJ~+&^qsVGM~| zOOV?Hu)8%HU6zeM*M>C!kg9|r*ySMJ_R1aF?}RB$81SvihAh;@u~Z?diJmYWj|SRL zH~oSA-NUQzmM9Nnbq4si;?6q7Vgfrew1%a}hHce8SeFiS5F&L1~}v#zxINGw4_zY|P=q-w&e{$*&dFm0H~=75|i{t~1&C(JJ!n z;hFwqK<_Y*qL!uy4z$omCe?$sK19HB`NPb;E^ojBoU7~k|Weeq@@2=SpvECo(+H&XR zH*b3T^gSgpm4{KSVA&@eUl1+y>Nuz{MTMRWm_$?5#oX$YW=1*o6Sad51TCO|TUggsvtsJ~rIzih6N#9J5n)AotnMLoklA1!{EG38| zM%M$)gUK)Ic+vO)&@^cCaS&adnraNL6U6U!IQQ$Rc&yJ^=YTp&FkohcQ)DetkvfDdf_blXuQh)UnV7L688hbHCWG>IC<-n*7Bx4zzMsZ)e0@ggZOJ zd+d{!TCBCwJulVW8AiPw);U%w$IS1pbr$!UZk3)pNu(*$1fhlAsJKhb^n!^=Vsnlp z>zHgiZ8y38=T4j+yXvp@7sUdh$x6x-&SUsPT0&s#LRjy)22tXC~7O|;LI`1e?qV*U;6C_MiSMZJ13O3!Tmy* z><#-6!7PM*nIX$De13+AD)EAO3U$Xf}9MF;(bRQh=h>sDw26SZC-k36pC#2wHkCh?TuIvw^3s#vSjPyE_>2bpheNbXLqQ-0%u>zyYh}!6b z8m%y6#?hg|c?>OXzpb3ds5G$M)rwBcd6=pbH`?+QZcm-(Jhx^wY| z@t~H0d$#gdDQ^6=u<_@|U-R}UU5;Pi$MKzS#fQT$h!(!Qx5d2T@9}>KKe#e_SIUN!QEU%adOgC~CB zEWWJX;umgv<(gu1;0C2@e%{ts&i{%}G~%x~`pbtd|G|IU-dX*#$A0{&(9ST6++h|K67LR(Id~-PN{#?+TtA z`BfW#%qx#9h?aouzuq?dRR{T{*xvrkyQh-Xzw>bE8{YNgmAzl}S-O>Iz1K`o5$~68 z_+OqKzx0dO;DLVSMud0VZ#?n$)$hKzdKx;xMN(`Gusr|#kNywh`1u8;yFvzVg~b*L`*7znm&Q&@Uf_6~e-$rK6&C3avRJUTw( z)t@|c>8beTt^d4wHW1cO(V|g3E?TxAT2YVZDhEAWORW-jkRjT-#j}={VH@0I>Z0iZ zKqj~bcnC;p^4zseDf~qSPn*b$DuPM-{DW)u|sTL)0BI{{h zwa8G5l8jeU(Ch?CSuns1PtT$n!H@K)XEE+DJ!z*csmp0Y1Y2RIjF9LV+NrT&P<>7R zZ}#3lzK!DC8(qtaqnHp&n~k$^jJ>lSIcrC;u`#KjDcv2d<+b8SSQu(@D2WsiOv;Zs zgf>u0rS;g^7{w;8pt*hXmdGgJ@}_W{=Je3_a@$ylAgD_kH*j)#dD~-01jFHNPaG#0 zNICbB6KLse&pChG|L)Gm-XF8GyED%-&okfWnP;@JbtPfjP(gl5m=mth%Xqz@7Zy75 z%rN}wO3ER0ETxKSDWyY(N*z@+BSpo|RtQ|Zg5q+5`9)k9ma4-O8tr-q>O-A^r5}yd z@&!)5gQKuq0;1Ry^(wlQGJ7HLWGH4QP4N1X7dk&K`}&88%l+(1b!loe5#G(EzN(fU z*@3vdzGjGEJDKFdt5P2No)dmGMcnB-f*#VP;oV&Lc5?IZ5r=DUn-k(@Rw_#gOx#S+ zP^mGz9M#gy8l&2nDea*X5}6yWRd$lQHi$iH$fta$!ZHqz+AVeZkuRyHSJPGW>GICi zVqBai;gyC{KRf~fyy;u@&~j2m{y~CoM8c5|O{*h*#|C2+JBZ-(5Ci)EhM(fvNrJnj z=~`tv{Lh4stcRuPsY58^RY#A!K=!KT>d-D<1^pq{SY10b=z~eKLUB9os4aUuI#x;0 z4rlmnWpA4UqL3bKUZ#wy;@Mh1`>3+i2*LtGhzK%ZLZgPyiK%Miq;mcG6>7~qaT1>= z4)xGyH}Yv9&c-9C6PmHt>x8Mq)e3tnH`NXSTSpvCPSo{PNxejy-p^<=MC%PPwY;}F zG4wgo)BtHVBK3tY{`)5f&W#}dFC_^1m$3n&#`&VsdOMHm#F{FeuL>;f0^JE%ckhvm0WmCL6f z&O#oi{f*t#RhFxfMSyJ;{6Mz_J}0sEQ^jUB=&vx%J_l7zrC=%rQz@8A!Bh&SPvK8~6Vn7YP4K_;W|>IA zL<%NSFp+|Z6ilRGB85NqIGXcApZ7PKNWnx3CQ>kwf{7GNq+lWi6Dj=dnMo5Vm`K4y z3MNu8k%Ea7Or&5U1rsSSkj$uHGota&b-`y5>87L6bTpc4AO388hZ(0}#wnO_3g&{S z&n@~gm4c}hOr>Bd1yd>f#e!DTd@#)i(|j<^htI8YH&p4OPP*F)6r-;8cj!|>1Z?^jpi=^&f^3VDg4#np)`?#i4;tv zU?K$*DVRvXL<%NS_}jnbY9a*_DVRvXL<%NSFp+|Z6ilRGB88)$Er0rdADF>QW}Jc< zr(nh@m~jeboWeX-Fqcl7OQ+4H)8@xu%&)9{&VgVm1yd=QO2JeLrcyA!_Gx~;)chXn z-}Wk+NWnx3CQ>kwf{7GNq+lWi6DgQT0p=gW(eTmmQ5Qrcm`cG^3Z_ypm4c}hAWZX8 zq$W(c!MEpee!E$;$d^u;zl>ot;}py|1v5^;j8ib<6wEk1Z?^ji#f~bTpcd zM$@NYnh&N=!SpGZJ_XaKU=jZ0IWa$uX?`5j{5U3KDg{$1SOf`lf#rZ`iRPDv|1AfC z=~FO$3Z_rN^eLD=1=FWs`V>r`f{7GNpMq&VnC63NJ{$;N)`jNp%Uz0EGJ}^)N2BRe zFqOh*zbR$@l$05wX@+Q;A)020rWvAXhG_n+85YxgFwKX*T-ssADVS4|W}Jc!4 zU@8SuDVR#ZR0^h2s5NIF%-IKvY&shM(r&oyZ#0pDi4;tvU?K$*DVRthY$62{Dg4fxgdu?mt^uu*|w7+ZAy>BgmoT&iil z?EKMZ2XGF_xU_?NK56*(d*UU5>n~^g=eq*?Unz5mR-eBx-^R~p{fB2I-}&u(gC*CT z`BNcy!X-B5*_l7F$Z%227r6ZJJUc8hZ1M>%l?P(|SJEHv z4m|rx$@Y8x3uXVTxt~^g{w~7gJJ;p(xhs$dbo;aWJ}vd9YJQe8f3PI*o!fp^LVTag z{V&~ri9eT$UON7(&zQetk>T2BgvuZMjr75b^?k!7QtPLeKUb5>Z~0u_rv?AY{&I1P z4A=gHSN@edFJ*n$Q#pN4$+idoW`R#DGXJ8>CnA)#$iM{He3m}XqCYSGS&{$rpyXeF zdcJk@2T$MlmnHKZGM{&;xu4eQa?YQh=Cl64NhR8{KL!rWbw&T|ahG2IXBqQylb0Om zt9b$xG=686}5Yv$sY+I`i14W%G~93&|yI z_GxZ@FV4SjoT(AnR&({pDa1azAphv05Y zG++IE)_ls3I@OFtoi9s8tm? zRSt0+Zzr~u>gKfEL_`4^W3@{~*OLw*A_@E=((tkb9oABcMXT(!K6U~d3gzJSn;DTf z^OdvR$PB^L3uG5#kqoW`IK`#x@$&73e)g~YvX^iDD$$*{!Z1UN7i4~ZV^%Z}7X!F@`U!8vs z(4^5NiEq*?Rq2?>(Cw17O=*rL+ms|<>R>|6d`K=PMZ_j_M2GEZnMoi+E?sEIwM1MZ zM%e{8?c^eBq`0UR5fX-`7Wx-JH?`iVScx8OV=@B{yRELgLm*k=(`i>7CudY6jgu7-opCRVrNHJzEPK)nckolvqU2No}zjGw4&=eoEUV=zb(E->ur|3>}e+)sdJFPjI!C zHiVO^Kqeh(hy$Ib7#Y5&aWP8CiyNrQSfe1B7AkQlILPAyy>$gl(7^F};@tH%PG-?CZg3=uqk>osm7LmR_r_L2<{ml)oD5X^f?QRO=*-GA)!y zhL#0!Y|(9aNA?OXQtPtla%NRG*Sq5NUM;eU)t%l2++r>yh{^JpWN8wIF|I4stEkc; zPhw>fbJW&~_2Tw4Qp*%&J9J!DuSFS62n)=X&5A`uoMdm}_ONzr6=j?fQoxs`4)NSm zKY!+*N`CZzUM3LFSV^x6Do?OE_IQjo!q_-r5eLdJBapCciMGoMQ_RPhB&at4`EfQS zB!$#tV_2vz!h|tEfno-_1ySDQ$qXB{>ChL8k;Seo@z^Z~bPnWwU$n}+VB7&_-V{P1O-Vlr`t0dc0O+$h^%J7DgvfEgPyiafo7U&yRiIwq?eFcmU zR=W~QX?B~uBxdhs3tSqz2rt5Ub>XlG%pcF*_l$VOxBul*`wBIwU5-VJ(pav?y7_7Q z&6>=$w_;Fl;byT&T)?MR6HOD5JgSm}{K8Ya9~v$&WQOWjDq*9;sp3g}8r#Uao%bhwK`$hA8v^4W*4z|pI~WyiSCfZ zpmwb!g08bj_M%XZLJA?QUUx#ciZjg%ps_d1&Pg#2Vb`uM-qKQvR)@{P+KK`NkBw-aIky;op>W^`dN zRV39x?ZhgDYdPXm)vRJy7b8%K1`=!{8Z3W6N&RqY0&QpUqrWX|dpMQgTM zvWZd2CLtJni-?7nEp}TIbW6q3#d1oN8XT|l3}0Yh0=is1soHpjl?%iu=w4*gu-tBM zk1n-Fts6Ky!Zv|g>=J`)_9D{3A>z4o+NY4!&X)LZ z`FHMD7k~V3ms)7u%q?+HRyZaM$svrFNkC~?GWW$KnPbu7#Umx)C@%?NJ!q*QP~ zTR@6b#af{VBA;UwQHd?&Hh0mA+GwmX+Gt$;zC({KYSUAjQ&GM^)}*KGLo&PAB{d1B zr=;zEi`2qqeY~h&!9^!HeQ&?0Drc+2>IOx#MjM0%!P3d9=@zQDl`rA#5qqeb??_bL zAr-6Je9ece$do8`8Ew}evM+|w(&#!A3mpyN924?d*gb)>?Rc(`}pA1A9QW1 zdvD1Pe|5PJc*25ONEbU43c$}+rUZ36Gvy#mWSOX!_VHH9r!4X=+QxVS^4Q?uqDzz#F1fqncrl6=Kq~nfbM21W+JqkQ?ls z!p@-ux5Z{1+0~e5wblIB+rvEub0v-H`i{0Ma8`=;TPkAFbOVWIR9|MVE?>z;7gNnj zWh;mpW7AZFmywhZngk7y6+`mMEE_HI7N)J7BJf+4qsQ!-&1tcQ7rj=wSPBcBT6MLx zZ8X#tYd2W?s8+nzM*LlZ299EH>+Euc2LTl4W$U7+%kBw1+G##4=Q3bgiw zh@q=8dj;Fz)}LMMzWU>>N1^?Y7O5!V(;M1Yw0nlvNL&N3xj7}r_}zf3Um|Ty7>1@6 z$!OM?lqRh*B!_^&!-j}zwuwu?W*fpUTnhQiduvRw(9F&H9|~9X6CH%nfVy zn6#r*ymqriRyw1tv{T|96AR?7D8h|VTjk$%703>wQHvHS=#gtaT>CHob8Ma@`3+kj zSP#_{*d2>IjopSQxzoC%$A~#C+7HB`{u+iUu1yQ17;8OlnKM*tDON1@_wgdCGefG# zwsHjmWAP@Juzs2XTp-UFWRDh!)v6t$Cj3}h;E)ZMB+_FnV=n#L2lD>=f(~^*R8m2s06|=RhSrhM786tg##@rZ#;W-X=-pYlM>v zK&6J{;aaV_Si)19=ujMV__3etlbA#uw@1;4yUh_&X(^wCqUW9V*0k3#+#I@jP?Ye+ zp-rVXT=~_jFHym!_VaNjC+SBLR!Vsni7dx-SmRmTZJ_b|xhgbwsmEQ~`1@ zU_vfKgykDJuxb>gKo@D0vG}0AOpzlQ1qA8gEt*PVu4??U1Iw9OwTOTo=dDMridSMf zWShKB7QG8tP=p+#U}mo>r&W2a3>FROORIfI&=@+}#xNpYoHxwu7Q1e>F9O&tNQy&Q zjMWa1czZz9maHyqVey#UybdGJO^B1e%;6p9pE znXaYmR=}vkqMal+IP4<4O{7419sB?ccrYY*X%vAzO%P3Hm?X4{W0JZIXnGXUBtRR{ zYf;>fNcJT?7w+8x`e$agN><rBNqrp7L={!B$>}H=j!A+oC|m8f44}AoIBGM#rjV!7)=tWj@b^?9 zQ|qJ50>_oA%s8aXiYzo2+TR}$Vqq{KYQlyM%OQJXUu1`BvBzlQ0AZ%PZsGzi{49AP zD=g+RB;u+{sLi_>E4GW(BAXRXx;nI^-pZ0#A+?r0ow%B_@-bZ|V@-{0VDdK~-}S3= z-v(mklPzj%{w3pNM2)uERH4@j!>UbGj$%${tZ~P19Z}RZgy*oGG1_H~W3!^6$T@|P zp5kCEW-OX?fU}V(Adp1VCKM3g3fj@8LN`sxjP>dw9x;Fj?CB_Lkca@?HzX7}96V>C zvM8#ctldIm^rTuK0GMu&P_!9DH)=a-!A=n-Mo0)OHr*l=h|xlW+EA^IIMphNS|3rV zwbNb%xCY+ESWzRHRHbG`T_oWvhyVS)*Gt+r&9@IKQpmxB4BG}tRCLXr?r_FHj-h|q zIA3*vZvR=_p0F)^$~HStHPsO{P-D-j>Ixzu7qvPe|Dnpt8HTFu46F!v1p05GS3}QVk8)4t&bJsu%Jjq{E%0qjLzJAl(8us=fyU=!7Y|>Mh=N`bwjkw zW^ZRDSv45ZB@GKBRctIR@6;B?qK<0G#v+4#%uk zkTq>z%vqwrXte@k9Mj1L@tUssmRm}?KJ{0z;JV=*KxD(_Ycm*2m3CcRTR@AJGKDON z&0)$cVkI$$h-7JqvN|b{z?9L1#k~dKwv&&rjFCpIDq|7CwZ^Oi`h-PMNS_lV+oLVu z`WXpeC!izL$2CN&t%6N9hDBZGCmoX%xFKNJNGey)s|7y8hBVMr;XZh+W{s5|&o~NR6NQ@PU7P|_92=I_CCX5YWS#mSuF)U)fg*Ft0USSj{pcli!VyO@fD^hfk$XZ2s=9R}kJ|cX4 z_vTA2vU&}rm?$o05eD1ADrg~IQLB|AF4iGMErKzk3KoYHEyk2BRMHXwg&xw%9k@{v zltzJRD8&|sP{eU#a)_0g1`UKxb!1i&VG?X%hL=>GphB(PaPxLGBk*4kSr~g3@V00% z!MrUi2gf)$Z8tEkA}3hBt4>)sw0-eAsWLpcjnbAc>WMG6wsTN6yrBSSp z>XsH_N5zU&<}Cf;y(?dcGU~8^0LHNvLpG$s#AJSGivW&bZBk{|7eBZ`n0Z6K)Nq>} z_+XQ*JS*QNNV;LErcBb4u!r>cw2R*#OakyY1EbVt5jTT3EgC7$#iD^CEQ3 z&0s3o!fhgW>4AJOaw>k2$`Kh#M0Ls-8itu;tH42bwkdKK2NTIr1P|3MQHxz2vnv|7 zr8H_agh;H4*BM)>w!kR)An-}2@X4(pQu(w)2pyykVy5>Yrj`Xx;X8b=CO#*!}Fkk|UC>22aa`Lh==Ng*mZ_|G9!lxKummzgNW-V$0a(VHZ1eZ#ew-R+ok+ho4yk#>nW4^hhdQzij*r z>bhRP!zkkhW=hMpzpdb@d&Gk->H z2aFAU12;8Co_47sPSiP+x>#UR{G`cKPKWAxe<`lpm8ixa-oDXzx-35Xm5whg>lzp? z_e>;0`ztb)NwGt}fjk+r!w6#ABOh>M*Pi_7+8`-0-4No3?zj1r> zRPD7c&&___%c&=j+Jt@hMjxk7lr8y2wRgrT%xLNctzSCqPW4J7KGN51 z410sqWM;2addJhNP}^{FrI-9BR6^P&di6ItT^XZ)ODaG}q_iu0U+qfLv0-cTKlh(4 zDzOI9Uy65dA>8R?XCk|@Bfq;&??R+@TfsNH;bv{P);S}_oh!D+9iH^l zcYK)J^v!t5%O786%-!@41RvVu!qebvtZe3t(*yh)bfol}2P5Bb>^${jOd8tM+;-yu zj?U=SO7LD?zcJHBc1F6jsi6-yv~r1P@^~%IgrqwgD;&+&j9hi9jeY0GxAbiIK_G~V z_MD6CS}DKm!)HQz$bT%eRvjgMJ$%9+@v!4QRqym8KUr^R{%Y@cjq(?0e1|qwW}v>u z+emOQmVL*$Lu>c7j&|nM%on9s+*Q(~GRt{e(rX%@kMVa17gBZVhL!=fCwN$TqqfQ0 z`~K2~LC?M%)kC$)&?_3L!;xFFvwGtITSn+7l6R=7FZ{lCo`$!6S3R-qBUd~)-1Ci7 zI5vE|^|fGgb$IJnvw<#kD)>NIco+JYzW1d}g&t^pPC1kDT>rG1@W<|1Is8QJG#(99&N-iRE^P`uC_NGLqxBU7^cpSm&5-!Ws^2Epv-{awT(P@qL)-P=sxK{fM#)p| z9zIl7mfkuvLp(!ssJ`h3oMTROvO~Le`Hnrj^1J=zchrBOac216{@2r&*>g75LZ4>U zo!o>z$u8^-NaQSQKin~ssdpgKbe{`OxhnOx{Mq4K=2Y1GX7=7k#ZlNN?^IU@x#w52 zJIFsceRHGE{O())3D|9`*nJhZhV>@r_Wp>gmbO*?h<#Vv9(ySG-rj7BwEUp!hwApd z4+URK1X#yI zmX1~0<7j5|4zgo+@T%HSRep<5V$Et)C58Q~mBwm3_4tk|G3>AT3b`f~Zh3r{Z)xuo zez0r@a^EgCe}4zM4tBqpB}?~)H{`DR_0<z$v6NuIm$HS%C-lGQ7HI4w)Y1YjP03{g8^4_}Zj>Vu zCmnEfI#-Y}-Hx_97CA%-?T9g_^maNNwe+FsOe_(CY6PRH7Ie$cKZ0Z#eoJ$yHT$q3GD8jgwGL8Wfvl> zA&1-{=xlqR*eQU6F%)AJ2VagxMgAn}QtXyWnuIyET35RsCE%Ts)g4Z^7SY<5sr#QPMv2O(@b#bjQ z%M6p#x5cb3(k{F$>DahFs2MR9azw678xt(MIXog_eN#-L+K4@+$CH+rmjg!(2DK1w zqe?2_>WxWUNV99;O7lWF5)im?R1stRS*cT{a_HKj8I&h;;}{d(#c4qDim4MymD+8TS2&$ z%_@7Nt5ZA{&U!>c%_-vhigI0>GJDgmg9*4)Dt&9>*bh{9!P-*O3q0(we2U z(ha`U+KusjD`^iJQLo-&Khc=*FZF4A@5Qfjkz+dU5?j6-{gyP2D^Jr<#p#&0oa@e3 zV~n@ln=W0OiPXLFcvG%37J3zTkH>KO==R!a^vKRb%h90jCWqW*l5&{t4B?%3;I8d= zzFc+;&5_rXL#`k{ChXkmdN3lbt)pQd4Odc$7-VQy$PkYsl^<5bsg|}j??@ftyj|G} zPkc-o_VUpBY7K|4*C&UkNze$5j|~lm)b{?nXX4^(+``quOl$lRU+FUes zeeBHNjelW9Yj%pCFhV^Py9!e~LXlO~rDQgEyZ0(3kml3N9hnLeB++#(XRx>0P-hjr zF2k*CRVzLF#`aY{NZhT1I3V4DcXn6r2yOK#b7H@8 zN+m<%!G(!%OCL$!+b3hPuP-!GSGrr;!smHVKAdh=wK|gZlN;zNcXogr8tXQu%H7AS z)J`!}m4hi$GFfE=u^RC5;;cfKCepx`bBWcw|5gv(yHy=^j(N~qT@975XveN=%Y2FKS*oqM$tR7b zp8W-TeY|nG+JmrXiC9kFY@FaGn}%ceIWtZ0uSHbdA8M*@9Cx9M?$^oQCoYp3%L(c>GBs-2v4_<#`zY(f z?40I7xZoJf`DR>bhDGue$L^ruu2q&aVDYLXG@wSF;A7W$DcE!twWTaha%iHneUK#d z87}Jf;l=7g}({88v6SeBGo=hhkSU^GPZ5brz}Up4m?}-JRd2E zynIOl7_!ex?pUn_V$xJFBlhk~uWa>FtuU2y!i8?>BtFI8QAc=Z{_#`bm-MC}zdib! zPBq0{g`!6|i1aB?oND7TenDqr1!+U=bPd^ngcDe2r?pWGIk_75B_uIS0+iD2ROJuyxE-Q~rZ~5% zlNPAPN<&pQkwl)-Rdjg14%o?F?{&UFW8G4i?}2w+fqVJc8bUVmZnBA{CVlO6^>80S ziVozMU{Q=?PpPqXy_^;+Iv^DvPOjiM{H|~BSGWTsXgR9aubmnBw{z=-OXOZSRr~$( z+kC?x1lz_gY~1t<-$xrOTjNvvX7|0q4f>9}eQ>Vd@4Y?o{4KNZk@FAvJ(Vr^;`!%O zX!fUlboRRAl5e)g@7qM_Y_3{+B=TB-rJuCNt7jj0-ia>!U%L{kY61>&z9w)@@Izl9 zST*LUdTD*xrgyX%w(^*7rm=FpZ|2CFn|^u!y<+7xPrw_h9*X}I6cT?s-hg&R2Ddn7 zQ_p{moRvKC+fo@ruVGpC79W%6^Eq`hQyWSa~&bOWK`kFS;sk2((nZ}6~ z)2n8G{N(9)iT=gQ0-4(~?a57ng}!k^dhm|a_zh@Kp;P?HeO;au?i%n0>(obRy$4_H z9&B;uy3-XTQR&&A?#b*V($>4_BVjdCcbdDenO81cM_0B68i*VIV`qDsT9>Mg<*zhe zb&Rg_q`%}j4oG3oHPY%lQoti)m3^8c(zfNKbfzJ(t6_ClpemS(-RpdmTh&HHITAq9 zczwt{UKe^adpyMdUfN4y>s_yv;w}(=X~1<(V>Rru4>{j&Ms$#j%!GD*CkMNb0JV_)Uv%8hYgka(qQ(I9;cw z@70fmKua56G3svFI|y!yO)xlCy+m$k*6}1- zvT-Zf?w)Sg&cEN?J`lPMT_{g`)YEa-=!vYqV&AT+@~W+sXZUnw6*n}v#ds>_b1&hZ z)sy8^e82t5S9VJcnp2ue>|Ng^<9D^u=8ycsTve|!M^-=l^Xt!)OwNAFrX;Xw%gC2Q zsrVf~?3&_piBQ~wwMp95-x8_2pjvQ#w2^dfbfdb{+@bQyQtx#UPGOOH@p$%ynZ ziy9SE9W7wMEW?VDt6?mAB%sq`8UfUg?KR3GPMR2s?PZ&FbqUeplw>eh5j31^p%Y`n z5r&XqqVQq99+A{;E+j{y7C{3Kso~T5l`tUon1mtN0HXiOiK^s~MV2(26gIjjn2xpj z60*;Qs5Hefxa$@oVk9mm%Hm)Vl^SI{GF*)p!*mYsCx#2JAz%4e~_ilTm#McVGz>T?C9fm`zzC$+g48>tldK zgR$iw|MP*5Dx#M<>k^+mB332ziOviHr8vR9EE1)L%cay4$^v}zp5A?}xLa%QZ{107 zY?QLuwgfX1H2M`~g169pOR3c5B^|PHs?+k-7{v5+`<4U^v5jlIG205nx~(@sg-~n9 ztfbmi4vSXZk0kZk*aUlox|{h}vWIqSqJ^}@P**3YBrm$zU=1ffCd2!{egdi$Cdgbc zMxqnEh&zQyt-3R)7La9~L0RD&Z=6cR8cQ)0CCn);2+{CBS(sU8lXOG}!`RB_?PQ75 zl`8Br8d)dKaz14!fV7ezH%v%0TtQd)xIUC!rf6x-zMUHqMk&X>OD26J$mzxTQ<+mUY@g90*bfJU+uZ@;P}>=P1)Vm|KvVQ&gUM* zt9cJOkvX4h3b;bXTi-t7{)qjfvIiYkPEAyF&xO{Px6sw)ZGEo>dWn$@gxuLpC*0Y} zkddyu$(Y$cJov+7(!->7?pRuwyS7KV$oK99amZBO~i08Q(()PH@MO%wb6?w!Jw|j57y9E+%H$mt_U>jy2!uEoh^Tq-{d$| zSA93x^r|*JHvNYM?%7+tD=wVMeuX#cYm5#OxSN#piMi&BXSR)zk5>MY1ST*38c*@< z0c4!(>ss}b?cSEPtKM;kmpzP5R&3|^6HR3^Pu%MWT(_eKEbJ}Yr<-y~;LIua1n&P1 zH`G_&txf3fahhdp+6XNI%^)!`C&fZ>oxPRzu?>}B?YwXdVJue^Hu;jw|`KQr)}Jx_GMJEV7W>1E5#8Iu92Z`s*w-Tr4@ zeQtlXGW}fug#Y)+u2lJ!lG^_71uDrBIdVLAdF47-iFrSz&Tw9;eT)z8oDujO}yr^&;fWVrsA)_B!Ry>9xZJ5w3- z=@-^bS4Ae_3mlBrL= zn5kD@{H`DE2Dmm;8U)+Cs3mo$cP&XRTZd*FU&cdwmdZ0vY%4$4x24pM`^PJ`j2uVCY0m9$+`v~w*rq@knkKW= z<&^v$<*s?{0q!5$O76ey$7!5Q``P#7XTMfqtU?3Es&M6xqzV2c&X2i=`?z!alorKR zKKYAx)9Srz$@@P(*0g5J0I6(z?YkRR*5I>!kGyanFTSS(|Bh9Yxo7IdFFmN2JpJQO z85oXKi&Y?l=?j0CeW~xC_8r#W7&%Mpd79^9;C7|N4?_6xMP+c8>#dy=<+GPKIJ}+* zDdhbF+TZ|DvjNea^|+M_d>qZX2W!$v_?LEgrpb;i?|K`rO7}FpsGXeWV*O2ieHKsG zRsa`0$yW&Aq#fu5?Myd4_AmhkIs#kXJj*L}IM2u~(W~P#EWOYePrq65BE7(WEqgTi zD6f@z@!cfwDh$ULe_}MdFWlubP*en|%3e(~4b z@Sf0mX;8Z3it1pCw@z(oxxu?lIr(s^(&IYOq~D~~OW~xy0l4VuTkFzup{zG_N;>P- z>Td#QgNTwYN1b)t9eUDxdYa^>vVp22<6qu#1Q6xGH38Ijnwu9xbvrW7AFH6%jj#F1 zx~G(L*S^esfPb-0^p)euZC!PxhvMP-L(+@shaPmoK&1|YZ zm@p>vm+NV=bC9q$pJ#71dftW)If#6YaCKo+PG&x2|@y(cu7Lvl~#qdcC$wYw@sWf-RbS(qmHbqA z8@;Q|K$V(ReHNg~U{Zp&1uO6>FeMTn5xyEt`(`+>b~RMT4IHc|?4zVK6dvE`=l>#`^C`2zT|S>?Fd@&0&X85>&rHZIs7VF zm8uK=_k*R06L<%L`Um&Zrb~CniE$W+cvjkw+6A_IOR%CQz$WHMtC*3>B`}`2^jBi5 zSNIRs`^Xqjwad>wnQ|T`WE5$$$yyzVo2uvNd+fPW&#x^ldGq{bKKoDvuh+Cer<4(6 zdr)1lk7}S~a|g4kJc~BaJ-vNM=lPrjeuYuGO>LvhM+C5#G8E0#940YIYN|`DBCLE$ z1INM!6wOF2AQY@t1*&NjLzo^o%iEkZhe-SC&H%VS!0(ZUaJxL!Qv4qDJfTOp{un@| zq|hbLu>+(+13U#bG$~J6j9RP~=bZ)vWNB~&Y(?-XyvHU;92ErpNbV?8og>l)B2Ne3 zz`9b*4hL#TOe9U95K&%(1UbFAz>flmSalDH<&s83n5}6`&II$0A8vxrqb!(iyp4_` zF@b8lz; zw|4|y0ZsVU!*eJ$NQ|LJTX*FZq14LF<*W5bp>Ig8(u&aRtYUHVY@Xl@b)wQqnr{Dua)@Jx56e#@KZ`GDt% zH@K!cXUydkBcxirur!`NvowBT#UIk~3y%BJG-=qA1AN%q2U8OLV;7$o@Kz|5Q~S46 zo=ND#Sx|@>Jf-5fR}LMUYkBTiCE-8$Vu0`$zO^CbG2Y}Z*(n{t7PTUCXG=x8EASGg z7lRu-;9u41QTVCAb>XKxVs=Y7d$0E@eSnnro$nqSyeaRf`#o^$*c1$H$rf5$nj1E9 zr;Q8WYI|wse)#A8_isS{`&(#Lq^U|h|4apFjBXetUi(60Jk>t9y{&!l{@ar2_3K1` z>F?w9rBioTZ+UNK>zALGl!>O2Fn5j*fo@#C(zPFSZ;^4n& z^v-wFqj&!6vBt`{*jQ-4D!HD z5KqH@d*^`v>lg3;rMl;p-@U>Q4U&EBkGA&FQ8mwRKqi1svVmvZ*}xQxYYP2~4k8i}fNT8BQg8zN;Ke*2Pt#;S&z&p3%*lTmP%B^Ed8oAE6YX_R<>@>p z>rr+p15SXsm!6}QeGeUiX#?*Oa8JLJ7}|h#N2d50E*NmO;2rJnlO5fMw7@Z(f(Vy4 zny&P;O6N+qH33Xn*I1`}!qZ*3&?yZ@xaNn42EUmoLH;Rjru=SDh{;v7wmkRLNS)tB z&ph;c{i^-r%X$Cy0E)lU1jE}2eQhAvJRKMIp`NAirUX&T*niII`|{zjmi zzNWIitiEK|&}FI2ZHZKX*Ze|R_IPugekztXfmrSh@OegRI|o22Us`k0{nocd670G~mC1*4=50SC7}B0pKHjr)eiyyPm6xoPVa2oOhOz z9o%~k@}5Kapea+?4g%?0hbQmL?yASfLa!z6*%L=MwV?|Q>)m-K`c}T1KC9L3uO{Oc zl=1yx@+LTS&mF00%KwkP^RLH19Q!VdW8=mD^}Fwvm0bMwWiCdr-^cIb3>d=Jpt@Un z0GsTs;kx9WCB7Tyf-f}V_Fg{q_xu%rE5){05jL{^AXlH1EQuA;h(1uGEhmq4`E*Ar zyC)VWnKg)3++tMu3Y{qert@;Fwi<*qCk9DM0pq!-sR_$iT*C7M$VHAkzHbkzC)Dlf zj3vPzE*9-kr-R^ZIF(h7By`>~*hMh&P-)hur%KVZ%0uP^pCE%GSsb}I(g}WA8!})qjTIp|3En3@t!>82m{irVi0fj7kyV@#*>0p!bvfRuV<{XzF6T3Jaj~i7FR1aQNFUO7pIn{IA&PpVReJ;=6&vF z>?Vd7GuRf^u2Th3cdH6>Df({7Q7l?ZQIjOQ<=TQMuj>;lG>aV-rpSc42-Yl5IJ9oW z4)vkp6&WP!+bORP(PjFFZ$8J{KYlTqr_X%COj9%~Gdy8n8jeEHEF1`B^CT^^h%y5q znijbLqbQW71yRl-!y+4oDS&ex79ZsE;0PloW-t(pZZI(&euDs*H_xbykY@!%ZeB`S zo`bQ7FwY*FBWi&#XjuKiMPu{3-5gMbGO@if6o-e45Qc0K3gq+azO(O}SNyMhE~JuR z;#9{!Jn^yi=npnYT_5^xuL=C9=H5*|yepUb+NO!b>_0qrY;b$xUpJnu2^@+4^qCnt z$Q_(Ye00kId8B5mbLYml?}EvYV@J-r{Rf{9(vN(ru&iTCD%$q;KGf(_1xT&A~ z@oNDend~}WGu{i2Iq3R$<0h2-cw+^0{;El5zR>Ty!4JQ-fz&^7HSR2=wFFptvW9%4d zJjMr%K{E_62#fhqcKhXR}hjFel#Wz8R!J=zR+x@+c2b4%WPAG?1w zwdfsY{xx}^>A|TN^1gE5%SWDtT@GH^1z&JG zOmZ=2n0YoQ%nJ{+Y~4H0FTGYdQ`oTUUm}ZTEw8-@#DUxIYp-*EhgBBedkX(A*yqU7 zk(Xx*-QF+uwm2i7?>gg+eB!;R6x`OrAL&pQXI?K}eDAlrzT>99*at??7Y&>1-}0WJ z55Ycp_m!O~ehKy&{a3g5(ee)~mOiXJ_)4R9<-0B3$d}%5E1YPdZBwMB_@$%D;?Kk1 z_GT+zVdl)Uzao>t#61Wsi7qKnhv`O;8;@cL$)aCHu9=~!O+Rg7dtqxrZ#J57PVYRM z+M=|O*d&a7*ceoJYivg{xea1iwv`4KM1ULGn%KdyCbp-T?`Y@ShP`wF{S<^2`6C6S z+yJ%c&s8owK@7!tO(Z2i`G#4N4!1{D$^u^1Dm~Y%Ad-$^82WZ?NCNR zy^)foaFrGX!?QE;NOP}wd>i!-A(&1G`)mF~a3GzPXOO>AXyE!tF>HAZ&z1o}n}I&U z7BNk?uQEXFU@YOu3<7oYmKVI!L*tt(i18?5p|9;QbLnA)8eSbq!Ne7 zPN=+)#xdeLLc{siu{@N;)zLJzK&>2*ESvkm=YRc=FROn6r-ugcDsEym$>oAv!cJpu z5Q+843N9d~aTdz&<1{ha2n01IWew63xoI`COyDVPT&1QP*>yxP+i|FBgA4(7K{BXn zx1dM_JIN|kW796kfbDXDNExh;!MmxbYN`wJs>dkMeT*VoZB>}JL0)>of?0nF@9E)$ zERHd;oVbq5z+Kgd(x)w}OXxn1b3v_9SE`_`7%5JQ<$0&p&gICQu+v4i5BT(n6B5)B zCJSWx?>VFqKi&Z7WxvjG>eh;bgGWWq{-Ha6sT34*# zT34sCk3D?(U%&s`zogbc3w%NbM-*GPtW7*Kvhuv5g_KU(NEA5S%uJ2B5i?V8Qvp?2 zC(QGnKCY=+#LTnpgOxpoXPU=B+EmpjOj{1R*eq)dG=O={ONd|>0KdmZ`i?p zh|FEs^3zg7u}#veWbP8wtdOoeW@+4IO!9&|qey?k`*XHp0_w$Tb?|Jg9`Vz3Gmtp= zz%rBwed2zKcZgTsIi?r2~qP}C^zRJ8(G0Gdg&JK#KMLH|Niysq)LHz zKpB5~5xZI-8(^YiB}rNUlgo9UdwNXeSZx(f+>X37L)*|dG z^+fOE#^DO-c*Lsi6PJ3`rDEHJ3KK}1_Qs;b_Uye_Ks{=i^sakNNxLX86}~*k^WSB7#mpX(M)F(~O9)iB#8c2kC#7)X0MdJwTDm>RnTNVuZ-cY|hGR!gY# z0LheXy2hj%>4btm6JYyf_FK(vedNG=GR?pi>l#IJ!bG@4oSat2dtetQM^lp7)-%OmK`{DX0$9Moc9m2dZh! z*udkuWM8#hmX8E9$(x>jjZ`cN^boE02##i2oki1IB^m6OJgzEV4}{o#A2Ds@?>J+N za^Uq|etSrNoV&nPwM7z5tenfoku8CoGS86KzE;CV*N#?L3E)K#oVm4vX3v*sr*gEB z5Lk5x<}OsFvw7U;-=V?4#@neFE4D*9&|Oo;s7*FTMJQo2tJ6L5NRLE{YEGdkrFxhv z8n}sPS+-JEV-~qaf)UA2ap*nwSQFFJNRrk~jHYh{d=(A%w9*zzBLgl36_?DQq;|X4 zy9{3HXTaYu$#n*e84j|}rG$paGlo_ZLS|hTua=zoc0qRk@(t1jB`d`;$fHAXTZHFS z^UaxVgv^S>_t+`k3x(uqA_!8DgJreYtcxQ`eI#kqm<#-Ph|Mgcqns{VHfCx4aAC8U zoVGXelmomU3KAIrHj<%Mkjk{v(f|V*lc5=9S~@}kZsVXVZi1#k(Mi)3(b$j%SSPuZ zzQ!?0xGEU3X3Ki60>nWU7?$cNl*pR^>4G&jAdO@K^oVXvQzHXf>uo|+0FaHK?>^=< z*#cHwC^06=IFm?q5NfsHgmY57bxoPR#QjwWW^+Y19{ZY5<=`JP1L7?OBb!3{1G!33 zz!ilutJScWW`!@_3bG3XZfd&~6e|$I^&ka79~z+6uxTo+G=uq_Iy4HOOk&9-DrKZW zVLw!Pn-tZgUQ^THaEdZg)sKRjy55ZjWy^3mL$`tcn7YIoExYUYmlobw=!cV~S{9mT zrEIyX&5t%i?uRA5*}0Jz{K6Q{HL@|3F$@?BMgyw~=hzy)(U?q*LK4mry8++S=u9Ro z>_=>k&(=*68#w$Cdkj-;Ek(kJZN6$upz8=kN1{1Z=dmdVwCD+SksTr&foKk^1_ohS zz@;cR}AlUrnVl4Qsu?||Fqks?N4i-xgfywrC~(BPsF z1w-55kt?(jFdMMttaYj?ATkSv(+UZt>;-}ZSVoy!aiNir*XB2{L}|g+C1PuJCg-ea z&AtuT|34nuTnsiuKfT`hbs|{0!63-8?kOZrQ{j2$DlcCB`d!yaedC)+b&UV+52*#S zm|{&j3$@LuK9NR?*ZM??=jo{}|K0dB9dJD;`Nk0`hEq^@k@_*HG(!c2mogdfm0E*S z?k9=D2F#+@_k{J=TFNZ}J%}>17UQP)8U35tzx~R+7#;R8mL=y#T}qM0Y0;*v0YzOf zTvcQ05|=~JL>Q69Yu%w6%m3XSHWAx7`SwQERN&i0uJwnnaqE4B0Pm?RR;MVFt84rX z%4`YRfW$LM$mY;_EGR1kJh|q6VFe4~tqGss^0qEnp(nYT2wYRizkR+$6cl=tE)5QuBB+#NT9n8`IZ% zL=sRlme^E}s8K-o|Ef!v>?p?Mb6-7ni)78*Eai~27DPI(^@xC^!($&s)H$~Me|89v zqPI7*7Um=Nam=kY8rf>TGWFDEKFt1)QBb9_d$y39i?8-?3m(kAZ|EyNq<&4dRCl

$}5NOqp%jpIlt|{V$fE_{H_Lt19As=(MBibZrbZ|Gqjjw%$M9 zlT;?H>#a4lyx^Bxn1j*aq4u{V7v}&|u*kQbX~seObfwYw+05zjhWbV_FC_{Uy`o*I zWGws0F5Xz&LaYS|SFW#SgFG<4Dd>i7p-oOC#aUr-til`A0#F(KfOz*^*zXMbv0Dlt zr*u=}8>Q9Z2kv!^68?Se*NT=zoAxv+{sEcI$dA?~o+)|E7ah>EG~GX1+S@49qHp%1 znY`Xdi=3S}c(1rv6FB`ixtDCFb@@qrx8AJyiXsq{%-6bfUesom0E)v z=l1B!JTCP4O;7PEKF;(pa=Am^)RS*bbxm!*PnH5eWDdrl15^$qNZIV_kBCgY*CceSolR~seuWx&XHq~tu=Q&>S=U{SH zNwa&Yu{=Iu6U;PSF)j(O8D<_z{YS*Jj6TVh-OK6!kyL%mkeoE=ic!~5O=#OJ4A9(& zf1AQlg~~}Q{;{!vk;~+mMVfFzb-WI$S zN!B-TDcz3GDc0YWSL;f{Mx8GL%Xo&K7c#eUHv_0#8#mF0D`nbAqLNg?O5;1c8pY4k ze)VWgdXcZ!h%-5mv#gs=SZgWIZSt*Oi**q_YN@^h^_&o*oHd_w7eRgJ(cyOS@*}qE zM9XBhFeUV;(h{TBY*;m-QOBS|l#pWS!C5MQj6qFxT0zM&MpY zYm|(tid)=5Vs}Y)(b2UEH{>9>eD=o872gy)TiWxOj0E7*0Oo)tqIR(CL3P{~wKkzZ zvB8Yk*tJ&MoDNBXd-qeVwQ(_zp|hE7EU8w&-0 zu7S=`cT|*kO~4vRZqBD>a*9=`*T;KkVFkTG(dj!t)#%q(N0hCE%SW-z#4=&22W&pW zJ;p@8J?m`09mM0XjY#G(2_d`VtRjsf#M(MON8dI<7iZhL)l?^2A zuGm5CZ?6x&YPtBy?(1Y&D-2toML{@2mcpjJVaCpgZ_r2#8AyQUP;rtxqdhaZb_5gWk~PV@W0v(`!)Vk6jUR|{2$e%=+<_UM<*PIt2_t1|V1qtAXf;@)wDzC=<==kD z-{>Qf*-fK%rWWbz{5+K=89HwZ9gBgR#%yUP>e+UmnBhb$7q#4L>*kCon72LWXp^PQ zjddxu&!B2>8G|W@#mTknSfoaDR@f@5Nm1vl2r$Wn4r*1lXLnjy&IuG! z(%wMnRYTS^8tzRV)!YI&zRWlX>9#Dx@|lbV;3in%+xo4#9&p7*)U+QMHi*6)e&TXW zT;2|+Otp0~#;X#mbsLRG0)TZZ%%NG%xG=}vt_5X9Haqe*;=sSfF+Rfhnz^3yK`Y

  • k)Xc z@{!OJum}Mh8Lr<2%veI9V!?b>m=0J1Alt~d@BHD*fAN83I9GLB3>sykU8W}7i?)lW z!()_3i`E3U9C|cu+hGpo_a)7*Fqr<`zKQy$OnA*b9S>GTE~*3|9IzAtxtx`eX%@s$ zLknTL94ag^J?-AxP3FX!WnfQ*g;cn;$#60>*hKC+AgG*j@~}2LhBs2bCW~#jiBmDI zOM;{9oKd9qVHp(luk?nx+%WK};WQYi9bRpuI)Mf&SS#%kPFNt8=Fwpi#x{Wo(=-KR z58h%<+2{GOTHkV<)eTyzkb5O7}uzv* zHcCZ9MI5t_w;-#gRvZFYA|Djh37ntm~r}Oq`1HZw>Y=mdXWk;hqroyZ# z)wDEveo1tjQ=p?%x^>|&>vW<)!wezegAcBhVWb{?>d(5nVi`}&hq9=b!aSK*Sk8b+ zgXyHh(q9#(VRV|~`;1hZ@yv7&8=UV~&ZKoOz1%iF^smpq<8OYg_26ICaD}?SY?idk zZg#ub)BFj1vdM>${m!?TH)EnFO<|40NEfH*vTMAZl^Aai;RWRQk`QBfWnTt-i>fy= z@vaD4j`C2Zag0zk2P=C;pXf|`EKt1wO$VmhMi_2z3%-VKrJU2zrnc!~X!u+K2v-X@ZJwhj70cUR;oaY>77@9= zsryUkubtaJ(c5}_?lvT+q&0aW>Jk;`q_SaRSvoo?Vy)W|=y7*Sj1tos^o%`Croke< zDqN&ZCuR6(2(K_oidDS2l6W-FX1I5lz!NtNkd!mLLFWotm>u~gkcC>vY;K73pL}dqHMleOyr3Sht^>9T*AZ-&~XrUQMYeK^X4A9hQpqzGW zz6{P*aqY9d8lW?=s|c52n4RVeMuP@FY&Knp5ZQ5hvUx4s&j*{vXC4H+nEZEl_O0H4!vcd$HlQ-l%C*bq6h&I~XD% z;oWnPgo@sA;*sY6<0O29O@TKI@kUrXAVmGCb8OPAz8OReshzgg?Tr>;X;$Mnv(kH* z%KUD*+Iy^*)4pB|Yl5x3-56ad>D*|bSCV&iChar9Di~P%q+tz0%LL6tHitoC=umvj zesq^y=(p3{g$P#(Jk49qFAv$~ANtWN)g?C0d-UPRxDa&{78%Mm--4h!XZyuZkKv-{ za{r74GX!~q$zjH(p_nhu#Vj4dQswl|85hHj(Pm(>ffHrUQ2U&85U!Lvk0=ohd34}A zOuvTpIV$@WbJI#NDU43X&dza^ku#9Etq$vxq~=0sK7EZ2Tx@zyP4y?1ctK-pAWjZS71@I1kQ!tN_#kHj)mg^DtoXh zY)%fa63&rHh=~D?ke|H|R=t4+p1`NPfivc;x0y!Xf@eM;ABW!27?v#T!kPPGcSU#+ zIQcfBy-$r~alWA1U*ISmwWqKeGm$5&!Q*@GTRWh@djVlW?Lddpdy&5dhBhplZzZeL zw{W&A~IYek7*u)=A%Ia_x zk;`dwv^$NzKKfhVJsw=Ud~zKXm=IdRF8Qp@f{lcE(I^Z*XJ|-7gE2@M2i9;IyXeM{ zNoWvRaAWW)dXGylpgCcqtbk)w3!zWzOCvDb7cqZ|xd@(WQWD2hU4%CHLdK30si)6a zVBjv@=;we0!c~Q6=RznjeglS~+rAdDBn$wV!jOW4SNN*JwVNm_S?~((NoiG2B{a9V z1k-h4QmcAW_~~}=PROxU*Y`BnV9@0tV3{+J2#QtI>Gd!Dc=+Yk-|*0_4LcvUA{zwY zL=VM{CM+*QoLs>SE=U~>mIKC2%+dz%Qr%Or=Q1eU%UnBwo#d)!^&rKg9!S^=dMJ48 zow_fK^6*M?9XWTo>IrFg!?=AHfS7S;b9m%bV@zNfkrce2a*$c$d(a4ruXZQeV-nK}l**hux*yl)%~!Tm(~pw;d1H?qHv-}lnxTL2)){V_F+ zEpUmb6J=ajxm>fxs$tcZ0et7EV2?mcwCYp|qgaU~tS<qVC zqHAyrTXtgIM=B4Hc_xw;f_Hj)2VkjDq|DJ`KP+?zz(V3`@+3G`#2I+vQx;qZnobc` z{TRk)1st9kbKxp*NZ0Ee;sa7$HFAq!qu{Y&YQ2l$?E^g@`rz}e-~Cqg$Lrgx)Q%A_ z?WpfSME9Xh37dnnxhcCxI4X8vZi;z`n+i;gp=w8%kbFK~3LrM4DaVzx=;F-`ZX4FW zDY+#VMM7$>y5@Rp(1y9^MgXVVM9dIPkwAe_X)pSXVoLWs0c`=y8;PH_7R#WYo1^aP zQXOi>w&y7_T5O`kJ&uGWU@7ty0&B{_cF`>0U@K1!~dA4DO1>rse@o-zl`1{uKm zAXAP$6ryshQ?ses5K`TQZ6Qc?GHQbgQY=*66zPTCU|bW`7#KCoA&A1r@zuV9tsMa( zfm4Ua(XSL`bn9#z21)gm*2dDza+Q69R|_#rj^=f<5|rL+jSws(6FF5`l(5uopsHbuWZf zh#t`NgGsEn_o^=Z)PhXvdMXe0a@YfVVTInH8riC%`S#vw^yjGc+_!&uXY1bIg+Hnr zogQ(d&&}+Zh}CXcUJ&Rd!PgiM79pb(s$dzeq=gpDUleG6kaTV_&I8?>KLva3VUPJNg`#QPIMD=#vCQfhE+^T z?m-H)9DCA8$u%cn6C=))wNct#!iHRI3HydQM$>v!gwBKsP(pO2A6D}rMJ!DVt{qCu zU*%bM17QG!p+P=v&H9s{xjy*5A3kNR0|XhLq&&MM7DxGI{=~3IdhiM6U|+6e^04x$ z{A?##?P0T{=OAZ(i~(p@yC-&Wa|Ex#8|#d7My8ui?{o6T^ig`qT!FVDM$<6dD?8%A zr)79Qz*2e6v`NW8E*Y$u^Pc zo0uLmCbx=FdT~@<*dBMoOBUpavvNm#r+HYW;_}gmzb^hpWEZ@}`HtlQx|a9OF1;ic zy)LhOSnQC`<1{rYnlm&rf|ubPecMNqUa4DVM=OWS=6ywm-A}8D9Gh1oDT)QLgiLaY;vMle2`}wSRV#I;PXZOsFih2)RCwmP%G=4s3TEB zpjOs7QAeVNK&`BEqK-rjfm&JTL>-A50=2Tvi8>NB1ZriS6LlnN2-M0tC+bMl5U7=P zPSla8Ay6ypoTwvFL!egHIZ;QVhCr>XbE1w!4S`x&=R_Te8UnSl&WSn_H3Vv9ofCB= zY6#TIIw$H#)DWnZbxzcgs3A}*>zt?~QA40s);Uo}qJ}`NtaGA{L=AyjS?5F@i5dd6 zvd)P*5;X*BWt|gsBx(rM$~q_NNYoIhm32PXZOsFih2)RCwmP%G=4s3TEB zpjOs7QAeVNK&`BEqK-rjfm&JTL>-A50=2Tvi8>NB1ZriS6LlnN2-M0tC+bMl5U7=P zPSla8Ay6ypoTwvFL!egHIZ;QVhCr>XbE1w!4S`x&=R_Te8UnSl&WSn_H3Vv9ofCB= zY6#TIIw$H#)DWnZbxzcgs3A}*>zt?~QA40s);Uo}qJ}`NtaGA{L=AyjS?5F@i5dd6 zvd)P*5;X*BWt|gsBx(rM$~q_NNYoIhm32#PJ;d5{7{Fk5F4cxwP9gv@R0(C&@fcyjkKk;XsA^*Psg#1J;{+E9eHTYldtL{)N zgghw!&Gv(*dOyAAM=x8qztpJ2wv929I!fs9rOJhiu;y8si@28b5-y@l(-}hJ>%UPf zq?!TpU^miqRIrplb#3@v0N-q2ibWVf{kQH+z||!|rtPS6To^*NHsOSBB8BOy#Z<|H z>P?zqLRY&yM3GbdnTBl_DFHH6T{Cr|SqQ#!k#~Jzd-ikRyXQRod26d43|pw^ZOBwC zj093?lp;t{WH(@BGqtBJN-)zMw`|3*6sVr9nvn}X^0feNL(rVVREr4WZ8EFXPgt-I zg?x@rTCa`6$2F@#qK0EOQeDkM3Xw`52ieuor&12;T4H{6bcgs-_HisQmR zthi~N@#ywR(M$XX@7QyrtBNsf4WyB9CEy#4U{b%JYo2L&s)fTvH=#RCj%bRU5|yq; zu)~}Q*XMkE4P)EV`i)J7K?`Oi+exRUla^a7h%fHBXdx{CEGGlIJ-1aT@m3tIGOvAf z<-p4Dc%b5wevD9K4Evo{r+;Y`5bOb5d_G z3QX_Nco+w#0;ra%qi$}nx9Wd|$#)GNpp-+@ZbG3x2o>5ZcB3)<99{iR>i+L#{-Rph z>IOej7-c~}Ko|Heq-oSaQ3%JsD)1{|ciEc67gkU9A+82OW1P82bx~y>m4@6w zN1_b36nDt?61viF+D4XHp~~C_TXB)uLgGbUk3O zeYX(5hgAy^AegFC#S)4|5dZ=|X<%O3wpbHDpm4wEjvRz@KR<|%3ig&!nzB9cHToxS&ahzCl7^S zZ)f;uUyD7YCAnsRJyxMtFU)-z31bs`(zgU^71BQ>6W*Bsk7nJnU9phSVs11$x{wkD zOf`-gg8@;FGu=oyf(SFhqo!()5;fFC1AmKLF#tGH&_k+*!9Tq8=8n^Ud*pVd!51)- zC9INOaqWaFF$I%D8w@k+iYA8qNM*Wn;r=NXqXx=*LM>@F0kQ&58ZJUHa)1Xnbhdmt(%TROzj*%dEded~yZ*WiuPZXhaz&0^4 zK7wJdYS}FqFs^5W8@HfFI$TyRu&NYG$l`!)@gprE5SEBm+pLGwSpuX+yfLT-7~ zMvBGImPr4dZO&dYCm#;(3~k>}ZRYqWSB~0c7kt$<&kLMh1hyqsD@W9Mj3l1y9Q_=cl}PkN6z|U6B#D6V{D( z{eyj44^VhDDO7oKz<*>x6!liNB1q8TG?0ca z?kFBjhism=w$rzeDXSbZyu+DDrWEj`4=z1C;%&^hTYPuf?PpH2SX%3mo9Jfd1(WX2 z-Tzig3#L62V;a-o+8^zHYFEo2pj+FS`y4(WnG`(3rih_afw`B=`v@FmB1JdYN;E93 zX}3v)Qz8?fgbS9Z1}kl*cCyiFG!X|Y2lvP&(e_Z@mJL1K^z1^AQ%=)D=qm6qlt@=b z4KZo8IHnfrUV~31xYRJXN1s@TZ7q^LOfrW!QXO&5dFHs4^{h3wpHEsDY#VMO*|x?k zREP$6VPTm_6dI0tT1IRVT$C~-k%xOkVVrYsRgv!#>!GxPg$V(Ci9du z?mAjJS!#>W)R{BUnKt1UK4r{soJj&lG^`tqDWsWEWF!|<<2)j?JDns44Iu=Wg%PFg z6!X(I4U}*jwW1IuOqVou=P5E9`~>ag#u!FLiCy1AjXA~GZtkJYg8`*#+bU{a+j-aD zwLbQN+aN5SPsaj>uHOWYT1f>4)xai>Eqn&{{AoK!q2{(lP6f27zkxdDY7f1{&=i$YZ?M5+ z2ZKr5YG!uTa!)Fc$_B?!OiR;MVId@@EaX9OM-zbomOQ8V`J0JI zwhel^5-3;(=t69j+X(m-uIiiU_flW~{O`6_Ub$V*t2E(Ww4H#6F6Tmf+Q<&Hy-w|w zwl2lJZUlWk(gH0G6t@%}c7~kL5Be(AF<{z~Ld%tfqm|#_$jxt+? zZmL1qVvW=o=O;G^Z4Xq?tcn(R&gr=*4I zV#sEas`SHVIWRdY_n2r9&lwy4>kI$o8?7JzncJ0*T2cu;?IHt|8KazTS+Z6vXU$sc z2Qf4qM?u9s<7$@bp5Z~!G6g7@GnN*p-vn>%VvRBPjISpwuUXK!m}}S)pnVjp5dw3L zs5(Z>^DpEhxN)ueTAkGU0-izu&>OW8a-~kOtp&Kp2LwGzESM z>vS-J=Z%nRRe}&G(Al-goJ!ILni%Xb3~a)A92Oz}`hWT47e3qCw-?>o26f)lLAczU zJYuieI(n7PL<3nWc|MGs=01ms5!0OL77wQk8806jYVtRYgwHTDVYV+g(tGz)tD8b@ zZ^Uu8ymwxHK33@blUVtpV>b4ClsoVsJz&nHq+>bBGPZt~o5@Xkna^olSY^65yJCF{ zD^lb+DlH@#{047IlI(P zS=Q@!GF`?i^Bb^nm!1iO2cmY-!3T|Qqq2a7f5E+UzdwAw7iqE(9gH|JS?z_2ZvgK7SjW$UxlLSaQKlPM%+ij~v-Nx-Slc>0Uc%@I%eS zuCwAnxOFs|0{ur#T71_0=2_s^P5V*ZBc z_6I^te#a{7rx5}wejG-ai4GDq!ncmp}uZ{y95yJ%gt(UfNdXrQt{E75}4 z^pMtVw(z3-90nT6xMDhEA%x#{$)(dm$eJ0N1A?GP6Qc|W$4d*5HguuEF_#6u3v3;p zq7uBDpIr8az^+1soUEMcMkU*KWh0fzI!uKx#!=vm|p8gXgAedGD0F&;ZvK z66;Rc0!{`RJ(J={m2Hux4-S~#SW`Q+E=oi9kPG@CoIOGh1!_)?uXvpdIn#I>J-_Ud zm?uiBXRtNsfnXO9chs%^V&K4KMH3#hT||oGksCUG)T#IbjxuK~&(EWI#a$|a1_JH9 zmXBJPY!YR+sYhU3bs-v_qev9pCD+%48&YhS@V%fepX|;f$Ht0nV6dW1Q^Eu_KNtSD zPh8*b{>i6qSJ+Y9iveqiphgIt^7>6Jw&Y~UtnBG~+~|;rp0b##r|p8P>Wvbq10G@& z@wI?VI?@F>2rV-ZTDB1ZDqN+u&YDDF!4`H57Q2C}b}^49`>7fk4zzg`XNY&HmZ7n# zC}12hMQ2V*VRY#(p#{+frnOVypn~NYSr@5c2)E_i8*HLld}7dTGRFf2L>p=po|D)^ z8|OI06`ZiLK_j6ay58~C$KUtLZ=zcpryEQZrIw(1@vQIZDAYpB*x)qaFq{SNC*d@G znu}1M3V{n9S*|M02wJevI6#}QVhvgx8IH4TSX-7{&^XW*m2IX0PYZ==phj?mJ<|;n z&_Ga*_ROn}uI0UqVc3JZKMrsxt~qtmCyUss%Ev73a7cr>W`ax9HJ5b}qijtX*h1%g zzmE|o0qsfC^c$s(z3r;@t#F(Y&y5?xOu#20klE^vcwvQT9u4(sdp)5u082gA9{H5oK4t0* zu|#C6Vn{B|eKCf1&qH8)D=ts)c>Y3DA7VsF#TVOR@Zrb+*9{&aHUh5fz+>zQng`2O zK+_x}C3U~3O-eoq)guZM9({u>r##|>seb+;oc|TzW z+HoU@*MNfK-L~qujkY;$p%SoT!bNK?TI};l(STS+n;ojJhTK}5i#%h4Cnu~%BcV@N zOCRTFOoKx(G$U7zTg50BB4`s0Au-WYiO6IC%?kZ37Jo=u(B4t3W-3AAXvezQkG%bD zZ?t~s0d#9y#@~oq973}_@gdeB3)YG=mcKHgGFKH|Pe=tqe9Vp%(jB3=q-VDB$ioM? zYDFxjRAYY-`-O?LVi-V)oEN&Yk8V0<3deiiITGmc{A^i=p!ztL18-h}Q3b^jV6#k8-EA$O(DC)$k5} z960*poA3DH1FcVg_cjO+#5Q{V5M!Fum+wcDfO&i#Y&4N~E_!-n4?)dlfIcu0YXqXF zpLI3R9KRiVbj$>c-c;n%p@q;H7Zu$J9-c-qv?*!AB2}-adB89s!KO8R%##$1Zxdb9 zr*&eY%if{tT@p0E+1AHFKi|Lx*HM%Cr=T_^_U!PoY=CwQ?gK6U)(O7BD}yo#FF4%V z#IlDYE3%s)9!aO0E08!tNF8C*I)mnDInee$`0DpQ^39vSe;TcCYLI9nA@~&xN{Cck z#aasbE?7omjAX@4oCwcDHVfgmqJyyGo(fSG{ujljzyo8TD36VkkVH=v?tAh3*} zv)ogH4d$BFo zRPHxD)Mjt84~j;+Av)))0IiM}`GFgKK>}>o8Fx2y7+LEzcG+2lFa{S&Tb`YEzgK?w zLb-M2k8V{DRDL4RPJ%7l4Q8auA*vFrXC)uNF)!#EFMm-+$Wbu$bToax;)4IRML*{3 z%>4)+g%Z%EYA(p9=*X(6SKuyWQ5VY3n{iNRr>1H~Jm3dSB3nGgD!`4?NH=K~=LBmY z6q-ZhJxiLUMZOX3V{?WaLjavOC1k+VE)Gy8^uZ)n-3`7WY-?7N zD0;F7muyRM<-|~jpYdHtVPF9oKs@)$_~r-QYx!^9s;j2QkVczx62Yly9GoLGW{pwW zWr@Hil+u+W@gSq~Vl#8W2{VPI7MmbI1So2V9DixfS9HyB!M;prYKQ=hoQm9#6|GGX zk|}s>n$-v>YnB>Np0+fXehOrn59B(Hw1^!8gH|kr8hudlkdE!+GFe=3z+T4}E_IRu-#9Fp3Kfz8)BgxdA|M1~&eP~k=-P$zj1z}Gk z_H9%;+6e&;*_+_E4QK9grE0+~Q-)eV$|CJZr>U5ox!7oeMsQ0sr%t!g#Id2((?xrz zFHcn~soE~I=hgRln!c{(6Jn3rE}8}qNJv-x0#)KsVS6St2A%~A1)wMzOIScmX9Fw1 zleduR36<(uyPf%}jm1%-QN!u6RegTiQqsD1x*8z*28@QX;u^1!24>rk0BUBs48dwb zYJdNCKl%LET0j58+qHWszWUO&aiPz?_E(a>+4dzfm9p)PChl51d+}{Q=Z9N-gMC%( z3h$pSndgif(7@UCw}mysJh86_7ro>wtld6T$sMwn4&$?Te)>)_bi8@tqPfHC4etr> z%8#=r?#zVG9WC7a<7nj(>O8!&-Tx;sb}5X$@gRNjTWqs&IQ3iXGx=XKVv+a!n?}PF84g1|aKmW>wFeSC(1DyR`|JuVNg~NpHkY6IbZ^<0>%{M1;``CCNf3TA~ z(6al~g89soH=O4ReX~)<+b^j9+Sd*pk{xAx%p+l2RZwt8@G>G112 z9h*!a9=Inx_$ACboPlfMx8?G)o?U#sd*=uHFIWe+Pj@GueioZgJc8G9$4WoT{#|#R zXRolriSE3gA~()`b>BCyow)xN{*br*5luzkf9?)rSI04P6PMAc!4I^Ccfab~_3Lst`IY!P_R*+uP zc;*m!@#Cu_;Hcjee2hu%m%*z>eEyKwz#GCJfx@DIjE9{B5De&_|md1c`8r)F6Hjg|L! zU+BLvKDm>7?_BLY(nGIJ{!CAPwU82}_QP*vA2Tm`FXC_XtUmd;|DTSp-od_<^7E(C z@3il;ci1~0JMz@1Hxp++Mc+)naP6MW`2)8e)5hHKT<@v-x{~(v%NNAb;hjHAj$i!U z>34j2wvalUTG{{epZ#v1*!kJ5?>~CaiHWU$zw6#zAAfEyxwp5lTW%*$zxUj!FL#T3 zvI~J!hoVUi%L}GJf1(+oHq#?JLhQ|LJu4=*TnX zt0MiWcy;GD()szp!yWV4=jTkmx?}0DAG;%WhjZ6pDSi0VSLH(K+Y`~{(JQG7CfP3E z^+o9(dg7*cm>l~+Td2nWV?%-?qfgG7QbE0i9 z-rLsu*Gs=9=1vv2MGTiL#$O8LYCPtd=7;}xFmjh{0`_FivdAIlr( ze&y1!zkldDAL@VfgumOfKhQOKI9#~NB*QO8k zoh?2s|DMfE-+R2Xee6)~(!GVRbADaUow;0isB&WR&$^O_#^<{ZhF5b}dM@ppHGVMq z!s4H`p8MC^u5I?gn~T5Qd2M?5$S)k}EWBVY-@X3`Ik@Xu|8TtT_+j%M=DA0z0w3=Aq*!{!yjlFr=uWXz+WwKb=Wp~4 zFaO7n%vJ6lp5NW(+>^w!qq^vKNfzqeGX7U!1sKKQA= zb4yRWYyS5|^77=ZV)|Y4A1iI^9yXqvKXR!1t-rYR!u<1xx@YkRM{kaPEcMQC{~h9o zqlZ%uEna%$hofIg4- z(*7-5=?{XaDHh!AEY6|EJL#zcbu+$NcY)?jQ9Ij=%b|kG}vVxjFGMXa8s( zj(`vET>eG;!u*l!JC}QlFRUFgens5a_6ymsv>Msl9Oye0c-f%|=t!F<`PV|P^QlwC@Kj=rIeRllS+zdvAIXdz*QO&LL)1-vW`y~Cj zaEut8m&mcvmE>slPbt)@?ZJh-7Cd+?U-E{`Y`*)VpQ2E!nYk9*Ec~W;1|*;??O1|# zLSe3QVF4$-wO*SVIZ1E&Tb=W8VSD;Z_)OR(GW~uYZBnwBD9=QY^;Cl zqY%XsGVm^15-#-YBGm;!D$7pTR0H_)Xd#oRK>}xxD?mMujx|wI?SqYh$t+H2ud@h_2?KIv5k zH>C~6d5ApaEYQvL`05mi3|8-U zaGxQQGRnNesNQX}*hzS`;#-7%Fdkp8_g9=>6%EyT|4`sOZR~oydb4ETnEyOI9v!!7@A`V0f$ttLtNCXQZ?!}%TnVzHOgb;o1R01MDC7V#j!&%k zB??`I6TyqnkhW1IHZmPY(+4hr0mD6&^fQ$D)QU2e7T`#1a7^eDwIVnc&R*G54$|O| zOjLp7_M#q@nPr=Ni>WXB(DA2)DQer5<2(qB8#eHI1AdXBDeI~X%fVUCSaRM1UqQWh z3lVa$IPLf*r6UesZsX=<28l{Mx2&@yRA2+001uO~Fw_$&#Qc4f+Lj$A9KOS*y~NG1 z4qEYtyiA{gmW@u!wfv7Q@@jEJz{2=nY@zh?KU9q;FS__^8FJfK4rqP)H@nFxrTD zhxoiq>x;hAL#Jr3?ckG((^iw1puTM;sdNaAPevzs%8~#u>}TyMcnS>SLhbshY&`j(I6`G1g?2V zgfmpxXX{O3i$6-r(Y7wvoMU8hj7BQm59^+i zj&1$LNfR8av=;YKJuT=i$M2D}49y%YMj_$9Mj;K9^^&5rof1rQ0(jHLLr4^U|0`e5 zyxjVSU{~u~_9=Ud5v5pm9}Va%Fo#uP8coyU95tOtt42#2nh9b%b2M=;^&3)Jf9%sm ze?VNk4O7HA`c%_e$H_|@-97--0G>QAohnqpEe7=o>e-n3o};EC$j^F|NgW%uFoZ;Bb4Rp~S>+DyCD4s_j9UZc8( zlR^}3p`}Dsw2J(>58m^L{^r8{HAYQYYBz*{O(Knh24BL1R{$2>mv=#`sfR)yES(fi zvkXA}=?R^K+eb=2??76Bwn)c<+GorzdM=_V-%0e)4Sk?JTg=LyB;hrTja;+@>XF`3%m~GER&FsJ>x+Z%**D&Wf*B9p>Mv_W}3?e&?hVe##DE7 zP&SlkHNfY@S?CW<(n)J7-u>tA|JQc}uRMZoZP^kdCLrSBP7c0T%vCWNlqB62VeZQr zJ}X4C?4g)%l3;|SS;{_YmrU?Id=2j2;-)N|6fi&y;IXuQbdFa#oF!)VN~daevC&|2 z6?{!>Z8fd^Xa<&9Us}=CY1(VS!dDq_xGuu(x=Sy!9}Q6`2)E$P8lY zensbWrH^VOjzM{rwdZ^=vd8uE$r*bo?C(G{w!v*zoZy^DcR2PyGi4aKl;?{uAi^lj z3C-N`e073!2(t}Y5gtyo}%Gi6!Ffo%hkZQGE0;w|nya=pX93o1WZGvDnOKrqo>n(wY zg38R$zci+Evh|}kel&dk93*Aex9sC$xyxATjZ(58&7CnsbaOtY_zRu9_$-9Athc?2 z*(|8=#z^siDL_Bm7MF~)cjwxCL>Ij-aj^ zm2!)F85#16@L(l4EFCnA{RHl~N@pM|46f#>JT0?PJ|peQ-MH{IVMI-&5ZZUprDGxu zE*_wjQ+Euu%cA>_@AULyZpCpCIoOi&N@48*>Q)DuUs z<3(q~MpCw25KFS6Py6k;a%|`gG!u=ILJ&6Fj%h7&h<;5{sVXHix)uA7c}QrOPFs~w z$7M=C_*rj!2kD2(LF(*MW?WaeUaSpU^A#$&SgGP4AilpJ0 zg%PJG(T|0f=_gLKY#91XOs;+Xp9jw0{FObo=mK`@yDM<=h=4P+!ifbidesC!byk*R zU`P^-t`a16(l`Mk;YE3g5JfNPEe1S;b^vWwY^Dnf8)!&9wF(tzC)+hcL={ITQv|v@ zQ+8xlh$D2n(c_gp5EQ#PXeSg`V3_VMqLXru0Gz7oNjpkr2FuU~WF&pKXD~FPgWQG@ zV%Q+-6spRn%j`CXG@b&B7wwlgt$6A)a*pfi&ofkA64dq5UdI&k@f*a2c3#O5V3 z%V_x|odOvJkTp;fh8O5r)+JkrvH-1Oz-%=s!sy&>8O13u48#LAT~bvKUKwIx;Ru_7 zv0_4+?LaX=&fp<>w1%9Z5br>gw-IaeO_Um&^&;JqjtFM|4n3w!30_3$y#Ufvbg(6Tbj^h`S0z*8L_BqT~;lnYpNBT2N2bD}xd;efVifF>Sv z%UlW9!y{kh#L0_^JAF7+20XiJUvOdYF~FLI_()6rRSQn{VG_xwXrE~CmAomlf)4UP z^nf(V2@hvsD2zig3-nSoGU7}R5H;rOoEvfOVx&jP57>}S(pNiqdIzm)u7{qw{>XuA z|9JiuS_*SU$vRk&W?BZ1Zie<+ALe2PV&DXuC+l!+m3Kh@;H-E&DWO$0L*b14@GbS# zCH)Y@^_mlc{_H*?GBib0y1oJKxFeGS}?|%2>KYZji z?b@f98TCRKM%9RL&IChS%$v*R95meFGXzK0Ni;r8B61`I$`wKh1EzO)LB$v%aED;G z1X`XTrzV&VA}T5y_7J4z)CKx_4#Y(xnZi}-jrf4?Vl29(9Ttbk1!tbzQfHM5hp9av z&kE;pdz+z?%M5sH6{4y_TVjWAaj=owrUP&S2{ToJi)VN_n1Pf5urNxl728+?9m6Kk z+#7aER*iRtE^i~;=))bWWaxr_5Jo<4zy*B<`nN%)RhbBOJAw~}GVN7O)Gl?fs!HQM z;HL`l5cj|VR(0BTx*(fNJQac~o}m}orPKQD8*dDr{NBg(TM#xZOtBpXU$>f3h`X29>FE@rb~=@coT^)_6113vL=KeGc-Xdz;1*~2B~pU)3_QE>2)H<&g0{jK zPC_Eyh0hb9)gGm#qy)#fIMe~0gJ68f#2ML#4^nW9Al(24*_(&(GAr_n!{nnN-ik&_ zau9Fk7O~aOiPGE2P?s<~AD}Q{wpq3aOO$c^1EpId1CB@o{08#EWpl*sa+ zk(;GzW(ua@RC^z|-!KVkZf!4dt8o}cavY=yUx-1sC7PkFi?V4!a9d;I2yVF$pQYwY zkOb{A8zF7qv4k!T8RkpSWEdcP8Gug-2e%|++(5_YAP+WfZNxAP`s|`|1d=CyCKW|6 z$i_#iyQ`&W_H=uq<(fm)uAh*fT1Z* zVcr=xb(XmsbF~vaxU@v#ulfD||A>R{R+%KuU=$T%xsmrT=3pD-6 z@(zf6#+{2{WZRvXmQo_2{}Zjk^SaMxb621_0fPs^j}MVVXzYqceE&*Ze%7-f=Jb~j;vkVyF9OY){EWlI_uEbMJ92R&R8TJfm znbUw&2B>8jHco=vfTrqqZ$afP>tNR4W-wr8LMDoX$+AobxTn{k4PxW~E<<7k#%I~` zy7@Lp-b>*WjtS!o9Wc}bg_BxJ4C;{oD4KS7{`dQS{C}RmzU}la*cukl6|w?6f@U#X zrI+@TL{4%6>9AQKQz4FrMN*8%_(a~w#afPLD4&m)+l)lsw^Q(|sJK<$xfslr)~M+U zHO8Imq*{?};RSoU&!C%V$?TE^qYpxJPso>DfXp%6E2($`q)SpFEIi9?d&F#s_&Tp% zF6Yj;?Rl@oGQ5#DthG+Tp-pI+iNokvUH)? zP78TxJaPRh^`TOhy#c3p2*b_1op^ewvnSI_=j5yaSvJ%mRq9y3>ML)PAekYL2$L{Z zbK(kt^sj*$06Azk%qb(zjsExk=xA%-6E(g~xmDy!&?nT%U*+ceO=pWp$}8qtkDpFf z(^T==Zkzg|BIN-{ZoLGY8zO$A(ZXN%{R$BED1Tk7qZb~I282-&?aWNz9jje((YRB} zwz(^m+=wA73J&&2AtK@8ZW!P>o&O)id(|UXXiSzswEYhtx5#dCcCnEx?Ur=J1dKxO zMioioO!YarbX%OUK6*?5{|1CpT*s2E^@_I0cP_yoXcNONL9?_hdxZT_7C^{&j9;z7 zS*4)9jJ?B@%|YNugXEK*z37tC4*M6ukI%`89=gbXQ(!jH?=;4n>0Pp9&PT%GYoOyH z>1{R|_W#A!`^Uvm-}&RS%aV{^vNH_JfCT0}JG;#CQ&x;?jCJPC0KC=)XP8|ELLlIpVA`rnNW`BxQuJa!y{oJksapF9=4?K;JxfF{y?VWakcd{> z?`ufByFb43FfO}0%r39@YhFK|uh;AO27soL++yMc%m&?8FDx|tdB=Y(bsxMURZA__ zLmH@K4a4Z?mIF++Q<*AZpvpI#h3U1%XjTXi5h|Pq9?S582?Z-bZN*GAPF9L|3`%={ zWYRTL8EaedFloG}dq`?Y(Q|Z~T{Hj~u^IRfRYW>cEG0o^aJQ<)7M(0Y zT({njuVuje*@ts<1ei!aF65E$U@@@58#R#&ZHjG?An zuvWWtZdrsS6j`AgQl}KQpVh*S>Y%_p!R%yAO9-B8w#T%DrWj2_2pGw-9Fveh5R@<- z0zrZuoUT=?Af2&sMUbmkLQxWIVY69Yg>=(lM9ej?`|9NN2ofWbf`LCUhG#i}tO8wx zmMa{*tZmy)coKT}zmqW#D~~YOl1E z0#vI~Ap7v33@MNTMN%YAgA!-Kqy>bhS7K??G={UY_^EPP^Jl@B=a>maUD3xQG_F-V zYEyqIPh0jX>0MY_R!Cmxk#;U?q!|#-_DDPiS*F9Z^|Z8Kc1fU<$*DpNfD@IWXAR2h zaEX>PsB(P>q9Kr`F6S|kG882{6>2S-Lmmzb-t1+~9HqsxnqVqsHs=!`RiL~s^CMVK zIO82~rVqdbM#>fD(B0Wu8z|@UU7eq1DksFb@ZBdEu!zeVb3|GRKykfrcXa4R-PBNk zYE9s790W`OE(FO5XsCv~xg@~Ge>-{4k9yMHyQ-lOP(w6mno2V@DeOb0v4p58m!2%Z zfJbyf&~NJKdOllYV6di+fs7LzplL6|Ih1!RE|`jG-)QI+nKpHCQ)o!$@70!t3i&bx zMl%}RaMfnssB^}$j+#a@(XE#=KS7YKfb-!F=%A+HlJ?7B_Iy>v`O+ewp%T{@Z|WM9 zn+{+woVx+92W>S|AgB+6x|+5VBn;M|9D}|hPNlPSc?Qbt5d_iajF1Lh@@8Dv2`wF! zP-Lj*geFkfD{@{|*(Zh3>`A54sRKA#ji!o}8mYcNNjD$Ai=mHFvkP_|27J=k0uJg96rX3 zHE!Av`A9CzTrELBG$?18AGH>L2A0#zS@h{TGklCOUN>TrwJ6Mqs`MF}ZY0eJvZ-7D z76s9;L!#84D-q-tDW=UDscU7vTzBGRk1mBF|KppNW!Mzs!uCOSnoUMQ9X)DLWi>R5 z)+wAWX<8YA93@BZ*0}?rXz~zXB&HjOnY2I@QWT4sp`3-}?@>_1O(;xKTf(SjcnuQ~ zLorCBeKjP5y(GX=Fm+0kD;3DPAkB)Rju8B^7$E_s&%qdZc;;@s$P6c&YxOWJ00fj8 zHJK}H;9ZQO-yy;6K4aD(7bu6GpZSBp$uHJSZU06AWn?O#*;JxKGIW+p>PEFbY4=?X zE7j$&vYkoBw4LyQ(N$(1%`m9RKE=zuSx`7ChGfOGol@&w2#sVOao>cNOG=Ch=2Og6 z9D;JSXGO?p0`J5(b>UgH$&gKPsYMb=|)9^ z!QePfIY`r~bH@F+fEg;oY%{r^sg|S(h6@T}V7UxS%cf5HxNHfca>)3WgG>qx0K}LH zn%9?OB0N|}Q6T&)x*5(2Rhh6WwG*V9aAOjJqLov+D+{8e4m}7nrSD$B6d}^&@lYaa z0R`1Y$^6RnhM@yZkImncdCQ;wTR{PK8!Li*@`^mKm`^j)CcbD|Pte|S zrbn1qww}e1QcDnXPC$kN(uLsNjbu>Odzt0?y-d#r`3?b8Vaiu%HU&Jx>>#rZFr*(a zQ{~JdJjIz{rYh5+CA|2Ji%>QW&={GoM znG!6{f{XM@-xyiwiy{BFWJ@T!X%#x5FaS2vQEggT*)WlesVs=7z{*|;Pyc^} z+qW#-^|(}rF;|c#!rY<`7@H|#)6g#W$U#2ZD|sSCJP1_!CQ9`}(9c2aE;qw6sX0^2 zwY+AkF^uWE`f*k~0$qREU~u7^KM5gLC&d3=AC^sd7b$NbQ{Vu|*Debj8u`EsIsg@t zgJ=tiP2-s?@cYemx*H&+{3$>$>-17cBR245Xj$^r_$H`IK)lh0T5B4H2A+NDZacTpxCXgT^L%fN)lB3?BT@7P1b^a^>%(Fe;*y1ZH{XfxG6O=W> z5N`GBJVdjQ8W{VaRGOjy-0ak#*bK2&DKZ^gOj{R%hRhxg@&JJ0mgT-*0^6ZMP;1&{ z)6YQy_NbyZAr0)t0+{Cda2CW|;T*sWz?~1fQG>E*hFr^7+!UIsu7;?xDQ-p%Wmr>} z4F_0fxNC%=n1Y)ixGhp-t(eKbVx8yB${?8MKKy;&G#n7&uCKI5dW6qE`rEm`&z#@# zjfwt7;>(cde`gHYfVz)^ot^{O)3hEj=A1EV#<`2jxM#=*D6;*W^bPL$jSYcV7y1<- zxVqv5o4Peq<7?4QmzEsPWS5mV7WwOl$Xo`ofnCvSnFauWFjCf6(4S(`<4LomN zj(D%EK{Xb@ZeKw{FW`L)gvLk%EVM#N0T^m7#Faas6Y>%;-gBt+5MDIzfu=6#;h13- zQ8y+l6o`}sw4AQ_>hSV?V4|g09Hn_9m?3bRP6k0Txlq~ylM32s7Pi$kGYWoFx?+2l z!{9AgGtB^4^QUkQ1|;81;2u@*&;}ih5ac3ok>%T=RyQ+`s;jpHhTFk3>To|S8})i& zso^hW|L|q}YBFby5`=}1F83i4)+o%Au>=9Vihz1r;g-PwH!90L2Jn*w-U5ayY_nU~ zE3a7$kuqO}DmNU`)gKh-NesfPa2ZRKFuNQn0$=&b7L{!vCt|?$!XYJUpSi0lL#3GOhs5R zcn{9BD;X?Y)FNuIdW3LgRiOP84j6$qAK-mU)WX725i2pt!Yi<>cG4!!zwy!I?`4LM zFTX+%mpl*LFgAW@Hu#1A&O;~YV+%tk%630YeL3^AKd|`9%Z|gZybN<1iHj`*I5FQc zFmY_3fBe`&;zZe=hXV^Az)26c%6yfr0l3ssV4(7)ef}@~-|?LA?D^!Sg+sr{3$zFR2$w2P{NjVe zhrvY{U=hA-`F;I~8(x|@vOxbL?}LTJFM>1X35U&-PFH;xytI4yq>ncH7g|1o&r7@< z_{ih`jVD41!ZZ^ zcU~Ge^a^~XW1h>|Cysd@X}O$up}r__0uRl{8hS^f5SR^E|$%?7oGW!>*#h;bRq>TfX)*mbAbej^_c_ORyfe~*DbalTs~KaqmgbMu5Rm`0?`z!^h_`&`;5=utfDnJSN$*A`5b48!%m zP#QzZ3=9#>N}9M~MSz65 z3Vg!3nuW?fv^ZKUEV{s3y=AzKPtf#x)Kh&Gx@&RPI6HFmg}dqi&TK4ocECtXbI#~X2m}*yz=xDm z5KPQ7n}dx!nl~C<5UzFZxjRQMMfc_J{tR7ql`xGkZ_)RPz7WWoT5vo~qt0cYntBR< zr#>En=HlF~;X+#fN2r`Uxnbr>)88)O8{_;T=b~PYzlGsF=o=h;MW4x?EUc_IMK{wl zA9M9CqCy21n|O)K`(Y|O)r=?Xp17O z(AW!o@-~jOK*0!XmX%|nl^!XYVqOkP^>FaucL-?6$Hb_pX4t`~+2~TmG{Ip0cpIA` zj*5hpT>+jbs)I2kswk0%&l2_JUxdMbOk~)TQQ|x_2orh+_T+;Z1ZxPtXjj)T@X25L zfBob{=F^AaidPR6u1EmWBADD{R6)SG!y}adUjP-i40gHET*9(u5y9djaKsr0P}G)% zEnt+JMPv*eB&fAe-Xl76aGYS0GiWSE_`KW|Dep1Gf`XB^N^h6};bCE|R3x$!LJKYlC7;z^R&=5jtwAt(;+K&azd42EGU| zo-sBrQ!U62->+ZT`c>n>OWUHR7_K@~!dzE4Oz--?j%9WGpXbXS^M9UR{mCkR^U`u4 zwfw}we)HP@dW5T)VO8{hy#zmg{k_It^=H0$^Fs`kWwfqxNmdEuLu!* zU@p{pfY+~^otV|b?0oz-L8pYg%R`n|Xcua6P&sQ^Z#Z{urhjJunsZREzQ=`{Pt#m&+{)8uxBTx=u zgp!|`32vf$g4bX!7|w1OaY#xPzC$M5DYZ_awlX2;e+K4<^OeUNP%5Vs)dy&jXKE~> zoH9y~TnA`QDW z8s4fF1Joraf??r2I!)`xMg&ewP+FR>3nwd$XHq@%$X{h{qWMm^i z_jN4>#U^rg=wGNHG-|Kg%5?LzM{eV7u1!Hmo>^caG3;J@ht@7nb#r2*a3@+#R{5)_ z&`*w{d`j8g0)|mV zc?BQK5GP??W-2OS8#|vXR;mY}DMc4E_|2+VsgxKBD_l@AppSuretFIbQ#4gs2FHv@ zPHu=3Gu%8-+3+I4rPwYEWGuh{7t~>p5dH?R^@?;2dH7hAXb%!rMdn1_VxZ`n(lBah zg>c!z3XJ6$3u}c*Cw7G0p^KL{Rk-$NCce4Vk=$d=gZ@x!wV;GVFR)cW8>ys(#m{_% zFveQTV0cSUBUeZb`r3xpR+g~6uCxv79)c+42tQQTl?hBh{jLTxmVSX%SJP6E1v3r9 zUay122c>oagK2%dYHVLhq{BX%H0NwEq}=itAzK8{W|Y*7LGc$OJN-HE^T z7iTu^g^QbqR9QO7$*Z@4JLC+Tm?VWbmk?=XXR#s(VV97!YvCwxSvsDO6>&%$+G=d& zVI}yvdhQriC(zT^*Z=~nBe7J&ng|_~ zfx1i9o){FwBnZj^83Hhg_*m4yDSUc_i-hYZX6aMCm+vZ9y8_;xcBfbH_8%elS63)} zC(S5xUTD@lK2-{IC3i?ewTl0RY=vo$k95J7iC{ZuT|BD_Gk9I zu@Vq3JbNxsvo#Beq*=P$OrK} zu9yn8`7hJ`P1+kcRS6TS_tjwsAF`7}J?)_)D#zEuM=Df9nHgG?yN|p?iD|~3oJ#g~ zw@O|f`z5!CrroVo+s}`N)H}PnTGIWkx0R+GYHH87vs;gTtK?=S=h{9lu!|ubs1h9_ zh2}WYeeOu=X`be(@7y`GGkXE`>di6zV{%<{HAl6}Eqz(ngdVI4z)Wjr^SBgvHFpgc z!?ViK{n?kjK7VPVI_xWehQBsiNY>U*iaX=6j@;8V!lH%_@ZUaGRjy}i7Z2=uu7{ss z*1ykKX?mvc?Mr`q?H?9?_+M96z$OcrXRTv=zEd66?K=+W;)HtndAvhuaxm5!rnHmO zvI0FpZmp(p@8uD|yED)a)npuu&XKi7muIb^+F+PR zdq-C}x33w|IrkO{ff2QbKd%}IUYl$Pw^s2l3DuGkag!SKbGEt_TZN%@J=y2sWIR|4 zb)nu_JVv?YH7=SKdFA$ldM%l(!t!xwf$a+^x;M&OG@Tuka!?N*FKvQZBq!tqMY47+ zs^L~q5A&nB(vuLU_)cNy_?V$*d~yW9Po6#-{4I3C*HJN9yQpX3$?It=DwTtE+7pR* zT2o{Iy5VMrZrSWYKce!TLAFE1lKkpRk2^@_6@IdZ^R(;rbE&}`x7(QiY~Y1|%d9

    6`H(Cwg6 z?3@y;!QiEkJxp~w2kjk_4SKmsEvnART+KAhe~#%Tg39h>QIg{9tFaeuZ)-1%@wVzY zwJe|c-p;Rnblu1Pv!|Qx2w6D@bp>OpHJ_ zF=DsH8AMuv(bj8Jj8m7pUVp9jsuuK0EirF1D-#*KeefA-QZgLX4Z;d#tboE8Je=-a zji&rVtLSE-NS+3L{S~U^N8`a6zUED?C?W|!L{(KRYl_m^&9Ns1f%hTkppx~oOqVF(k|Xp@w94OSS2W_cXe#np}gCl`%G@6w~*zNR!e z9mtevj_*)qA^dmz9E}7&uPAw(RolL@w8p?prATb3HMmaARvDEH-=tlji<`7KIp&Ry zGSL;GfZrdMcgcS03_XIbQ#G4jqekp7U0{!F_H`-zd4y40cqWuyEukt!pzMq-OUmGi zA&RY`Gaotk)h)08>-AUVnvyfzA~JMt{^*mCEX^b>lx3qNf|JEF-6-gZbJ~JX;4P!q92n5 zkx-?uoCkVC*$n#>V!cI*s=c1L4em@Yu0)nPqy^d5U(g}ZZ9e2b&u9@ zJ2z5wNI)036>^B|W{~h>FXdcKwfcLuMLU$~gLkn89*(*PN50g$2ApLMP&~kuAL02IrXaB(d1M%CAnwFhw%goIw%|^Y`Kx5jy)nwI83X_;(Dkx*>Ae3Kf`Kmz75Sm`yv2w@$qftv8 z>NbkWdU-r+-knbq4<$CS0(soC!gL-j|^&g z^{MNyGPqr3&c^~;#ZdiKqE;N!zo=1!+Bbv$y!H75o2!+At4JpNja55aJyf_>`EA(0HZJgTGM(J z#yTK%^O-z9u7+=u0u*3sKA<=F4{U6?$shoJ zjwU6-nyvcmmDF_spbZeBHab$zTV-QCDgZ2t;?UzNkgFOU%1X%cKCGSyE zBG`kd6_OZYBpLzwO&;K&5UllD6|zv^IHlJp@IemF!dkXyE`xvymtrN^)e4=i z02Cxr)R-W4=vGM(B?*3skO|9eipJ<%D7vb;OR?2*gb;AaHr}2mlPSBEMG|p@=RyB) zFu{Ixv{3%fmH+k!;>uy>uv%pdrO~!1GT^n{^$d_|MtKX*uF$JkO-6)am1}_H7N&!N z*z!?fNVGv()hdD{ApKj73CLh*Nw*s?x6Z;P(g0En0^})$qZU4Di^5zWkx^ewbV{YL zZwa_GlP=c+90@&$1h|qAyivm9;?uB}Mn$%xN)gE4rvPJP6~d-PIl?kYu{9QU7V(-1 zfD%E&UPIVG(ab~rTm9cIm1RD4dHERu2qJ-eB2hbvWwKXW!!Zn`S1NIy>kukg07#Wn zTh2psFRkSZLvP6?I^TuTeVK~aVkfNkQVKUI<{7C;zNm+>3m8aCJOuxyNYDy!xyJvrP3f7r4XSL^^7WUn&E?pfIzNoo7P%(shAS+;OQ6$bhD=KLgmjSyD2`P}c&2BF1&>zwO=S{GRks1;yVlB8N zMNq7iod}WJg&n%xs$_Ai4 zc(}?&!=U{PaYjJCZ4}WYHi<%2OeJh@km2JbejKS;1~E?Kb%ICgG(6XE&(MUex9?JK z!Sw(A>n`{*=3%1_Qa-GUM+_RqA$izPgZJ8X2?%EcQBIy}P}&&E7AM`=aIQcqz8D79 z7_0I+pw|R|S2Pt3({eSHWOV|ppiRi3qmZd%DYQWqILWH8IuF%=HZ&w!*-C{GL%be6 zMQc8$4#Ax&Pyu_JYC+iwcNP&=q|36(ImrwuYO7YAPF@9FB8bUBwyIyU!|p(^+!m7I zq82-X0)mxYjg-AAFv;sM7G#U+N)iALH(0)^9THAg1Hi^BWj36>_^<3jh&8W75UZ(C zA-Yz9y+K$yO|?lZm={4uD>yJlptfK#2~5p_Z((ZFRKT1#!ll`05#nx`!VckRFj~n1 z4X{oVn(ilrW~8#9`0%T$-DWtsaFhh@^r6=Yn-rxYnjT{$D0HXfMZFwr?NcHC*NJqM0FTipZM0ihq*KU}O$|mRq8&nhV0X88oUlm?<#btY6hP2x z;ctc6N`lP%!Pl35aog|Tgs*NMP*CuZE|Z$c8q>jO%R3~5x>UOImzq^KC_8P5IAJV= zua_d-xls+=3((ucA5E>(L<+$I!nplL(hc`9^}L!vGMZW>iFr20zE))@hMQ)I&`Saz zB_<sQ_4O9o0j9Or*QmZ(8+f`_5_Hp9Z(N9{9`OdA9WTxWrnuhlTr zn!z?#81d%^5Eu(D6k>Y#=>DH^YMQtt{&&%`$+Jwi7(aoN}jbwtx&s&UaxS~ zv_(+)C=X4^;4$o=fNOP>fYDA{u4=%R2YfS27|@amnlM6}Jl?WRkB-8wBtr8+qF%MS zsX-P-I^g3qf?J_OW1#_Ia`ddCYuG}Xbw&&r8MrWoNQ19PGfY|@+zN&&!M7(eq-W@2 zT^Wf4QG^GoXk!Y+`2fUqtD=4HDZq-n8^lM+?zOl>)K7d!vqbArm ztUff-%Kodsv@6Du+`K!U8nWNzjI;+{RcDzkKDhJS{@J=&kE1YO)69i`GPy@{Q5{-C zr@Yebz5{Z>T;{y5wZaHidcs2knqnI}AaPBx=HYCu0WG;)Ly4WdFOR`fKhdA3!W9ZL zA-P9{0tH`R?~Mg!8G4#tPrswO5UrK-OK4Zh1!*@F$pWGWm z=T&N|Fl)56A423@=1a*>F;r(u%bk9Eda*qE1SxbDGBQ)!;xbvn4 zG&Mi?34KZj7~m!2R{71*^%0cSGyRc|4|k)%1X(whg_}2gRYpzI%+49T)eLz(a2xj zR&~5k)iS3)*g3qFzZVJNgWoEk-XMqj@Iv*j-n<>1ayaYBV0rSM-RCCcR5^YR(!XCl zTIAX8-K>8iN8Xe7Iub75LQ}q?SYMhh`A@x3^?g}?puxkP>Yr{va%;Z&)n~tvD^&e# zgx`Je{}>w|AuH4m^fr2exi4?}cvaSsd7((^5B*dfaJm9TUB5FDzwmNgQX-3n zy$3#R&q=KxRe@6`%-^cgN8y+c_Xs(Z1n_m=E%xz=xF=Y85VT~OUkcQ@23?bGh> zZ5VIPCgn#4XZSWnh}^QJcPPi`_)(&tzt*@}F2@f@UEgOG-mO;{+#VeKF8{Bg&HP{y z`53wXY_T-t47PC(YJORDVk5|s?#r=ynZLfB5SUwlA*^K}?LD|7GgNUscD?f$Ki=U}~z2?;iRHDdF4k z`R6O0&1Zb4YJZ4>UCg;0Y2oF80CFla`KNC*D?cqa_}wMpR}A%=j5gv7+{SgZxa^ow+v*NHu3vDt^;07`n)n_H4q3Zq3czH<0L+ zj&{BH{DJzLsq1rQN>?(A2wLLpSpSf_~38j1X#}E42QQKVG^t^ftRoHs>1&4P%egq9LvuA2%@|qI7j~jz`kdgagE}HDd{Qho2U4uPOeH_ij0m_?2A1OdUE9<2_CTbbHtB;=O22L;D$>W0NDbdg z^Co++1U)PO#AMOXY^>#iADh?RVeoS{Ptu_O_l zI76(0jWlH|;>}1;AP5_TQ3S-t7S>loHQN48Ak_jgeR24gFCq~U37i>|ACJ22cj#^m3!f8?KGem@4#p$Eb)rx@9 zju9*Hly28yC|I3L+2G;IgutAhE?iXOV3MDI;SI7=D^)bpl zZP%Lyf>=#CbsC5)NjpiM@&4tzxBcbfe}QbxBeGHDfM+?>hf;;k+G{+uy3mUKHP`eu z*Q?tO;NS7ugL%^9(8kjZqhF`m8#+@NJ+0)~R&A(E8rT5=@a252TiVNXgynOR)a)3V zu38^DApOceGQep^yPRiI-jWx&ie5hqO%>0!!&u=&Jf12=j+q%>5mO8O(5I068HrB% zES|B2+?P33aK;ym=Tr(ND0urFw%)P+B-S_l6D=lAi;)K{0yZJ zl?dyZq5#Q#H<0C>CQzg@u#ZSx_5Ty3i-L>(X@7Eme${^F=Dck6 zzJKukq&U65H5 zX1W3uRDr=Mp`Gu`H}I2B-^Z-m*&}@F`G9%w$P6V+XuhKAQo7^c)aLy9Q+Ev(XEn zmAiclj9c*S(vl_Ii~0tST2)$)-=*v?MBmsb{8UTx7Y9p(8?;WZa*p$(okIlx;r0~M zd7Z}x%TTuVXw&>iPFK0&Er1#Eshfo@Xn*Te_LC#;2-jAz^Xd)i((`n+Zxmvn{KPq2)K6)2wQ_6RM^#bGB5;FlDBJCTDOMcy1|J~XBq zl)Q0HnM0^I@wNgiwOc%>NReRrRg*`lYe%A%1E`!j*pw`$((pM6>FZRQecL`M6wzbA zRf|d#IyNGYxktm<$_kVsS$@bvd4uklHwUjT=4ksO@(3_CvQ`kt5qW>Fy@jt95SoE) ze&{qjs@Bm-m}mhS7)u|T61MO?+&tjWmgcxTXg|)xq%?X7>sh*u$x?&d9#HXiO7$k9 zXL4718qiFZ>T4AIC!Rig&BIqc z61a^9e$gYZcje<#`aUI<&9~NLVlI|uQiIk6z4qZ6W~HYN%*twJF~(OXH6(kV^5-Lo z2|o?c6W(6~5)E<%E+_JXM2#AL=15XA4k+cinuU!I75LO%eGaYSlF+MfP+ut3>RrWJ zO3I0O##T!xRX}y==NRfaR0A{Bd0ZM^3OJB%tR~m08N)^NQRtM}FMCw~!<2kY;1If9 z6;s)H9j;6dP88t+T&M)R_D>L!d?I|jx((0djYiLooYgkkXOVo;Qz-*$S63`l?Vr%e z2_T;Ybji=9Gc&;{R+`Cchb@Eg#ulmPj5Ok;r)wl=zG;WqRArkwm&~M-Gb%QtC_kth z)1Fw+f&K54J{LQM=5Y&;zJx%^;W8Np)rACifT|yb@uJ-9^SV4l=8RTUIKR%dU;1?W z71dqWS3ytA@0JUGFc105!M(^GQ`d zZ0SIcJk=>*tb9v95tvzYl_i`PJM>#03XVT97dmP7^52UdnOV?Z4nHlOUi4IOfeZfc ze||>p2%KSFd*y*r*IDZ4Xy4Y$iLz~*1-GYdXco77Po+*BeF!EmmL^UlJxj+<93>a^ zihmCrJN(*xH(mDJfBOmi_`LFfuDyS5&|`P*|A0R z0M9M?cTt{L+kNH`M)w0Y=STJaj$@m4On-jM1E{_|5Z;!v zh)a4K>CrYc9~h|iEChZt0Rt56+FgG}PcVyRH=^RFE=kuKjdH9_xK#h0XR~XT=3vI} z)K2}P?*XQFv1~)~i-rw<^4(ML%0AEheZM(5;1(9#Cu%(ReI)o7e80XazUXT70e+tF&jp_yCd1g^4 z7CO152J|f+CizE4D*BWi_V@Dff~TQ!(RW{`ucA`--Lk#}Fi`MaNUxY=GSQJg`P#I< zFkRY326?y+1P+G!UDGsQpxMKE!FcqiSewZ!Zfz8jRi3We_AI~tX)FFzO4M^F^#$hH zz_GZ}PxFFCUUmylfoZ?C~5o<5|$=3N+mC*P%=LBR^?EAw-A1YxA znEm-1_GjjeccOORg14JnUwj}G_enRs(^0|{4VN&xx(k`m{Qiyf^@Tlmc?)}l6uk*E zmlNRi`!+N9ovQWBJ)0(19Ba;^emeN7u;z|`xUx9c=nkoNZR&JkcVg4i;f_y|n!#Ub%UDhXXh-_qg%Y zUB9q?d%^Xn`#8 zb?3gUsZPytkt)Jj++HVVTtGF3^t}DNuns*hoS{a#b?%sUD$7cj;!)teY3%_|_^KZ2 z_mna2vq5KIoa}6$fY=`~`jAc@@C>6L`OwXlG934g**?o?|qJ*Bt1E4K=?Su_i8{rynaM&yk28`FEAwxa(0!F^IbVwj`Qgf zA;OhPBf~|^JEfbM`()phIQO|7Xo)_I^Y>5D`Jv2W-PhQH)p7lCa@%Bc7ABRY(}j=f zneAf3_*cbCn{Y|gKdR@o5$uc zBweGdW)8qrvdubFC8HU)X&Hdy61VG|y{VWG&IL$x@r?OIKePWtQw#wrC%YS`Ue6Z>2p9)(7LQ;r21iTjI z={%9-#0Z;F1XY7#UP31^B?wgzf+hB%}@}# z@h(mta{@ssVJTL^2DDm1V-=EgJVpuym`MsSA4(AlWpl@5DX7ff3!~9h#gP$l5ar1k%oZ$8`xQ;H_6hYWXX_zH9cZ0ifQNZT$`>-|C^hS!OA_2D zs zK70+|yj3pei$bL%nO;0p>}~@Cc{25_qAkVLLm#(R#4A7a{Q$l7oyq;7|BwbA)DIoi zmJD{q^pY`(%e$`C`n&$g-6u`wFb8mP>%v*#`e$cOckDXd2wTu-PV`my*EIl8kX`wg zh4OIswfDEF_xnaFIQJj5N8eLzfG)4**bCqIcChxBMZ|e8_*cYszsMbPKol}=WN2H~;!s;LQ&wcR$ll#8W zDZLX@=lykhMdHP|W8B=w-lrqJ({*(XA?bbNeE!7?{lXU5U#BAf=%ZQQ^}cJh!rJx! z?!Am|nNj7>^7W z(l5ORkjvuSVf^?XQ_c2pPu{Z!+&xv9zGIV{FVma#=+7H3|Knpf|7T{-)KwMdA{(3> zH^W?R`IWN|o!#Kuy1P~%A8GYF=B8GBB17q6amQ19F28WY=(Vn0eNL^)ndZ&3qR z6kfk$AdWr=9vZ;$rh!la5H7wN2Uj=QUC+O#mv`q#1^aK&puzuu^yc&02i|12_ShzE z<0HHiT^Kn9%Xs2EjAAp3XaFDjlv(hUH>r=6aakAhY9>4J1x@~}@&a0L_Nr$${DnEN z<3-IeL-}?Tk2$%B6Sqn7XK(Gyul`xzRK>|3!=L}mdFD&)M!f<^*MaTtW#jKAnDaYu zhwv$rvbXgv+s<-P_Vx8E6qN!(gzd_hKLVl~APJ%BU+jZ(%%l z)Uo3SOk!Y1;xzd?CU?P*2k1+|TWR%vCz?x=mvPU9>l=2=6wHq$5BR$E3I@J#r#>%T ztL3QhFO;t@I)8sut@EJGH@)L4$^UzR74CPm<-D8)wnXlL#G>thvpFjJbCk8VA{++9f z*UH}Su0H?p4D%^9<4a}tx9OLe<9hN_Sir?O``nxIVl@nMb+~4H^u_!?@(bA?V+d04 zc^sd&)!Ixv+kJe+#PMk56||%HFN#zoiv+ zF&99mUNb)M{>kUtBP4eepF-|2ZmU!q`l`kuO_hwjTm2W`+rmYLef)$0IMC04<*_?o zxxi12mb-r@?YbGJ5E_xOcYwhQ&G!KDB)Rx(cGoA}=q#vts1fO_fiCB+fA+m2Gzxg- z|HszbfHiTZ`@@qEkXlO8XOu`dRwDVp|No2Njnkno~)%}+`f4_umS_eEI>^&@Y&>Zwgx~- zzk-XOhp5BXHPU+6yNy=6(0=?{Y>ElGV_jCjKI76@*qgQjL)Q?%MH35rftxZo>{R~31D`|)LNfdViy>ZsvYQvalEvq9)4FHlF~ zKT`o0?e)#rJ}gSBui#_W8oqMN2lZ3Wr%^4PnEFv#t^!%PEIW866_&}e`v;Kc@OweL#`?h8u&60bm~5WHW!$9E2Gi6rKwmN?qFk~+=- zby%Qm1WhRraKsnrGZfWU80(d*iXXHd^M1QX1b<~t=ebxo^DX=<)U)*q>d5fe$P}{` zj?%@iuCTROZE5udz)B-(#@1z>g(UMlSnvpf;g%{GUfXC(CxGjl`mwE+iotyctiD6{ z$1+y!X^k$|>V<9$mK!;u0>IarJVuqpdZzl|EU8UJdwh4baJD^}_c`DkhXB)8sGgx{ zFgU=8sd-#5#s~<8{JPuxkHr={f6$PM!`qrW* z{2_p6l?D1Iig8MT6g~Yyf^!9qpaq|d`_U8x{lON50q0Qay}t(tZ_)@Cv^%95)WZ}k zs5R&%hTLjZ#$*%#Mxy5sWJTH`@}G^>{e-EPt#!3@j~9{`K)rd$3TkOnLsP|k-^%Yvg2Z5Zhh;0-2^iop-ymouBKEcieUlv%&Y0TdKN?h3|$K^yJF-;S2iRta_5 zy3pItM)Vg-%#p|5slAcQWH>H=OeOYm!f0d$ht2!)Rta zJ%k3fA4@J5eqVxW{T`r2I#m!Hu6^C0G{M7c>I=eg5FHmKk5|r+IAE{H!0wWKg-UZcKbgdr-*3s2+ zcRqT;-ch*ycm-%0EObt8fB)K1_oaQQZufkXN1fl$_D6;O$lmtuM*};mukg`7-`!Js z`Dk4&^HCifq>Vg>#^K)+1s`1dW~ZK5 z`$Lc?>5M{x_VBf%k1*c_t>gKYZJNL9&QFyt`}aHHU%R2&vT>l&dsdxa_Xh|U@2#6z z_gHnjt#D+#VdVI>_x|?8|E50-edAmr=Oi0gWqaCE)2Qf zpO4jt=Qk9DyFN~xv_s`#)g|{QzGL#A*S?E-{;jT}&yDB%e<*=7AzP!AVe&%;yeiSJ z9;F|DegSkAf9O=k-rhgojpjWSO8(k~DaHB$&z#_DmPq&fujR4NuPTnh z@N;fcEVdl$^{3U2#!#Vmc)C6)o*lc{^F7UUHGpzZ#vV`VLHjCcZ+v| zKh+hMSJ1$&3*gJ3mwLi4KG7*YK?ll2Ytrvsmq$&l%Xo53dFooQVney&nf_pLd&&A~ zxWAf&*G*3I5m@nbxgTyCU3qYoeMiyc#sk6By;XfD4@#B1CEl9_R-GkPG|)^TkiZGlZd1;_of?PC`Z@VetIr#TRAM(+Fx=$W`C&*0?S!f0-Se#`6koE zXKKcG7lm}^dZ`Je8Lie9o`AK9W*$@8@1>|YVbNVGmZ@vg`wsaB8e)-kwQI$7{cGVn z)b=}Dn!M-iso6sJCE>ac6#BkfH9}8i?#LIo%j##aRxK03iPQcMVHLjOq}*bOGS_Tp zz=fSqo5*Y~Zv}CEMS^N0A z9+Ut!fb0)l#Ym@>S1J^w3e0RfWn4xX9$?cph^?ssR7}MavIXf=xDw5w4~8-+X^PKv z<58|RA-ceM3ntAaL|>BPJuFqp1&i!$z`oqZZ@@w*p{*h1yXeqcMmyJ{q69^M(2R%d zeDttQsO2#HIiW!7I;8W{PR&&3bdLYZN#MhBv4^$`&MAkM}|c~ zaV102GEDD8npT61Lm>bH=6W+2s1_b2DN<>m&8nR0r#UCC;%HYiL~@;&PiaMXLagFR zW1AR~T0@whR%CU;!z*I6m@1x)VkU>X1?Z*@Fm%*7+(N7EbrfmL7E`0e5{YOK2rJg( zB0h(^ET)v=c0!o~2)o%4Qe;0D-V@6rrr@O8#%z}#hoCQSGn`aq$l794QXV|sWO`U4~>;}a4Z zfFWN7pCWWXA;J;R?40pYro8|*fG0q&FkCE|FVdNzeG>N090<6ep{+IVc|3pl>A&sz z9lQ)%p6|#Xw*pPk;OWJt`(tO+k%c1zhir2@zKkAg-j*RVYIyC;h1i0v<+ai4ww7m4 zpL<~+{?fY*((qS~dGCGkk@O3--ppPjXReH=*S5$S5DX;}=SH46lNg!L5KE;;Dz5z7 z)@>Jkf7#t4`TkPXf{#K}k&G?kx3|IpMs0#V+9TsoQ#lg*lMVLr z#IE{)rl|Q#;45n0xBj!5TATZ`ms`AhzF6OaeP1*->}na|zZN#g10ye|W4*rr+zy0L zpS=v);ajJ=H-75LlVn)GR4mo?+K0bhT)(Yn*ORvN z7*sUU<7akxKesiEpTRNMLA9h`e&nC;_reZcBVSIvVO^V!zu-HLx26+kd@*3F!t|ai zU*c`}$`{oA7lAdZYov2G91HsvG6Y@MSD*16O+WjSiC;86HZlSQo@Y|1DV)5;u5)yClc z#jS5ME!Ju$P(*zw?8BLGtcHIjLjbwC1TU^{#$`R6^Ii0dQAmt&a)#&VI3x|!mA*~N zOjW8@<=`vuK@Hwbz%eq4UsIXt8t20w|XXUh74J=OI z<*SMs)RlCGN2(mSrhsC~I*_m~&|0n6I}DfGlmfjSRSf(9l?yFWkFM&_I8`Up81;RcFc2LhC zW^M#___6mJswmh&{nDGS&Q5iqr@R?9D2Th`z!r5p;G5@LaP()8ytkq;6{38xP<@#z zOw`y8d+B4i4EuhjehfDL1yF3&47S*yfv!-k<)9U;aSpyG?T4QqgFP0#jr7!tK``>u@8f-WYJK!zM6ce6eu4Np6GEP_t&mizk)kdu6Aru*dPIFkHbXB)D`U6Vi*J44;$31tXqf2K3||k@cti}^#B?<5 z58wm|WJyGq4zLeqCX?kRU^Ac)kV^r8zz85afGkS@V1W&QRBe)rh=&M=u^lKm5@fpv z151@a%^&{T&=2zdsV1`*Y)%iQhZcMWX<3xsMd&rf1x@!MtatI5;h6*A9-O3lAAo7y z2qdHtp=Ohu)5Xc~vJqPM381J~VHzbg0cS|52pvpBxlk}@%mr#1H3#cMIy3B%rQQPI zS-lyro7s`m+>aSt_IO-l47rEuXhoI}o}kpn8B?d&Jwx zB4wG@eg~TcfJ9g-_(NGZ$f>SCzbCPLg2y3-285N&bz%Cpq=f;m4EK@;plQ%b#f*Fm z)KCP2Ecb_LP}Nv-M^JO2#9Ebr?hudwRqL?i5)2+8Thoad4ah7dRzT;tL6nY2QL;*@ zHV{$PCE2KQuU>%VUtk=J|g=Vh*R z=^Zy-y0tv47<_O=pXT~7Jy|XI`cc6+BgP#{M9Nd_@m|VXKaED7!NWWFSVQ$GdI%V~ zMiM&@Nm38D^&noKUybfm~SsjC6YIwWnndFpEsI4p? zYEt>@meSV$7F^J+r!)u=b&7q|I=n~1=XirL0^9+~%5N<+Ju7yJ??@5R=}KXt&!#s! zJ-a)#Ey<(mysuX~B@0WKFj;qA*2xD!oPZnmS~@vvuG|!9Jd4jzE!ePFU4L5f5u5zne ze#}{=Xf?kj*>RC=3}RM&2mq?aE-}DzQKVLoPcwTc#vWEF{qgP9*N+=N|HJQYT6uym zXC-^JWOtML6};TYXK@6REgPOMiZzJ_uRNvkTTblkY}oB5wUB)%S{^`Wm1UK>6V)G3 zG9Ry375&5VQP4bra&Ny*y$hCL8bt=n)myZyzAY-r3B@Z)Kty(jBx#)gz|~oPnvd1T zvbFJGywFN&eUO@Uy5-4}mEsc6Jy1JBOW6;Kkk7p80gWC*;>@Jz4>8u(6}#FFqYBM+ znW;Ndz)$=42-9|FK4Bt2=R))p+hv=rSs1bFJhQ zj~xYZfE3xt30b%^kx%IxQi3b#3Mjpls}lmN=v#_|94H~TMo2WXdM^#=`_~eFS}UVo zLqP!x^)h29z;c%|QQP#LuU@+Ehb1>n$nc|w8FRqwZD)6Y5+)5qb}mC5XI@~;QC0~n z1WnN(3!{g6h9ErwWAK0`>OB*w$Xi6aSMmdkppK)9w8;l#t(7hS{R%)@5-OP^p{L?) zR+gKicvH&LcHT`dgAbD~gH?{Jg&+uOZpCgMKt8lyQ6-RZlnFb&0K-ZUSR=gicoZTJsO0DT|)oww3pxNB6uBktD~tfEmZ0$kUwe-L0cddE}rG=eSFlc z=v@RCRrqRN&y>rYKQy7NAcc1jt@vil;|kWZVTHw6)W81aFE9Ttz3$B$=D1Z78v$=5 z-loDxApz>EE(A*Ogsw$_;MNe5I0H-Hq0__)3>ZcPOK^<^7_@&#G}>sWrmI*g7eUQ0 zGvEY+Uj80GQ+Il!=OMp5r3twfF> z0YplFgkyAc2(x(DOz17MECo@34iugR{IqmCAIddTnxZ!c{Vq@s<6%Okfdh9b)@QK3 z3~?mH=-Vv`jYD>9tivj&}X(6 zwTSzP@&!cUH_uKGvJgL_+#WZ#TN$cT+s>5#ScJicvxjA7h!*Q7>rQKQHcyS3xXFze z`VHmWYBq{`j@aL(;umE{HHArLp8hcfXt@a^r7l(V0s3%6ITa8p*(E|bMjh)#C`Wqa z4t9g!LE9~ULxkdoSS(12Sralw~DIsm54g*V&nxiS%j(C z0F^HJ?Fg6m&nIsh5oHzSNE!t=PGDuW17M!lxqc*vbTnH}Q9AhD`Xc_QIk*w9pDY0V zEVMXzqDdcP6*J>gLUzr3m814ZPBTP|V!51KuD#O~iCZuLTyYoHmy4r<%eqX#xD{<@ zKsECrq8*bVfBso4=#S91U!+VFb*lh;j|mQzHMa?hphl8Q>g0ZmML^&=@_)3%9a@*) z*WpUtp^r>2QJZl;D)0OygL_Mk(+TaVb1*xs=X&4wn zNyTUfCA}gsAsOT5+ya%Bk(?8_Ma-N3-skymE^dDFhAEb+S7o|0(WajF_|3z>(o!f! zpJUITogyFbFq50ziF-ZmT4SKud!G4jpE#Fv?tW+HQO{nfTaAX}IiScDJrbSf(X?>Y z@h4gOZmdf3Oe~6>)gs7{uVLKIVWqx}+SE%1JVG$Maz>tFKC*SF9d}~(B3zH}$9S2& z^<`z_Bpcm1iD&MLMMU9MDaAb|`#-2Y%j`=o;x{cqV$+Wf$+I`p|7%Aa-OyfhYGgW`79H54@q~m1z)40rd>Id_ygIX=JS`?9IHKC zUFlGsk#<{@FW>w2Z~kF^(RIV_I#=;zt;~%v!7An#d<30k1J=Z^l&9W`la;j3l!yt~ z!t7B~g;Wna>W+;T0FB&w*~E{tl9)WsuEd6N;VR8%(;fL7wWvDR^cmwGwGt5K-8J62uR_1eeK|>d> zEW!OlZRX)uXzu>%IIR9#**XuN)`U$oC9NUF&2Evs$^;A9e2TrxH80h)3J1w*A+}Sh zlfNxb9I7;q0m(x)O|4l@hgaL_dRu!Vo>f<2vcI*9O|pl`e5*GH`;0#IN4Tz@GVAN{r+G5E${s6H|$G*>@90BkBPTyuJ#^QXVwAof1ijs&(#tGr_!Oy zb&4EfDFe65L(DZM%8PSYO2~ylmt}wMnButMFj*2X2SSDbhCVc{dbs2Ox?f_7v%18bS*50S2GgEGI0nlNJsJ}jIM_&;3i zRk^yu!%DeAupaubOpY*5c9a*RVL=qlm2E(|(}0Y;nsl`()-d0#1@1`Rfo|(7?`P@R zI1MF2MR&M8v_uQ(AIc$_TR2@~aiE`6YmdY(GfZU>0s0&?o3VHjF{kkCU zV%<%%7(}CUIqj7&W|qnP9LFQODu)8md>#wg&U2Covy$Hutd_D>LS^TGx*<_O=?gf4 zr7kpTUZ0V1XgE@m#6U)(5R);^xYTV>G$TZHJ)n^m5++=Xh2R2aoQZ#89!nb%Lcjoz zjogAfKn?jI%&ZbMRt#%_f6&ADHN<$V7hIU}%d#laoUjwO7a$NRS%G1&RA7h&S`yxF z#JL=+kPbOy6?VPEwqmMLSt~2XwP1)o1_IR_jb`aNxs$PDPKgai_!N6k46!ut;Wx*NHNR2hA3*iIed?H|o{JQTow$nzk`f#m3^7Abw?~95O&1DA z#9X!?bu`pP+Gjbcjf161iy)CP@q(z@)pAhyWU`o9fSykcHDS`(E0?n|yIim33<_Ng zaK$&oHspd#-u-ki}i6IvpgaE|A06Dg8>m((>lE}>z1&0r3nQ)yEaDhsXJZs}g* z4yX=ANk$_)2*Qf(xJIhiqDn`#^X$+Pdli4bQ}&s(RT5FjV9#T`pn2GaXkI$}6eaB4I(8=Yf$ZyIWjyO5yb`P>cd- zn-Yzp0+Cia#VJ*lb#xLWGYtilA+(_M@!7Idrd@6D(?m{pL{fF398o8mTY00H9R%GW zDs|gP~TkeQQH$l_0JOkMS|& zw(ns{$?aBCrdVLP*vIBETF%hB^-ftF6?@fKWQ%h^WCX24<3q3@3>ue4w9fL^gLj?5 ze94S5rgd||ZKU}DY9pfQv7yvKN-|L$>T;HM;oG^GmrpblDT)nfcBCe9$%I<5DFTB{ z@{$lUs{TekdVsIP_3`R1A%>lFJ=yjmYDF)hv!`Zv1P5 zXbZE9?W_b6zZH;DAOnvp)KC97|J8}FUi*7E&-sx@TQaQFl~f9s%B5r>|B#ONRjY(V zqg+v9Lz2ep#pRw})vfNO7{hsHPcj%9GHW?%YB}dh^?<@5rK%vuOdjT3RBFANh}|I{ zS-D8@8)qUOg+;^PTE%yc0(_l3h@!dTfYb zn_^{h7#f{LOW7t45zaCZ7?nN7scPc3V!`Fy4hqc^W|aly-S$XPlU+s>Rq5p)6~!9K zNGWHTi3ll?zJnsGJ|oOwK9_a!wKRhYhWofCS}9Mu3Lfnd?5?(IZ1+f!G1S^vPQKM& zf*G8tH}U>3mreOcV$TB0t!va}@O(y1k)4}07eP+iW1);x6M{B@yRQ8^*6b`fN2h|one zT4#=Z^Bw}$M>Cnl6MAzDqVI2Zr_U)257LOl0|_m<_8LpR`EG7bU`7{ zX&&`xP8Rs(A-aQvBdxnH*CoKGmq9)bnF-`qj8_4=I$`Yc@vQk4C5J||1G@+)H0978z$asc!{>$w`IZv+MLD#r zF42%{hSuRbxK=a#D#B2}fb2V=Q!;m0il>b*ejDmsa26Dw#XwHLEZ9V`dMTwRLxki2 znsP#xBytzc!h%U<%&?*)2=yECjyg4z#p$pT5-GhIxNbUJoj}i0+$mG^V2IFkyqVOy z*lZCp5x3Jnta9Xi+6~9dZ1fB(r!?jsE=2f{OBZv%5&;sXAwo_gewWE&R(&U6!*bmd}W)GB9b9p$D8lHo!VCX1Lu>q0TQJWPm7 z6t-C9sXdqwlP(w%m*Ff8m|64(@juv&kWZEDgDyZV2u59R9|sdmNWhG?dAF)8kyr2> zfQI*+>fq>VQNmy~QP-kUByMkH(K(GIRKbbw)0dF#CxXrFa={EIyO;CJ<+!QNrRon# z`Cd)R>W5RmjV$S3Mk2{)yd_S}VrJ>omp^~=>-PW6m;p9J$lGd$`+A2_hg`X45t8U) z7G1e4suUs4036lX>YNo^<7I)iA7nBgdd4hb;KKq*8h}>C3lvB{>y3J2M<~}g5u$mi z!wflo`+fS5Uu7sW93jb=5kaGDNg!m0YSi6lFd;(kQV1PVY2l6(Yt+-`HrI9+lsa`J zs~K7S5tU&DT|OcpkrFe~ell(Z_rfypPTFi>UCSWTEsD7=f)5%Qlq*OG5Y?=ULqnzU z+OOQV4&Mid&1|}RC^F=8vj*-2%frp%b7he^iI83~(|Q>M4HYJvi<%jrX$Lwt-hW4K zJ$RSVha5%%?_$ldqxNOWtWal$QY*(1ZCal&Jb>M}gWg~Zu@VckaQ~q5aK4aWwdsxg zD5aVi)E2e@bt#A`2%=fBQzjB@Z`JEf5O=K$;xcPN(<2D8;FesZN=@iXOa^S#Fj5|RPN)89AQUIYR1U_3GvM9?a zS(66Mxf1C{9guBj2tg+n!uU)0@dWI0m7tRNmhX zFaE3f^UV*z`>+|TUiDT=3{_7vc$i|9p42k(9kZZvI>>njcu`>#igFPKI-fT@E$Hic zq^fX&S#=D3(gmFH23A$r5YC}3aX01_1q{mpEm?>lyCf_S29_Ucu=Z&1+c{mNRBv8J zc?cP%9OQ3=3~2}lu?upLQ)Kc!iqvZ>ITw-Z$`LyXkg9KEBdWm|?NI@1Ly${6C$J!} z2GDh7vt&tG#Pup``|{*4*VZtA?`0wh)zc3aT%5gC#_b4)OMESC+quQX2T9Las)0i1gB2mRxwP;MD;SD zoi*nm!Uj%vMidNzqRzFy6>;=ZRk|F@HIJGNMQlesbVs-#H#!=kL})-oM!iSQ9Muql zK|}_YuWalr=QJ4pbKq=ZAPQzC^e#d-$Qp@hFA*UKjwkwaLzE{&`z=Q}BA<}6wd3~Ppv zdJE0!Kz&BeLD0TJN9zr+s;wU$}9OM2~Gt;(LA;NNeW@tH=kr4c^}T-pS2~6#~uF0kPY(kAE~Q zjC=2KbDfkzTJ{IN-H@mUcIsA(G~T+T=a-lE472f_wmEy<`@*WgO4=h}N3Xn&G*m?T zXh+#Ws+2n?8s4d#_}1!^(5>?EK&z$3dpiBQ%}^;K%$Fh!R93 zdRp${@8)XouQ_2pSkW`lzv*hpWuNJ6FxVqa1%v-64b@tm!o*6N1~T{Ut?Q|X;xC-3 zYH~$SM&=vKdYNvDaE1d^dvV{av?R(s>fA#m3ZzZ)AzY$dYkEPc!i-`{?gcII7n&sx{Ui*(xG27KY{hjNk6MxxnzTuHPQI#K$&CgY+RXi72`F*N!;enO0iXqLN zKND>IjodjnQ#a8_lcPn4nQ7;uHo!2a8|BNV-TS-kY(qJI)ax%qX7)?yauX&(<&y^; zAE8gs>7(9GVRJ*tilbHsG-vGBK5eD`JaHAZ)`D0^cE5f5(3ofZpk-tD!ru5<(>P~g zt@~S}5BE3nU7Po)^VXe3es{7HU5$0JROe8W^0;Q|Vs{*5*u!MAxZKn`TBb^8Ro_l} zNrj1@lGLC-d_Y;yEZTT)E}LJ){=i>7E_UM`+?^fw^t)|=QtB59yOMo#FWq_ZrHY?a zYTOk2)X;CRy^JNJEf=JG>$JSasx8bxlcxA=^^=}7Rl}EeR0(SbWY2>iiuUu=bp2?g z=*k3hmFXO8bdPH~E7jic-Y#X|;GDNxoRjCc`bU=wXX+=W_i)g^B5~uAV}MiYJ9>+^ zU~&9{>8%y$f9T-8Ls{tSg45(Xt()7TEeYNc_N{q9Y5#rdPRS!WSH^40hrbFN=O6y} z8}3;$J0P+7{GJ`c-sfl3@&5NLe*SSjSSYk0ZdT^!y}jXym!!wORopO<&sF~3x^uVf z$Z2uQJ*Y3dy9^aSu5?@bx2(TM_%XIo{=!%9uk7K3&aEBI(x1*xt%+}&T)tqcsuT}~ zn^x{QIM!YpKZKq@ud#pmd9U+n-!5gQe)}IcHIwzj=lIU&pHLUn2ko_x4K0~kJ8$9F z*S+xkVXb!Es6*aB)s~1~@CR%QCJb=xH zty2m#*Of_){z7Ln-E*k^6BFv*6z}!*zu;>bESm1~*gXYPW8K;V>-ipbN5iGn&^-}w zOKD%B-*RoWOaGRb4vZ*s-1J1(T~ll9z4^5bh-deFu!niDoSeHjC4Ff}&3tKb1s~C7 zcYLGZqGis z<9OrvmW$kH)*`O0)H{CM1|2>8bRRc1>=dt!N)rd&mOfvh_`uq!?uNVXwv%@k+;2Uv z{N;nkKKg0inxEVp=z{;L)h76vN+5fReu-b9UO2GffbA#n_w)zRMSKtShIe`kG{VeR zHH_3Ni{3ISmbPMihKfzU&YgR4-d2BV^pVLUwyi7WT@!)l#%c#y$CaAzQ(sV3cyrC- zU`>I=8hB8vq2XbhT0A4&|GkRor-Z!TTNj zTjblzr@h|^Y(u_z-(e9NjrQTo?c-<;`uA$+a6EAT^viT_m1*@t+abEwmU*lNR<6PC z`xfY05WE{)e73@K#)S4?-skJ8oZnqIdjGR8fUV@+|M6`64NBfWz0bSp?)Ji$TMl?P z{Zo5cW!qxRx@Ba5ZCj)(sKf2OiN|EzW&8NWg~Y>cwW2NkVoN37i_?ILiam}m`+g;* zU#|b>P3zjy{lLh@o^O`wqkGwDaZcTG%J=Lx33qyT*fw?hI=%JJ-rrNvc3S)l-T!RO zU1i_x%B#5hro+eSA(@4a<*T0DdSwBt-{VE062Nb{tgRzYwZI}(4%{iT<}nq&SPm=a zp$|%ADi%TQqf8Q5wMz12=2kCtUgOCCke0NkTspU^PP)cNAm1Ky1xP?jCCtQ_Dtzk0 zF{++PaX_mTKkfxCe0EQ&6XX$*3cJps;L}T)PvT8;#S$$5<~UM^`s%wyV1gPpiO!^` zLMmujLR>AT>Udr#m%CVpQ2~A}f3wx72FiFmQHnu#3!j#om{_6uij`MilIv{n&5Y88 z*4DRoJQQnCYdCc!oZ(CGvZj!<-|Ai#tg~)W7kXH8T&<=BLYN1tt>oY;Ee#!Dhygfw z#5)G*nF&w-6i|n$b$pYUYZd2hl9=IXdF!iQ6Ii zw_wN>rkR&ruVqhX?1QvT0m~m0e5?~lQ5e};5 zwZIpP|7ZV|o7c)tV~}oe%e`y+WoDv~0TfmxNNCUvvhhi@Q7)DhMxX(a5NT(7Cpg*s zfxvizjD=wW2SqqPr)8CHj~g*V)I;{k5EVbfvSGZaAU`Tl*lspy&SGXbIqW~kw7TW7 z;dV;M4@5()p-ye|Ag_e+ue2uY3+F@MNMo z-dTdP6!{vz+v(hnot49ReO?FE5hzB?Ml1ahiiaoK(6<4IR^FD+?&Skz70jeX)6Q!1 zfZK&hT+a$lCavzCNUvI^`OqXF@HU4!N(5#q!ucmEpqOAo9VU6SqPPiljShu|!&+S* z&35DJIIlc`H(lcPa??%_vu6Ak$ceBVOg6mBdDI-?iqv+v5t>m_bLIwu9i32b<@n=WnOW!3~U_C`RACv zAmyl6==q5fbv|6IJZ{_A(>Tghq0m${FzbAmXW#Fqf~Z_+E8w57?{?P0?LV%*iur3X zHvD9VrJq8H{o~$c>S6YXuHSUfz-V6Es#XA<(p?BCBwGuL$9hLc`6?j8MukV4K zCF8W7#CCLB+lOh0t!xJcAxvh2{Vz)H)zA>n5wX zpylHZ%Z>@S`tt!qnyUqy|+D@PiuTY zZbshrb`VM$w*r)7Gs5*TkL@<3Lhvs?fs%>@)1Q&VK9>+U0MV}lW|g{1joivLsdESz zw$zjv)EpM*ct)yzGwvwwu`9e={ji-0DDNXISI(+17*ry0FP{SFF5h~vQ4ot6QYaU5 zVqh#)_~n+RQqo8}p$nTNm#70V*023ti1r5I93#ATFV=4?WG#aiXr+}hTRnAIzzF5?jAvxJYv12HCm@0yP z>{6)&DS3dYjqzJ(zS{?!Ut76&X}pRp#Z5?1r@1KG56R%slVIaphYzxaBQ~BJu z2akOvRf@cF)3A5Qhj$^?5Tt`#fLv+kY8%t{_N_@btsNmpPw*)$SA0B&1e z%v3|V?+EgWvBQ*BVwHh7W`IUg9S`JdBbd;EI;fxBjEJ|C%3AM@pRC+2_uaI|;*wjlEa`TFj?w(I?X=)G=x ze=VM|U9*Bjw{J&R$Jmqen-BJV=k15{%iiAlSE_711^~rI`ad8xowxrt2WsB`Wb0ix z_LJh4gLkza*S3O;_?h6=_`vSNXX&){OkE;v`^UN#wSJ-ft&z_CKd_BE=Aw&g?RR&7 z!8ZrrjYpWT0s}rkaSy~2^U*UU)_wf^=A+*0Q^!?b=N=H5mhdcZZ~o~@2hi_);eC)? z?0nXIqs)}B@T)UcH2dszwbrjJ z#F~A_12k}Hd4(^O2DR+auCb9nbuLh413t&`#;ptL@N(|I9m}on*P(R9cgEd2zV!W5 z@wP*)06vhH|Ke|dA@eSl-@H5?<(fsde9sjoz57z2wdoP(ADGL^Wp$#Dxh!ABPuaE3 zqAN^W(N$$!60X<0%b=>ds*?3&xiVi~*Mp8kgzNGlbf806uniPt_}m_v@9kb*a1Xs5 z%~{UbH}>N>1Q161hCRHsIP2s;&%!kCD6Fs`piuKOz8)T3N0rV!lTl$y-Ma5s>xESn z$^5`4QVz^$ zmA~Cl8 z*?(aa8J>6QBtruuK>mC|w=vYtRMGu+E9V;T*B@Eco4cqwwQ&wb5F)c>eij(GUY8q%m zrkuA1EBQUXli}WLrmB($ksIA(KYdyf+MM*J6H#ns^%!I6EV;BmRn8 zq(3qxZ>{IOJkLKvtuLh-hNFd%BYq}nlV+J`8khrZdEdf$%=Tb|N&zs~IC4mcX5yp43~45bH3nwhp-{@a#eA0=Q}PnTxm1XY z5>V9S$9kryIu_;oI?SmMv$Rox<|4k4&^OcOxwuYYBm|WqekpegnUfHUpv=ZAR1fe( z55n@I!;EuP4oU>Xt&~yHNNO2O?!*Ygy5uYj#UobLfbbJ|Jx@Tn31Y0oeMG8S20hea z7yLSd8fv2O5pXySDqqYv8)R++ zZPYX}rO9fguw}TP0>&Hh7FL8`PXvs9*9=nNT&ENk3Ya-)bOj2lYn$SO5Z)^eiggvK zL04-iup*%u=_Cn7Q95FUf)rZ7N>~N*c&}jy+MQ%A#ZoI|n6a(EY{^v6AyV(b(AXr} zlzMecg{FpfBWKW5mu~}7AHcU%3O+2D^{y^t;LCBs7)_MmpkU64vmGY_OeVmL@~&Vb z%;P!gfvyof8Acq`sRY^C$lW@$ytp0KbHIXn?Th2D-JMs!-LMi7@U01VF?-fcN$Gq0 zXPFDVCH*VI!Ky=7hhN&$1KzBo}^q>SOq3OoNvB{jdw+_6$DfBfyq z$sNpFRS&#Kf6;G=%nOczqj&2{we{iBi;2f@iIzCDv9f()Kfk7yD{uQjhXoB;(9d@U z)*yGu{OW3U#xcu`xo1^JS!HA}A3ueBR-1MiD1WXq@5@Ke9{P$|&q!6ww^#MPN4fpo zj&$mX-1+IwGB@)_f2a1x$>*BvgUzTkvQ_z7Y62D}+EIioO_bx(0;)l`1dLh>Ho zb2i?PgqW22gC8#n|Aos=QuDS0{C_xGPyVrS;n^XJ-%-)C=C|J~RZRZ+S>f=bCH_~% z_IH^_UkrLwYB7A_JT$}E7FyrkaTqtbr%KvCp%;R?IY+tLW(kPbFBsWX13N9J=oNvF zsr1TIG3Of9QGRJAx$iRDwn8c-OII`Nk@;0Q-9J&$-$+08@z3O^UVd@AeVSSI+{t z*-~<6M=hN{Bc4N7Ut3jrsaj6kQ|8Z{qh(a&WN-fuImNk>^Oq@JN15jE1Sqn%?tAtD zD$3VwTZap~)u#}Qau8pP7M?t;lnMPGtUIe^JoT89k1K8f{jD*nX*%|BBvvCFo-4HX zKljWABrz2lY4LOWQLr#UCn~&ZZ8;wm-W3|T+2oRFGgF_uIwxI4_#BBB(Yw?E{xUDr z{R?NY1;g%+kNk_h<-()~UG-_yj5bqtoMV`#L(aWrgyKF$nZj%234l4^#Vzu>54 z9IUu=jeqtdA#JN??CVQxH$0TzQCqUA+);X9y;AyqwR__w*}r1r)v|nui9fm4Y>8Z( zgtdu$5}n%*tbMwHjUUE)ov)DL^E1==moEU<-7^1!;oa2HLllvhvyKBY9l`CPyCz}aNC|6JhzX6kIf+BmO#KL{CP;uvWd zV8GbcI~ribXTWkQyKX!4Y7m2rA(C-|y=h{}iX$i9cp)SuZnm8nUWqZ5p-|bOX*RL2 zNXS>cxFmJEeYQp+4snxaO})O^-rQ~>BxT#)?IIJ$Nz?Wo+3xe)c^-s5M$+j0KIc9E z-vJwW5G|p_z^oLL;xTEm^@$6^zpvpuLl9bjXq!eRzFht8{4sazjge-4e=NN{=;rud zY!B79BF_E|cE$bc7YfU+p^unHe-+m5G-#-zUSF7RRYDtU%cQQNR1pgfp~H?Dt#|tR zg<@l4Y=6U}uFb_CLDVU5=B$0OksZQ8e%rTW^V|N;0GCDdn~j?WAO5uK@I4dxfBpKc zegt09%VU%AP$j9wZlUve$93E>hDBR}XNFoE> z^klhjNTIhiNk{zMnYULun*Bv&+0jmTX9Ylmce5)AFe`zuJ z?Gd3@fj4-YMn6KK7XUuh)Z{zX1bSZN==PH(aF>G>01S{e`YG>D#~|L7@R2hNnFD51 zuph$*1)ocz&SO$L8J{y2Q4jxwa#_!akWVIDE!q(PR5jz1@eGU0I*3n5a79UA>>?mi z^^jSRCoenP0Lc=X{ni&8?eOzpt-NigBRbxb4Ad&s-vh`Mz`dk)%`sg#wU?U8lvMg4fgwyjQyU2WN?l!7Jy;Lfehs) zz4Sc3)Rd-1luQ zZGxEWBg%Cs_b`CB;8%}CSez{3vdM^4PZHbiAwVv1z$Mg&9{Qa<|LW|m&XlZh&)}~$ zzcRDI)8y)kO;7${SBzbbw$NV%i+!S&*+?qg&yE%QpY5{_(K7qP%fr&E*fkK=XGL_%DwFKyY@p zS{a%oi|l8@&)FRtkHMFWJ&AgQPX;>ccRq1o)6RnjHc8BX2`koLJoSCo4yMJq%mM(J zKO5lpo}BJJ_(+M8-h5PfY~l*zdpk78q(_c0>C~O1*S<6Ze;?uNK2lFMEDI496cVU^ z;9rEwfgiYN8~Yj_?VN+3cASe^&qiT@{4ajnH)Hk} zuK%JqGeE*(Hx>{H4Fu>7feuBDSw|zZ)3xyyEu#_c!|n=h@-P*iN=;BA2*)n)DUW^S3kqr2fiF)n+AeI2v|%Rt}S!9u+< z#q;vA^ex8T@Q1g~H7vb0!)?%p+|0jor-yb8W}kj?!Sxt!+%(V)f8G^qMDRz^3VM)j zu6egX`bKR3o4*8R!4{}hyrK{4g`G=acmE4nVcWkOnYg3Hjcwy^q~lv+v%z z=x%*!5x>tvjc%aTOl9`H9DZx?$6Uen7wq=O(|9RBCW=uka9NpI&wM;O{GQ-}N}TJg z#1BbJ(qd}K{V|};0EW77w5GQ$%?`ol6jbC?SEyb=#!A6k4MR=ncRt@+wd3qH*T=E# zeBT>TiBArP5wi>Q$usK%t!Sm>urdS8e=-^E)jrdU2k#^MVKD-0lH;%%InKo-*Foo5 zSd?T|BQJbzKt<{gWh(M#Mcyw?|K^L@f4H?IiMok><;XkxSGadkKl{#?+24+8iT|R* zzqhhStxK@$`QPX<#~&JiN8jNa>V{f$-RzqP`X=T=+aGxxEe3rtF844C8&1p50EU9; z*~z%p!veo+V@#QC62La!^^ofm*F&u9+l=1$UU$9Pn1;o>jUX;?p$HZAdw%_@7xMKE@-MVne z8fHoz7uE+JjO}3C!_W^0_QrcZ`Z3yEe4(#lrRX@P9p}_8@?BV^IJbTK+#B2OpPGky zv%i2h4fOuG%Ybjl@663i zR+yi*bORKs%)gdriKM^S2iuOrwamZK)6zy|)`-C{Zmhjq-^2AKFYENQ*zJ*F835M( zioF#%`^cm=ch<>ipkT}0t96j-7WP$ilo1wAXcXT_*D2>qlBLqc2rG9#g3;-dCtF>C|5Ibv<9KK!Sd6Y40?VVXHs_;WJEScd74U%ys7{jfI?}%tL zCzFYd<*hiL0X)h8mo}+RV2R{48I5BbGQRTQsku^Wf$$HIsK!|}q?4(_R0%f)UnKzW z3QXKaItBW!HNt8Is84J`;v}jbr7QW+4t?mEkFVaj@9N%vzS#+~#JCOaWukpESc}Zo zaOTL8keYc6PeULb#2{y0&A$1>jq~7@um}NqaIT&wnN^BPSty8G6*8xe+<329vjTF% z1|O|cYcNERQ{37NK8a9P=El>R&!o)ioRkUOgUC%tD+v++5k3(DOm&K~3ONX#xz>O9 zYW3|HK0b5g`J^>`q6+X53bK;icFbzjB|g<+9g}269aEYUMhngrA^S&P%20dkYXky` ztCd_a@qJF&E;K>NJ_%z%Bp|PG2xiCX?MSKvjR zmZ)`pP%4@X1d4?h1;)qMD2ZyTEfF!0?z4=LqXLr@lNOB`ZWTf{E{mvw4`TchpzPK= zELpX@WkGW(nKMS*NY@&XwYEm}+2VRh2Z!h6km0bFf>X)D0vrXnTxU^kEkM3Fy7N1o zf(1h|6r@vQ+62cKbO~eT(f61!#MUBhXwRQN3;fUL57=&$43&l9jv3OZzy`j}EK)a0 z)nsY3-gq7M(=&%zvH`DzQL}9D;Kp&|G>G1Yk~i5h)g+tM8!u24He0eRlu2VOH|oW2 z19gL%pI!VfD~>aEc@nHn(xZsHmnes4ae07+qXONo&P6u9}YlXy_SR< z@=DJ~PXseG*&B$Z`dY`g@XBjH7y$qj0F=7pe=2v-EKLE}$z7Vc;yiM>5Q-DS!rLkX8V``dO*}i}89OV~y91{LKH%uR6bQ zv}-lj_ufO!y!I-`GCs!T@yX2D{ zUmx$kk%FT({n`!o)nTAy)gIpK|LkHrV4W^DKYahVu>A1-$AxP*z*m64@~7j!FFAbB zyX>gnJ9pjN?!EGv(!AsTSJ83?f0gcjs_;yu1k)dj;0{UZ7hwd+$Gf zaM^qR_>T0h;&Omw9od^9U){uC!M75$QVV#iC&wRq7|yDF82DG-Tl}ln&fsURy>=$W ze&VPfPe6)~$g2RrivP%azvE#bWVK~ZYx4v9Dn;OC<^}-kMW9w`M|&!ta9@SffJz3{ zlfLq=%3<$bC~LT>&Qwq5fBK<%?sr1<43&?k0-oIPIA;4mhJ8s`8b}kLt;5NAPD4L6Fz4_ADQ4kD#ki@Q1g&dM*CPrzckU z^Z)y^n+*%%#6N?jR9ks9n112OK(80zN`Pm=g$7K*xO%kB4+JJ)H~Ejj2@3F;;R$pob_vWbcpc4+!fSiy*zO_# zEDPc_=Xu+C1@pl;^!z$E!3X^#$reLG1$PnB4o2=_R8y$jZd z%FrV4o9*Ni-cE+wlkjqSZUa+H#$lBR;474R4(Mv|+P`z>Zj{^KWo+u6KyUB{8EbSA z9c;rJaJ>#>Dw50;?0+zGB|V8=*d;3-uZS)y8k6a75~mJGNE&MYQh zB6U!#b$+08MW^yf`K21zDhGI2IfD1o#gR&QtvCYMtNdtrK5+msOSlBZ2Af~x%>C`x z|FUoSMbat6h!t}4mgXF$~;!x*VkW|c~0#xpZ0ZElRCFi$ZQL^fF=+6v}2lj_1M#jS#I zGc(zlY^x-*3J*HqK{iBzXFz~Jm&nXua1B%z0m7Do%(lYJqkNbAh4VYtTJD1TnM1}9 zSS4jcfO?h+U!r71j4Vsg!b80JjX~6Gqrj~$nKU&^vq1`&-sH=y2_3e<=^thRjCCZm;a^r)b%TwmX$f6ZBix? z?og~w<#Cxiw+;qE|1(qT-;T^{xvcHhL!?8|o7EeSppj+fYgjPdcnFg*GG}@N%)Xfq z&oqr22h=XS=WpM9<0%mNWey2ap#Md9%5(nH+I=^kpmOxsrO}X>RnHCvN##UEpKD|n z>g0x6@5CqYg@K2?%$)S0bg)R%+29r<=Y-k9cP2N_&6BC|#a z)5sgigY+J#OQsjlDkh&}wJs?POCaB7K6F5fb6d1Deqf-ng5`Ztl&z3>Kkm1xF^*Np zp>mnM2KZu*w{-~(0hP-tn7!R2*Loz6^)V9MqVc^(%7!~4tUQ+p6^|6M4V8$q3Asr2 zvP`d)pN>LaJ-UL%Td|IKgSVtpmBwIsCC%uril#+AR2QV*<`+ie^_;gzA&}5nU85`% zzPXXHoHcsm2ZrW~SAO(ApVq54ixo1c_jPgCjo;*G$?h_D0y(@C))zP}E!!ZVvj-7> zE5oeC2Tm<~xEHCp*7u5PR_VPU*^IYBK#8np%VTW}uEtg6oq9bt5CFJE<58D9Y&6!` z3Eji{qt-cP0$En13Ht~mFC(=Jb}9_g|l{9(~osSgFw2RVP)%KGL(EHgeps z4;c#Bcwx#q+*Y_xm{n7_2qi6JdV#iNSZ`6M{tZ%~U>9 z+d|49E)-o5S#u4NxNm7^l^MNCh}UuxSQ?U)ZgwpXX{nNCd-;@rJMqX@yoh?>g5+-B@t67{L>|3C4LUoa_R_SeqP$;h^@jPB}$`t~a zY_o0^U^{h5XDCoR333&BT#2h@Ney9L zEacj5lVlkU0Pchv#I$S+TZZdqY$mUq3&Bm#YMh04Qv^h6U~nm_NwGOApsZwC1hha> zQzt;vf+B2Z6jDwh!B}Sn>8{$KM-*K)9;nt8C<9&1-6rtsm{X8}1!gtBY@t$W!k(ZS zi7m)g8r&(5S@O_S&a57?BsCD#iL%>l*qCIY24;oHPT~^i@%nJ5^p?>nqF_wnLLC1z z$LUH~?6tlFGD|o$kM1sVNkS>D%s~;iWO-6Z>n+7s7wX-C{69T^t93{&o4cbz=#Xu6 zA-xN>^o6rZH@PD83c6oSk!X4LQldC06p@B~J&;cOr~H#fnLFs;z<9=%3!6_LU{}|0 zg#Xj_6o$XEU}bgFpj<%WB}&h|OyP+16nM<| zJ0;ia5|zycWd3fHQ3&gTb$gqjm-5?-BKz+q%UkI}IV>rKzVJ?D37yn73+29sX1axU zO63)O)(ws{KQ8RuEgWhFa1S#QW9A}TFl3+&g1L> zT}$gxV^%Mjz#rHLDI|;S$hDRRZ7YV(k!AqMCY?+oEDS*eIvqW-9>UG+n7_YmDIQ; zpbCH;U1B9ol7qIp_qD7=4Lp`OFEgf#8kN#ibCsMYWoar!t(5~J*!v<6Gndmsb)<^W zDgfWVTnXV7RN*k8RZ7;~t1^ot z$)tiYYZGXyThRooLsG(?{xQg^eZBMFuI;ql{LyAuwLEaIejOhj;O*Z8I+G*GmEeXU zZqDyH*BE8P2|e1GxQFvH z-+=cMrVnZ&r@ zO)(BO%-YIUK0}8>eknvO`UA~6X~CgNA|4r=ezu@aV2T;B>`)UUFE%{XH^IKn3fJQ= zkmqE!qxocAxQP$EEk9=c$nli{JGbSyG#it`Es!@>U=Kx<#{1|p`K(g0y0LONO3!OW z3tKu$oM+|z9B~gkaF5boyB{)?7bgdhwPsA3)ZW^1RGH+!G6{2UG4v+KqR=fJDn4r2 zTzvOP|3n*Kv6Q=C`k3L+krQX5bNH+8I>OCdl^%Rad&JW4b!ITk{T>&{_J$pf13u@o z#s=;=l1lG9?0zSI{omA%;NV$9``Tn{v*6~Ti%%-kYJ!{upq;i$0UZpJb&PLk7;{YM zp}ASRFv)c(=g2yDncmS>Rms%RnPw!-xY=o266T|#*+TMa1r0bJ9iIjKqtBQ+ho|_} zLexpKeEB*QscmfIK{kRQho*tah*Chz8>AgVE6$3Qj?_q~Ob=CUeZyOJc19ZJ3U`Ob zQ*o`7O>GR)70T%*`7EzbF`;rP&o>%W8GFzA+ZuJLr8PNF+5!CG=~{hER~=J@LGiY7 z51Z$jV))mret91VYo$4bgGlZN^;(EgvvTnu_g@wMCg*LEwL}h)2>9|tV$LFFxVY3@ z^bDW0iZwo&Zm>5#3NhVdTnCE>n0u{K2|KrO0S7K3zt(KXR!*J7kMPAaO~#+z*fg~@ z|Jk!Qn>2N<(NrQwg3M-SxKCjzB~L(d!r*AkiL(~T&llReusl+Q8+36zDDl>E2#o5( z$=PNAKuPgx%v-^!n#-5+CeW>Svj(ON?0OifX@EacKCH4MM!i)>W5Gv2A)Y(aM(SRy zi`wFYXaiORv54pLxOry9p?1w`WqB2PgBmE~UFW$akm0UjoEnx$fVjDUj!)ZtQbCzD zevyCPXJG(rBgy+D%3oBL3-J0GD{2~$&N9|(R>{f~I3z~TVbMc(xTO?NTddhu0Qu<( z60(H`-G+xRmTOc%!6Hq@=t`@d{UwLprYM(1+jM2KdfT>k+L_<$yj4PxVjOiygBqqH-v|FrpEC+!|2? zK&Y^-V2*)5k<=+N;Q3{d8@V870h(Dhi);7;oJ2?6W_2En*B~RZNYX<)lzxw->c|e= zMGHU6^=T%$L;~q{r(qk$qYgm_pI)vdk3S?Z=c&d7XA#D9o6D+OQ2xNk^~2(SJbtSb zU}?p3Of}-U7?(Ox7JNa8CfBlAT-mlGY^s@Vl`!gvq6YOv2pv4AFBuZIL^G{DpR^o7I@;nOx z-$F_pvql-*vn|CB$WJy#@FQTC?qld_o@+H7Q z2?(;@*H{$clGlX$1U-lO48OzGTJ1UarS5VzcQE&HMNCi<%4?(%T`v{pBzvA+p5p^F zO}Mpp{aa9Il>N?$Eac8Kl^xF_w;O0xslChDdik$_y^p@ zes4PT70Ip0YZYJp{J|?*^4}P_Re&uyEX@hJM43DaV$21Q<(uqQ&#@T$3{PLqjM+|i z>##nW9;gAMj+Q&hg)H7#GdgRv38p)ge5@fH;!b7hSk$s=B(|Iil`7OKsYO{e0c;0{ z5KvjDP0p@_SXefys}vVjn!P$hN(5?_1E+DxYD3~>kJV*WCz*uRW>WFUan1tlEr>HU zZNl0*Ybznc=NqhI3gqpr31AC0QrQBWD9KE;yQ`e zq=Jf==mWVm4cZ2U1Mo+xOfQ`V>l4vRHp_qZ#QlkD`9EBLt1RB(Y&>q%>CF?55+7{M zFKrxth+k}({8vQ9E||@!o9d)jG`k*}*1s>v+Iv7K2n@(eT%N?n6gy~i~yUgFpyWZ0EuSh$p3=h-U${yqjr0^j6bBGiw(ulvYOtA*<82b*x zOO4-0;sQGY5gYP~YfwlM~>wi)3=IIN_r)ENeM7M^&P#o%B@E!JQ1oX%Tlx6P)x1t?^r=mxVtU< zHu17>uoRj1;t|ZNv-ZBxD90{yg-PF0gDfHc2mxxD{=TxU2|KxJPCRRHeXF{M2@?BS zcs-jfpVj(il7*eyy%IO(=Fj4nY&9B{1ApXNL`JpBso&vlHAEFHdB?=bbh83A*&11`Z1}-OS<_y zf%l0)8ztOnJ?>FwY`LcbQK7?@bazSd#zJAHhC}p-N6!-)_G>)1wvf%`Ua3-gqGQrA z+M}4808F~4D0%hjVEFuBrcT`~uQklr`RpVmShEc(Wd%F8HCGUGBt0mSBv&NRV3alo6 zq=E*1s~1SCniL%3fUl>?8aN>frFnu(=T{jCqOxn0qzyhlFXPm!N!o5#f_DWi6hT;~ za%I{r3p@ib6AWR}oZ3yKq@`8hxK2$IDMboeT6j~TW|Ef8F2F~M44R1 zumuEqQ=s+QAJuDZaCMo(^c{*`i%?L6jW;XGlSV9AVwQz7bF|c2!J7pu!77k45-R4X zlnAb)jAICK!@!gj*MRMYU|PZyWDPK~aE1p(nMI27i5a++`7X0AQUP#B3Myr`QC!?6 zS+nd0g~3}zMn!?5Ky#Y$Y~^ZJ-DU#6ECu(83OHrB18%9zcbS!nj#TCv%m8p~f-}I? zs5O_IdF|c&pZqJFmO0>jQbsXwewIr4&->JD-K+xr$Eku*4I703OM>yDpWNW#vIYdd$mW&-vuIZg=4Z<6 zmb1W?LvVozF0@CG1QztC9q{8h3R4pnC#%EcEDF=j&9n-IoMixAMwHCJi^vhUS&FT9D-lXwN5eol9F053k8j~eQf?5y& z$*FfjRjmbvjHPBZ4I2@%ZG;d~1b)rH`Od!4tX8xNT+-ov!WzY_?~rY~ zjr>I>T{O!tSS3*~AY%}fLrj54Q-F^!EGrW(AsJYAYeX@<0W=&%f~QQ{48}>CRkN4^ z1pB%AW{>IJU4aJ2__&ZXtN_s$~D&TU1jL)#JCSA=EqtI%y zGrZeXW1N}DD`Kq2LdW^o-?L~jL5kO%;%ED@LI2}&N%5oq2b4|}ZhG6F~|Wh!DQ zz6PGO=p4Ab;V`La6^H4Jt6Ne{7^&b$m3*QJ+NNN#swPV=B4Df2nZ9gY#WA3^l{rzN zs-P8SNfs($$Vw}h&B1jU`f*xfc+qJs=hp47cRil}@!$5E6qC(NynGJM@|0p_tE38G zvx|bcUI-_d858&eKs%T@~3iWL4skgfol>R z!Wd5%YjUBLq2_31@zQGEfW#W$p6wD8ecD20c{n%E6FvpvL?*ziW2cbG?Mzi#)odjM zAuqYAS>&OBSR5$mq#k9c8nZ2z=PV2xj^70v_X138NRg>kJms?V0RK@OyMs-t39Lz$ z1xb+TwSp8^v!MqN$|UnZw6)M&mTKaQv5n_Ga~}R7n}03_1)Mn~LYq}^7R5<}N+rRW zWVWSL2#4S-I$VcZptID3%#l)vrX(iNc6X>|{$6YnDQAp#*%FqV2^b{IVlG@f2ETb_ z7Hs=Bs3vh8Gz#d@(9bg+5oGK@AQP-Ae4)P&RwBtLTwV%WxK0s*Y@3bHdL(rzhBvw%| zPR}g_A0kwm<@&`x{_?Nuwm=)t9JeDivezSxNSsPJT7jn~LDF}_3UM1!4bHZ%Vhxid za;Awk+A>8`eyoUQHCyJWMjd`j722bkOJuXo+e{&wA}la=nw4cuVkln|Hmgj9WMcab zRfaEuvDCy=D6~@>#3D89ahXKkIR-7Ilczb!I>5rXYO+z-Z-XvP>De1s(G;5p!WJG3 z(If*MR)vgoerAiVl&_Mqc9vBjm@b4ONq_YaZr+&AgGrDhwRzw% z%l}+IehMz&#z8toDbs=gxZJ0}CL{&$0*dYNauhYMjr65>Ncxp0$bbsWG%aL?F#^h! z%2J@AP^$<|l_(|^SXa5kX0GVWAQcOEN;Yx;M2d?soD3riG|60rh~_)st+QiXg$5nV ztf{tKNRtYX1bIMQLP=*n6V?b; zVKonF2uw_)?OXg`A3VSO{&zC3!3ru_qoscCJSbllYQyInJO65-OMkj!H}4 z;>z|exnJpIWb?s5=x)t=b{{S98p)ZY@t zy~>vNFfVwsp zJzrYQT*ie=FaK*E)O%5V*EpRxP}-fEGp^J9r;A*CqRxKW#ps_3-~Q6x{E6*13!LhD z`Ur~_(Gy!L|IvjX>sw_F6pwmZX7o0>sb+ape@2*Mw+%==frX0Y6BX!esqjeO1aIWI z=V#OMF>btO_|0?(s8BUu6#1k8us@=S05_X1BFbm|7;)7U>CHTl)ueQGzZRK7S1WFYmQf_$pV=T&?=o(VWq2eRmFz9!0Nc zJ4l~&{b;m=4C_3|c@4 zUJ2D{XB@OXsR!@52cIcZ9@ZP$Y8wlTZ^}PpdoPFHL5CUpY`R2_(T{BpyzBZoAaZ8w zXa~C>1;0D9wx+972|m?4=6T0?rn_Q)Wxu3y^ZH`4D`oFqw0F^L7v43*CDDNzDShGs z`mlsOA~T9_hAzHvcf)+iI{Byahop<~0Vb!J?U#4p=AV=pPyCm&rDA5&-&b|+--7yuNtaV`j2{;`Tc=*VcN7DneUPpn#P*Y2h99zs<`1-9bt!Sj_L9=;%Mk| z#?vz=H11`>JI60nK}2Qb-yh8XzeTq?N%v(SLq!H@NONtJUibfi?yudUy&8f4Ld(E) zTt`2JGXFstv4BR;kcnjnaA8U2&Wr7K`keQ=cc?Tz$7SwBU!3EvGiTy84?Sz&FnoqN z9{f*4|3~Sv_wL$X(aZibMdRHaPuDZt;|Tu$fO(;+xV&Xz-rJpkhl5PtWN^>KN6NCj zXv@=s9Ygeq{d-=5hmN}opMGiYWF+u+V|D%)P;ucsigbFLOH!mKULMv`RPf=Hu&dznLb&a-crs^ zI<~gk0q->Y)Qj!a{;r3Hi-qx+QMq(0_jzD ze_6Sk9Nb;7BHTkfCwyPQFmez3HehHjOeMPk-;?>@xn8=Pbm0}{Ieg+^`z7b2zs>Ir z+^XkbiP30tH7QFTitZ9Hl1md&NOx<=8X{b-9s8non!62{t0!5?H%MM#e?<@5g&+_h z4Z#S#%$}dM^dxHL6bMbt>+~>Ehesd=+2-Ggj>#EGKi&V7Oqq?;9tnPObZyTNAUb-!Y# ztv$&Rn-6#`oCOC9@N{uPE&u_3Rck^4YSK7spos#kIlu|%+F7pe%V@0D2_0f03egPK zHe|1+c%KexUfXapYGneN#Yfk$!4|s28uqawauviVsW5zj0lxm0DrF`hcHAQ>vJOWL7zFRV)AgKwJO4xF6CMwuz`$)*fYYNSxvbG%KEZ9w?Af(15cEF;SK_*qt#8P#9Ck)?` zO>%8L8sD-?${}VOTE*wus=$U{O)_tC$;@3~hM2@04Nf$2MT&qs;1uOoG*+a>X)zZf zl*~46M$H%$CRhktVI2?70g}y|#YW4uf*~}OKmX*7-$hYD#^b(?dneFUGolR$>=nU; zP@CtjB(5k(qdr7ZrJ<2N=7*R+n-YTmP-Aqvt|;v6-v|1blS4scWIy@Qg;gGYS=lEq z`sFpk8e!3TwPvZlLCGs~R>Qsstb<4NO^D6!PK~s8&D~aZVB_Qky)`ElDl5;y_$;l{ z#<1w}a-n_mc(tq-D%r3FS}30;rGnndx2~F9y-1MOj|T1Q1*KXL4=s2;n8b&~L*g1G z$Q}g;CGWcs%V)!U*h@OOlgM19UFulX>5Eti(A~4ZMhkC)803rISoT0}8;ffyX2_>ni=2w@##m{ zjMTab|7Fi#i;t~t`{$eGn6n^<8teIqXxU}9^kazVi}w?*mgNRyF0z{57-UMqfK!-b zPqw?Ta5j~r8_PA8#(@R)nsiioJ$~|N_){ZzjNiAc1e-i&MQ)Wwjw&Yy-J4u(`lrqb zN6}d>aZ$*TsdR(B0g?Jg=k!mvJNU_HnsL9CF0Dw}A=n}9Cv|N#`pApPZW`pnEqr1S zakhE93J{{QUSyq<(U>7rx%BA^B&GHWs|o1yjzd&F$M#kWEyDae!*#V6nXuTp1mSRq zyiv}MOnaj1TtN>BpAH7`Mx77VHccPjBKmAsK}e8gPlnm3Hc`mLKK9N!Y1Ow>FvAP; zBqzjuqh=OzrH>vJrsOh7=MybVw>4Zx|FeICLO7;OS`$u)2PKcL&G#qVXi@Gcop#67 z8G69A%sZU(v6q{p4>g8>S%m1To1eOG->03nn?-HbD=>G~CHdY~^yGWU2tu8{%y0u8 zd3SSNAUh!}q-*gF1lE2#|UPjB|C3#j4)|pyBgJT+rTayj!K+oV#8zSKlb^CP>XbxnQ-H6 zP5L&EW`s($w9=|SU!&h9&r4v~D%(9XT*6=J-vFS=R!w)d2z=k9eA+E9SJQPxjpe9; zJZJ@TR+*0tdyHW(ooFvhtcLKM#*MNiE*F-~3&2eoZXOIi!iIl@*@*N${?qaLa_{X| zPTeeb6URwIynwgtEI(Qm)GzYG-19_EaDvz+1Q|pC0H+COafOy8^a-Z*`cVixk=PR= zAdOh;#%6q%G*f}*6dzzTkarD3)7!+LXrebEf%(Xh4?0UwC)$H9S-+2|z{KbgaD!Y} z>cSCr*v>0}?YH=%2{bGE729}(fC%I@UQt%H2IX=#eqLuI`~m?vCm&|S1{*{H@g)qX z!EFf+<5LfHcy;gX<=Rjuupzu;csJ{`Co>tx&%r)YG8S1QGtP+uk|HfuZcGHgQM_Ff zAUQXNh`2F)7^q1P(dAM`8$*o@K#-gQz=&Q&=t1QTL}YS6w`o=<6QEgclq|Bb9RBu^ z)3?vx8XDFqbP*n_-UA`Nyko{5IjhV)y(lQ;1ea8YOS#BMq+}6U(@bP^(a~8YM}(D# zFvP&V*iVEW0l*LuV?}6=3oRY_3Y4T``yHLv1R_ib&fetk3jfXzT-GOEWJYWQIs6># zt`?y*bDC^bUY4s3H#v*t1#H^gxek|VzS9Ka!4pUQ=aR0SXppJmlzec>EJBjRe!=Uz z=w%^_0JSkO0m?Rwb^5OOK*>CGffHB-)R)Ysj`~w=_&%kK%+%ph>ugB}W8T2v9lW5_ zdH+tX8dyYYnRCJ?NSxcl4&ODV>=a6+DZH&sDb=RM*W&L32x!JmoDYh|83#?j4xdGCwk`TzO;&E`t2OjoaOzn28QCXF@@ghrn%Q4KljU_SLvZAyus(b`RfJm za^j(}&y0HQcavnvIma&XSFA@M>-G=mA;*QlbM{}Sz0I|Mj}DUy+kbnp`JUtD1MOI=m*AAeEmSr$^+Hkdryw1xxoX){^uU6 z>BS%VXEq@zSor5i!!B{E$XtewCJep|h zTwmJW76G=g^wZJe;HI~|Y5%i81KT0DxVk$&EdNYyyL)qTx&D5K@c7hO zd)qbdV_*V*asPXd{xm;6b*m{XzF}WXh1Pq?mmfMR%$4~grRkdEEEmzaONrG{x>mBR z&_&SDgKx6?pNiS0^`VW+#*=~7_&A9uOWa5w^bph>7e5&d_8a?_-wE25=ym$ngJi4F z&3^jRQ&*=J2HA_orRX_st-g+YeLtz&5B+faev;n6^z2E*>h5Zw??@wka^fhX z^Ye8|_b?Z%Q;O|{qYy-{r#3oTm=6g|+c^Uha+_9wmO6APg^oEGsaV=ECTQP2c z;U78wc$c~D<6`M}^r!%wj-ep)+J#ks$(Y^uWR#QtP{A#IV+5*T23DK;)t~-mnJ9zo z&tI`Em2(sK1!y2k)gyg@Jv-;xQO2(?tEvlExI?xF=(2JYubvnLml;@zqBkx>JTKem(y ze_GpKHl~0881fKms+0v@#-P}(<#19rQuMfCTNurA<_zQ<(|XV%vrcQ}q?FK(Qp0V+ z+dP!Cvo~pk(DRs0fBEnyd-6Z{^{uj&b8&${k}6Puvuu#o+e;Cmvx|_n0hZ(;H7Ir% z;sBcjFd>tTS1V#C$JJqRR<6M#YQm^TN-i`#g9}=gFjyl3Xe@<I7w ztq<;3F{zNdNXmlpcmZC_A*&Rei@+%>2#N;MIe8HwX@*B?TG$xUnIn`)DKr>}BEEy{X5=-QQcY1+n9Hr&SW=I2L%dHcX0$x`V zx=m&u`Rmq+{34yYc_2!5L6)x=UYoh$sde5C$%3BN!E)d;^N2}($b+qB0U50b3U{Yq z8x}j^ZR)+A~PVgqvn{*+wXqL5p{nAnpT_9q0{s?Jn0 zMk%X@y(3j4yjKT`)93!5ZGQFk9`R;rnC_NBTa*rK0F_dmSod z=eBR0H>9h5zu{3Yz5f|+hOzMI1&48YRJugFeo?Yxwy7^$8XauCTbemehHzQawXdp0 zD`k~smGYp=V8;g%mYL9BG_ZJTDDzi!- zyYjn_UShRh#;u{BjP|+=4l-O$Ey**^V&Ugyvxm2Mhut6lK@hZ2`^cAXs=3g({)a zdV~oy=qXXQ?nLTUBRF)>BTPp5OHppsM1BkFiwM4`^^^E-mZx44IuB29y^Bl6+Gt*6 z=1UOB?jQ1B85=6t%YfxrBz*}C$1o&zy0WwcN!w>@xmOeKLgg^&(dp&^g2C2-X>8^3 zC`xXKyvcqp7}YgcP0d7&)qPR-5%$1lcCIYm@7USUie4jK#)r>d(&z7;2buXRO{qTw z$ScmezM6n9vYk22wX=UPd1B#5^lj2o5M*)E(L?NFL%tPHkxKwqBaH=8qawGpZX_G_ z1F^9A+{_j_c}%D*TPilZOn9i(XDo)el}9_iEu+g}$@ikL!Ymb#)84XfDO~xm#b0PV zZiV6OV;9Kp4Yq9Su;)GF&`${WShxpG^`;7iweCsdw(SYe;7@|RAD-hvb^3#NlV0`b z)T{NjKMnupW{u=Y_GDu{a$RAs+zTEsX^TztjJaiUf34TS0>J4+hKM(I-jmZRM5 zf~|KKP$qq$N@)OZ9!xd#BPr_mlmv&mJi_u|u%(F0ZxQmnov?g}xVqTopDxw^omcOD z9=O|&O#Eizt_jx7?urP@<@JaxZ#cjfbyc|P(Z`1>^g|V?Ys&-LKeDs+fv11PuLytC z02}c1G{7GYaiP>BZVy@+gCN9XiP`oSo-td_&X@;l7W@07`;T;nzJeoV{$oN5KrAvC zN$VegBMJbA&bkZDTHTCS`hp&i{;+w5+2GcG!Qyt;BRnh_UU1e8T$HX$MtjtCWxFpX zNta5y$TIT=>6JD&lLF`Bbf`?8-RXJvjiLS9KM+RtUpT98e}@gn*xs2%d7{p3Be4e`-kPVMpSB7IyL#a)iF=6b(~2OsFtcrc9su$ z@f6b~j11!ENU~SyrU5Y&1r88Rh9Ipbz;+h}wo6%bEa8J5G`mxH9(C+ydm#%!J7|AE zf;wUMcE=w>;dVc>v%68Tu-}|BlsMY!9%;q=NQ0HC7>kj z^)8~v5STFWWh@t!H#U7QSgf>`PtiY)k3dnbpbV}_-`eag@Xn)iWKT5F18es|ykoT4 z|5UO{*?kgBV;)#d^N#Dv4nA5AlHJk8abRDqL@I$)2Etb%?T6+2Jp70S25u9+L2n6p z3{CmB!cPIT)();XUR46`GlB)ScytB#X2sJ>d7*#Ae| z`v=5rUHRe~G2j7XBMBMHgxI>83lMb_n!Mh=bU@a=ghlhG-O`{B^2^6hMH&U=tKRF(XBXNqnuCJ=CEI6PXLX_yV2K5!aM&=CM$ zCMlG>hrl!#MxfdNE3gYj62K^MJGs}cr=apc*PxkoV~unggE=8vbE20_j1aDjg0jlE z5!r*HK6V^f0nluFlCoiV?*(=PB;{w6CWE@=G(XX=E3^0_fHje^9pVW|1Q9*cNB2R* z4AEHwj?nW@)bpeg&Tfu1ga4@EKUt}khftCt# z^DX42!kq=Bqd!MNru~#J5HwJy}f(?2*E-Ykv?73 z9~~6CLFAP-i|x`5eM^3=z2$s6+0fSn-=FeB&6BS;w@7cNF71-`;#;;1{jb!Pp~uO! z2S&gzTb;%FI}I;M-(BBLz5l(BFe#on2!=#Q$p+vnWv?M)HsGpCaqKULuzXm}JF>ru&@0;kpo zhqjAzqW7hGeD0ZW^VPe+fBjy!T6JbaZ@WJc=Usqg`b0YT^tiIs+1I$F{#PB^tLI#yfYs9z2X(I}vjY)(&Qy9!3dc|7WI>cTZ1mO{xH{b=wu_H?JR` z+&Bba@J}TagFL*WH(qgi1%Eg*OfTNAidhh|_ABjxmh+gp_m7UJ;#Z;RcA&$-Dvz6Z zQK}W^C^TOXMBT?bPKjIln(~|a`m3tm3`Gx1S5`L0!>KF5ntcG>N!82f$^!tW{|*9S z_i3qh`&k;g3HLUm%P#`^H@z$TLi0OGh=^O$-QMlwD@D`pr6BTdZRg#q@sGTGc*o7t zpF{)VkE){2ZqIxR7?Ov@0ky*UOhd99-A6YBp|Ktqxz&z{MQ=Q_+xFq$m!9oQfeX&Y@zfMHaC?01yUsfE+I{D)Nki(T zDks{mlJI#Dg!gWoOFhsdEv(x~`s=?*S2ql)-vuwI%@Pc)fx4F-@Ay^?;1yY?{uoVu z3q=n#9h)DLV>PuH(JS%%mQ-zTM7k$8t zKCF|>j^TDG>|ULdTyvy(d+ID+97iXl(6d$BBcaT|W^o|N^&tH-4XwcEQD~F~YfiL# zy9OUW4I}85zJ^<@4(qO64c~rZm-MYCb_{(e9X$D$*N4wr-@1C^x%bz~%j3rg+)+b= zX=jXTQ+Az-i_z*xn-M)l0y#kifiat+h5QP<#R#f)F~D+@Dl|5jc6v#16^_+G{DI*@ zGhe4i-7TUOa_n{!7M7F-ZCZonzZ_mG$~F=FseZL3WSlX0}WIRa}alN573w(evsyn>8rhm;JU zB>CmB5z*b4c7#VhS z5j<;}G)8AwjX{MH7+o$VSa%d)1rjq%p=Yz`YUCL zo25iwL3FJzAS(bmU zS*)E=S1Gn>s74EzI*w}08C4)61^7=Qv()7eVJ>FNiD80lcI;T7VHixXV@N}`bgQ9r zPO(aKAxUInOQfK`SS@?}z`LUSuNM|;?QNjE@}iwNkkn!pX$@PTI-`2FpRC3#Cs?)s zYJ#6OtLZ@DMzAUL+QiFL1mtGHnA>X=xac*IPtzHne7&s}4;Gsl!?u$XS&adkLD0=G zkXQ{i>f*LZLrkey_8-sBf8qyM@7e>`A(aV77k2OJIJyvfL;TSK@Z={J#tv_;z1+1h z^QHEW-Ld;>?T)X1HJpCCc30*U;Od+ho{xO4*7SgN%s+eR@|?^OAKE2=&isv;G;D6pU83{54@0h_ z&y$a-`>sB>wY}pSJZx*~Y)|^F7@!&Dw%&YdA^o#8r``e3qoaU-^v&l!{`6LQ_G)Ge z{l^M;$l-g={(Hy5?(YNA&+hLBBlGE}H-iYU@B5LCE9U$O07R`g3z<5<{#IJ#XTqiu(2gG7{pNe-!{Ui+Zvq{! zz4`QAUyi^_VFPO$5MAUftOyBjULgQ^Was8zy>}LJJ(2`JOu!@PeOZq zHoX;}=#D^hJiRdckCC3MCl0?!AB+80aL27X?Ogz0RQW~-0FE|#U`UXudg0A4-2GU` zf7Jn%XHNHcZ6N>|cLBsv)xhqLqL+{ULkFNMeSPN7JO29YV;z8K<=uwp7O;Ul;?LGR z_m23p-06kC)qefCZA-mq6$$1>+pBBEg^Gc2#ZlmPcd8?id+7+E@C2y`vIC+npd0N( z10Ki@5q7{W58DVZcBprM_bwi=cL3Z7PKf|FK_IIH0Y0}4N(s>0v=QBzo9J1K_XMOJW;qH^P@AzZDz~0NTjI=ka7VfHvBU z266!FI@sbI@Lo(j_#(Ikw->}g^)Yj7pn8WJq~-w%phxwBcXOd*0OUHj83QQ~;XXR+ zoThW|+dHIP6qxv#i@-5P;9jN{*qqrhz?RJgbEnf+2&^g*xMvdxr8%O};jeb@G z8~1*Raab3;2xz8XFX3GW{4Ll)6%Yw#og&g@a*P2mgP`^)CPgH`IhPTv0t_R>P#5r> z9145JH-tWK5Mm{@DUFH&9e5Q8l3*vzomkM^z@3bk1#Q3R#uUx(N)ncxQc+*{@ypG} z{;AWeF{Dweqrz3hkT&V?Ym9_&P_(+JD*IZ502fq{nu+KV(R~7Gl}0r-92_@?o1vME zSf8U#S^?YYBx{^J2HLhu1~1g>R}7^#P{R|ZqO=N)y0&!yv7nzvHsf>}mFpNkrP`z- z{kdZroH%+;^*g{iLtt!NX*f1`4(Qc36aP??o9Yi)rTjEkhzj%&rRyYCvNR)#O^-+%Hqw`}V;2G<}JK#W?E2(

    fzuNy>VWE=uN6ypE{1 zwjpt>y=e{2DK#!j$T}BY+3T|&p=XrUXi5)?v53v;5#@nxd2Y%WQj@UUbQx!j{d!*O z0xU37JfQ^}BF$=?Q29)<+o=_zn>u$$?TMX8k!Ph|@w>*=>}I6>Nc@ZvrE4%KySpYe zK{d{!zN*WWd0O76KB}B{zh*+=?~QQ>j&_0lvJ|q!71B=0| zh5+2+;L~LvI=)0dMxRCphr_lc6xoG%rJ*$u-F?Y*Nj;lVX)}fO6map4CxbXtA@Yfo zSRmrIX)BKp_PO;W$~RArnYcMU4bdt3)YIU3kX>(z)~$FD@5l}Vf>J@c)tTI`W<$zO z({67Z>yy9+ID3ae6$yvcQgc!_BaRZ->=Lbr9I#1eWzvosQeCVnhj&VD5a72=8aaO@dJw7Cs8e=1dH3t8Z+vc1M_ci>YP63^>ia9Ur)hRA8nN!@~ z2&}D)1f!1ZCqZZIl?ZZ-j%rdSR567azf*H-7jC>yL$f4Ws(v8*=YIah(ysb(z|$C!B2y;hpI_MZR6?J#PlQ;YSctn z0vuMWL3;t;sx_Hh{$yj`@?&7hN!+?5T#$MuoWjbCdu+V!qOBOi85s&)fJ`17D zloUPP<~wP}NNQ;8DTuZiM6+U4AvdVbdPNal1+T!YHASTL$=lGG;mi#YG6)*OVV()j z@pU(jMqGt@5qAfuI1F(mAy#yUN&b=++7SlLSfRO+#Jv7NXf*T zI6bi8ySM-P%#mMiIJQhJWcnTK);Fqs{+$R%6rXFg`N!7ZQV-v>OW#6ox)}`smK;}T zaYL>Mk;B}!CRUWYw)ywGB?O+)Tc2Dr4DhZld=u-{VjaSe`|Gi(nv+8g1G8&aU7HPp zCi};tHrd7pDoLq;Pn!|N^b>8$VgkmHVM;>6GNz3-3SwQSW%k;Qu41`LVIH?Il$Qk2 zZx!kgz8haKiisMlk}ea*7I6XYj7V+8VtP;_Nt4T@ZAY+MD?8d01GB;pn6Vn7B)E`U zu`Z$c`x_O40+y>2Sh=0dZo_fm#=2c=tIIZjW|IBWOM%rSV#P%4Y8{hHFY{LnHXhk}LL3mxgQO=FJK9?6tv2 zHl<&5)q0~U?NnFIDd26f7F9)mOq^Wh-p-VMN$s#Mt@$*G8(V719i?xtG*z?isQb4h z4G~z&k=k`%8%~^@5aU0H=>uy3jfH)jtSd9@><`;=TTP>+YpQ*xkOWQk7JZ0U&qysz zDdTNvSfAWL%me+;e2lD_NBR)D^&rx&xv!cpB)5O0ZAxhoL5nikwM*qousE){&QVQe zakVhkE%E8v+;jvNrIsVF%%RjH6ETp@InOU?uyqO2S`{EeEgca=SV z<1!H#YN)H5bG0?<3bo&1!PgI$!c&N@e?!tQRjVp%SaEIjkXY}Qk*5L=7;q&5@F6dl z#zY&TfVw0(j#`RAA~ym6gD8d3UCE8A6;L!5lg$dm3DOIN?%{4xRTYNSiVP#Mr$-!{ zDhEDG83@bFp9{eltwG9Dz~zlp%~3o#MXFx)mjA0rr)_Ir=%X1|R_5ZEx!UYh>L;xq z-|8DwbidPYpUwmKa94j@UQ$}?!A4Tc^ffZd?5$E&7uV5>b-FSkH*wpQjbM3__#?sU zfH@ENk; zc_sD~mZ=bZk(#VhLm9uRXE3U^< z`D=j>3jL)hH;^!)6Jk4+fp50}s8r0K1XI#&QQl$}kOq?=R6su~1Z2O33Js=97mr8* z1C)7cOl#B%2=i|3H*2)t{Ob`Y6r_OFHQe@WDs;w)Yu&h5B5As{LqShyGoclTR+~zP z4oC?2es@A0Mv_T-RlMJNlxCH+0&nf#YexIQIu}LBX1-4b--9K`Un$}# zR4)Q22cD@ciXg!*Me3XrNJJV}lQ!d$?ix>W8LnVDd$1KC4AeGsxl8ZZEY%+v<;;Fw zGMGfN2GIvv8;b=|odVFBNWG!xC;&WHv*aX3L}*4ocyL7HV+OT{;w41214HT%K6Aqg zn+!>6Qh7F}#N>TXiI-kseDatwq?nfwv*Nhi+DJs<$YT+G0XwaibyrbrNkKSf1eN$} zYCo+@=r@WR(zlmYZd{}j!(3RGpX9(vmG{4R3uEYt6dw}0pmtKzuyj9p$mP4NQlez_ zA-!0gzEPJfBiAQpt3|TkRH^}NMFKDGl0GM}z4*Cw8pGpfvTm4d@o+j-5N)pZ3BeXKya4Ux=)faiCf zh+XAiBU4}pn$wqcoYXpZ>g!rB5#FSe0PdA&RPUxtM(56`lbWx_Oxe@gU9y|tGEP0{ zVeKh#nA$HmEr}XZEIM`!E9;fkggtI0<#Fu{1pWrIIJ-o;s_!~DS#~J4OqZsrbq)2+ zD|xHS6u7QUYYx>pl?LtwFFx5Uk)(U*&8pwnKNO-Bc%~_*sfN-SmW&mR`I}UFnV+oG zdyJGlMt+#AyCRI&oJy`679OZ@=At3G&MXmI7=R|BFBr4N3(^I0ZJOAgXDUhX);%qf z5x1g-39Gnc#NAOfJzh15xP1#D-l$qNX-*uPJ|nN=~CKT5Py8 zA2AGP7Ull9+KTc+h^<70cGFXUXoJPKZykzsan3XL#xCUs=`bFvY>(flZaCPvLa<&) zl9+K;p{cgO7AM6^t95rL9wFhej#jm^@lL=kY20bc(IMrUx+m&9i76m~WpNP4ksN&) z%vZ*Yp{mnE!WnhN$KL<-<141lEfH}=6r8}5x+2G1LGukMHZg0!EuQOikL4|g#B8dS z06t?Iu*Hl!Sv6ElsnpS?GZ|i!R!f7n!BACk9o5FPSWx{ssS1lmOc}%_Xq6b&!E{?n z)|>unY9l=hxb|}7F#1uib;NlVYG-6VsTw!ny9*=CET#nS+Nc#A{{W2 z1J;D6zm5`Qt>R_1OU`QcTf)0HeR&~OcHp`>qyx_V3Mfrks!`A}>|bXXX&44Z#;8pf zxW)B=*US`vcfZdn1Qo*yRgmN}1o`AfnE^enzrukbIy})@ucNfWISoWcPm9uA*BL~z^U93tzWpfMGUkV zZN(J0ct)vaYH}%LniM-smzLLfzt)%Urx~->;S&az1F~jDp7@W?|NJzlm=;rD64)c} z2zYew0Mr*3yMGp^{Gg}^?^j6uT^Dvvc{w49Y1l z1te>6@9D@O1uQ!talnZ&piKac6X#tIaPWPE!vLtnV1xj|inf^7maJk#83*2L!)@lj z!hdtI^n2bdNW2G#0Q-Oi|1~&pyhjN>Y)e&;9NS94)@%dfENf z@7=q8@(1NuzUOaW>!{bR2{6pIdyC*8!Hv z640*K*}DTd7PBpHyh|&uyzhK@)eV2*9WSNjw=9>(YyJOMTFMD9r;q_&bDbD)w$#1+ z797IBSloWF91H*$0o;sNr~j6It!(&+Q8xF;^&2qqt|zD-z(g5KqZG;qRIufM|A z0iMwNTg-gDnp36>tPBHxUa}d##k^uI7wCGyJeBa`_+A?1KxNa*=WPNlFaa(VpZQ&~ znfZ6Wv|-QtNc-Kj<+g!Jg14ymcE9zzo6Ae5*Dw6Ga9%S|sLlt{VrPT%-@WvDSG%4G zs2tG#2f|&u^s%?@DSLPJdOpUh&SLU11GWC=bUl-I7mgPxv6$0u+5X>=YVp$IQvxt` zFX!_z7cLStrhy_}Tcq1k&fjj|tNzNb_gv9`d%k$^!I%E-&h@VU+k$)V`(4(62B%)9 zhnNG`f8@88kD$ZK)>x3&2KRb5Y3~B&y?X+uBySiyc&6LG$Bt~GhGTSg5N6j zC7;O5_yKTu> zl{yIubNkhP`_)5Dk59xN2Xu#54@vtcE>O782D|A)Qky%In(j|cA5tH8X9lJZP1L(@ zIo@vKE$7ukYQ6fFGc|b98B5mI^nD?DYt5lAB!8KGr8WEd&WZZ;Th1#xUGDSt3;qNW-($2H>u*>hv)f>yZ8Io`D{$6^Cy!5(QKYM|^{(5KcM>?DC zySM+z-uwQj|1Wy)`z&le651|(w|VlAxLvvcyUr@CZgKcmEsqQyC6ZO@`QeG#P@AwZAD|;6R!xE?@h?781i?zIK%SnNKqY5_{ zld`BE^bWI*<{1!qY1v8NZ+RzPlSm9`XuRX41n+=YJD~Cd3d5Df4eT_Am48w{-*#?( z!(T0BECO`P!2(p^s^9=-YTiXw#vuIG3rrzKpnnE}vKDBskwO^11+^=t5+i{8Yx?C* zMX$xinC5_>=(A{-R@Fqb0+E%G;Y~4}GGi;Fmj7JRYfg<-H5T-jJ}?IJOI97NDw}-B7r^a}28Palf9Z#e4QnIV(qwfrp#nKj&2j(Co*w)l#{d5oKr)2K>&Mq*iu( z`)|^J^8TSe@k$R5IJZ}+HT<1OTP;{jKZR*tH&Btt0(58Kpd?v3udkt$22fHiIKk+R zq9jkr-UKGlVk!W%pu@I-Qovzl|DAwdSzOPQqO^p#E*VH__$`LjI*(!IL)rn_w~FV8 zUuw`q8+hX}jZxg(9#$Zn-x@V#Pv5pzxm7DN zn4)@JeG+WkC;BBoAN2)=t_p-oqz+fIvVS{dfXaaDR-;PVz#dox>{-g1L07>-lXdG3 zy&9GYQaac@f;}cP-X@rAg=*r_A%$%n|Dl2>Rf`E=4nMN#>JIL`FCAGT1Z&Yk=n|tE z!+L!dGbJ%;F2WQ+Bq@k$!NJHXRZIgH4U0-tBR_G77`Rhlb)o^3-DKEgp0L&0W>XYH zC)Y)_PD=&sUbPfshXR0q-#PUpkgN+P*_QYs?Puv;07(nC5CWIR&hi@{K4e8 zOH#v-RA@MWV>2ZqeoPl-2TWAWYGcarW(Kf8nX=^~I;L=l)yhspuFW62`ra}D0&A32 zeYI+Fy~~^3*nb;}pHj%#arNqi3s{a_H<~H_fK+;$@S0KctZ>EcF^m_CD|(5dW^Yu@ zdbEPysWy|?uC_6n*OIR6ykI1_1Jd6$Pg!Twb$XAa6shZ? z>5;MtB?7kdCDkjD8pLcJDbj&gko;9yb#luf%05%+vcr;?d66Q1QWtdyFiAltD+w&9 z;?IV&oA9M(fLiS`jZG#2pyu^R#awp1O1@5wlQmOk#nnw4hlY5+qbk)x?Ry=yZMpU2 z{#?!xrneZ?>i$|)yy)~o;1)9;Pc^?al{;S9)aJr$;3V3*65rC9q{YgOAkk5mv)}&k zKR){TvUe($N06@T9zrlo{CbJL8pv!juzCv9qolYjRXBeQub2f>NJUX#ZW>eqs(GIV z7OW-)B^O%!jJOOkVc|)Er9xPk)&&(zhBO5PQVDdR!)naRh_)NCsh?Vg(V!*zjgaOu zkX*_`AX9bBhUp+`Nh+y=*@Wo_W0rd6tgEI1d*Dz}Ks{HCsRLgIn0S*;A3(eo>Bcvx z_xTZvvB`)gvr4i~1E?eS&TkHHnO*h&9b2kgLF57+3*(|zCe8uqX1pAsFF?>}+iW*e zL%C^*n}bz)J&xBU8nmPUY^8ZgRT_r%sV$S$;aV|X6|z4)B_7~=m8NZ3u&UNmRUP(- z`h}*bGo&Pm=DZLyOLc0NHH=7SV$s)3j?RcCGLw-w6L=#?^{7?h8>v^cg2uha4 zk8g3EZ@HuWI#cvu1|~%+9Z*l&K{`%n2RiOVVH{{_Du8e+qR>kj`!NBBwumUDC{Ag7 zrSY%|X1?fLr`Fgm2@vc6OgQwrPu5Cj=w+%-Rk zx;rJn3KZF_+zpXPOGzOB#a!Hw~X7U18^ju$wQ{=$s4^cLz zITehlgk%BmkIe3Iwn}wX#<#hi5VJ+xE37komZ-9FIjc5TW>iCik65EX+Q1A<$C^S} z+p!h|Fww}oNXE6fpeNvUQ4U8@y_w_!+Dk;%8Fh?`Si`H$bVRigt8!<&{;6iBET7Tx z5JPQLViyae8k%gzj9ECr!uPFIa{l3^_rJ8~Yt2h_X3k?Px{-ecqoxP&TwQXbqErSE zzJ)vts~^M=)>AIgE{#y}E2u3z9?pbqW73s%XC~hS9#m;zM1M1!BO67$r-&9gM!YSv zZ)<;K%-$l|R+frwN|0UGSSPqg`z}k}nBrt5AE)7=(|@P5I66@ahC7-K`)X&Z;RVHc zr0M?FF@L*qP_QJv>1Ccj79Xua4Ry*TK5s~Vj_v%PBX?@*OE#NY&)z7Yf7hw{Z_PvLcHM;y*8&63q&gB zAs)M$euylZ}i#yMLaL+1v*SNXVpNR zboJ8bnjS3sb?b63>boRPeJS{Oq7=KtpW+Rv1vMO*l`f$REnVQJVKJhu`^EaKvVfYM zE>6VJaR0>#ETSuY{Ihx`QlBRjj4Ii3Y8L4Y7Dfg!67n>b4AU|1jtf{M2zWzF%DL5o z<0MUFaMK*>uzIRurtYk9FLh5GMz{!F8Cnn=L)nRjl$EN*a&|7vXNY3)MdPPC^~9Id zT2WCAp-JxSLme`9it8I-;l8y3**aTk0Pq3rCl3o}>2m8x`e{V%Naph8bvs7&?p!Y&sUK z0!NK&1Xys*FTWBoz*2AM){;h;+QcasKCYwKS50S#UzsA<8K4?-bJsR7z-v%rN^_SQ zJDhp#-7`P z6G@xr2t-ot#*Q&V6p}Dnocz9igv7Vo_9TI4v_Of%h^QFct&b(ba9ke1n8JC(~R9iuI0NjkF67VzW!-6`7uKN*a#hI>fqS z%Xq*aeUsC*5+vVvwq3eV+B@V)KOmI7d|hSfKT_m|Y}xT?EV(n7#PyyW z4-!MJ#+a0Eb{xJ->pEPk00$Ky23KeoIIN&CL`Q~D3oKVD&T6V8;JOu117PN=r`#dE zl5E#G<|cIj1k0Q#3d4e6`0peQRFu>GE>olwNjRoRFb@#RPzuUXR}j{fnRLX6$q+Iq zX@NB61xOH`KSD$FR&8h=D;gT`dSg zwdzT9!VJGc;H0UofA)#1KeWE_lcmnU5CVF%tjr`d3a(%Uu+9WG)-X(gs$+-oiyFyn zld4%cN8|#@7vhXU%*15@mf3SML#bb51QFzE__(MHsN^_?HO_(5ZD&?Q=zq z1#lElU-F<3VU3}Q61PW`0(P5lTN-o`hBwj;*uvUN7YzH; z;v9q)KnRu;9Nuq%EflcU%&Vmf(CfI2&j1a|qV;*&>#u%l3FD4?Y?okYeA^$P%B2_Gy|wDQRE$;OJX1uyf< zj4)0#1|&*eY?4`yDWZ(0NsemJ)hwGZVxu6MoRfr*^EUBujh1RNj2h4wW6*C~_ee6l zlYzFiZ5X-m;p-4c1Q`DN4Xy?#rWzTIVHnf(BnOV=7QV7I@#^X~U`%?+KUAK<=v6(L z5@M1Ck<*k1ak>u{#ue7J74TSe#2l5OBEx!tA$W#v#Mf%XdCNzdGz=mij6Bxi?ID3t zCK_WEqQd)m&0+SDrach$vKV{eC5gMHRyZU0smPVqFfi5l-1eK~vqAw0+;EE(@hBfi zk}*RLa0L#!Y;?5>RWtC(R`Zc4qnP&#T(O$f1f{8;w{MpHwAJxBRi=_vu)T#(RrxX1 zNWuwG5~{;Y_6TEuUB?B!OF(^fRsNcO(g9%_^-YvceL3+VVIeL%V4$1ey|fUeX5d7xd=}yB4-m=1u1esUShEp53OtU5k)b$$DKe( zi^5|F^i&8ToduIe+zgbq9Cod0ioi09NWmHa`%}NnigKqdcS)SzFl5Gm$ZOz^AwFB9 zdZ7OdGt2-4{{dy#hOC-GFv&PaChvk^F6KHl00!BsgovRFB4fC!4=1*f95@i3C5T}q z2z6~UO%lhzEOYSM8(%QWz8PDpB*=;&sKLvtK-<#bfKebOdgz!Q_TnsiJy7#mj6)0B z$UU5578=$MDd;(_ zUPXS#g8$AGwgP4qW-E*m{w6~*by?{!G|w^t+7zf&h#LeLuV<8!E^ufO3AlwiqGkYb zS^k^>K*{hXC96eMDp$ri#st3}6=^v_axzbK20U>%U1_vTCg*4nY!ITif`tq5HN)Wb zDTwoYVtp2Cv8otGs_0Lt-~ZIF=d7z+|75vxQ=9=E6cnLqq2bf~oasv$UZ|H=Mb}-s zR4-^gwOKs}Dm(!?39V2|V2r|7q#6tm!PF#xVVE&UVG0NYSSW(IR*K)FcWORUx6-^8 zka^XxJ2EoS6$56>a36B;jpPJwAI%iR4N$+~U%VWn#dRkp=t#wZ3PwYaWz$v)s~ z1|hlxS6U_LUCuU<$yRgUtt(;P?Q4_ zG!zR(;OBmQ+GWE5K`mzCZxmX(+Cj9fYz*D3%6aS!U6z+woQ;MaFA72yZJMj;cRhSN74r zg}YvI{En`2n6lGapp)|-gTO)@F&4eFfvK6)mjzqB-`-0^B$dakAZH~0W~)`m;2>YPuij`OhRV>xhw#tRvdg< zm_puXMRf{DMJ%)hK`&R$z=iVFP*7$sA*ScU^@}QwlZ!DxYO*;rCfp^A?LaptE{|omiUI~O6dv%P$Ih=q7fILJ>VZkR zwuVb`&51!_MLtDB&Mv9%N_0LGBG2<&_Af%4jF+pApUqVhLvlJd2CZ4)n%JVus9joz z5`N|LAJ4W_%(n^ouj6$_wjMt?WOma+;$9$y_P;1X&8!`-RC?+Xm3mYi#;2u(Ixz8# zR^cb;EB+}F2weL0Us<)E}OhDrTseM5>Q2iMFnv>g+Yo=q{ zA+B~TJo|_k7phx3bAqDv*1a8iUJ(aqq+(uDZ{pQxLtX8}p|#r^lWQv9e*E_Ts3~iD zW{LES(Y31!XV)4v&KY$AzlL{yVrX-d`EcYP-V3Fg?inDj=KwrM%$<8DzdrODzkdIg z$R?%VoP4m}1x4Fmgo0|X868M&!u9~~j9eNa=Y#X~q@FR?KD#y&9#*7@8kH_MbVC^5 z)z*5YD=w^+p2vMh@vJl%X`QbJ|L?z6lFyPFci|-=I+}=}u2y-Jw-_pDzd$6Ou zs$Cc{MWv$U_>Fj{@p+@y&h}Sc)_2R@#vFRw$gFMnS`+HoUAX{K4gG)Er@ zx7otvfc=`(9u~>AnFiZDOU>+2XKuK=eiHwmg`Luk;}J5^QkOZ}DqW2zPm{s>C#!@5 z>Y+%l*j?Xp#vPiAofsInVFZx5p4)XtG_zieN&3*b22l5J$(HNg&fRt5?pF_dmd=y9 zHK-;rV3QrV@x_KqHHjvw;COalhtf3Q?CqZiG{gDycm>3MwS|l7rSeN9yP7renOg$_4e0!~b+9Sk|J%H_|Vn5w-q!I-*~8rf=B(QL?qJ<${z^wkqN$ z{*xK3cy@i&RAX)Z)XCuFCU>W*+%AX*Is*@rq2rj} zRR_GyU7f?EaTl)pQT5q`a!D6^l-yeJf8!mOzX_(bYf&BlZz8ZAUlhKAg?8bfu~Gj# z{&U5t58r;&o{9JO{B16R=h2~$9G9}≈74pgOUEe{k<1^%rH7ox{TZ**Sn z$pCoLKm!CW!3*f{gS+RY+Q{phlzSxuBXE*GtkNh5)6e17STY!U7tL)ZQ@3>Vx{d#s zGo#_5y{ZPUqgpIOuOmq^+bv%BuE871|#d&%u;OX4q@>ZP|U ztHk6-l`83gwD9PjGqKsBM^NU58<4qi@^CFKXl^*Jylsz2+Cy_A;(GHrW}`5b(xd36T47dW&rJS z&2DH_)IL}t^kg3EoT#YucGDG=w^f{&{z9bZ^uCV_I?oG7GuOmEJoij;gKajpS01&m z83RfX3gs_j)?z=&#Gix8K zJRQtE+xn<5dRrv7cC_|}+_Uy+$~=R zWAk|B7)00L>+E7jX;|Zo!ZO1RZn?|qeGvX{!l~1fyJMG4<{G(+g8J~-)Xk(!4=#7~ z04Lc#QHMD7G-ZJ7W&0E)QY+8~5|-}MrZ~R^5*PJ3wyDYtgun!oCm$><$PCDOU^8v` zOz;HsNt{oRKxN=$hja(vV<96LH32&zi#9B$xdd2Bus5OtGYZ^=V=#F@^VOKqHpKAL zR4aBJ3N{4dn9K2Pz%d3AnlGtxMUDYVoP4FjM9n77vAr|N1&PXb#wWz_M@BK{YHfp^YA9 zgx|FeWp>ZwU=v_mJ8U()o8M;RDd6yLGaB!)VN@^4!0eVR&NRwI-iF
    c*3~iE# zwF0~WfpvsDB+dz^sj7o525AIY4bTQFkPDFh{Sri%g-Z~zepk|)w9ppb-QbcHq!Jb(t`IlQEl7u;b$F=Gxf zPNB=hh)exdd!-hsM4Am^0a^Auo?SD*^L+a+SR z1gm~(NLG5M%wH2OUNIFtk7(4|zEjr~t2dn4l|t;=Fh8P*)lxy~F7Tc0C0_$%H(W-8 zMGXi<#coMYTA{5xRbBuuPXwAN2TB+{IK-5RbwhL0eoE9{9Q@a=Ha~h=dgnSio-R`Uk+;Y>@Kwd3J+m#IlGT_nQ4ns8y zXmr1@iBan*-oPhiatUiJx)&iLiegyY5hBe_RFE2jJVVDwN{Nc~>Ta1TqVZrtP z_A*$Pf+@h0J^-}Z)1tx4ARsbGKkvWs{nvk7_S9$Kl9w_tqVZ|!10OKK=MG5dZ*f#u-;Q!kR!o-a8KFe-%j;mC@mVk& znNp!pEYw#}#kR;wQ8o%j4avvFuemP)4p;);? zhQN$u5RMO^qJ|yx8>gc>GN;k7!h@;hs!dNQp;0g7w=I<+L6mqW26`)*NJ7j2dNPcr^zTDIobO#LI;a0xd<96ZKziKHT#}+5XRY^@cPm z6R`0)O{Fob7Znq)k-LCMYN6{lMhHN1<4v%z=Nb^_ijqc|xzmS({&$0Eu)3=^@>4Z|#{GLte0`ldSOh}h(e0vq7qK$!nzbacSY?A`MiHUS^XicTT8dygZfW$pQ(&{sTCF*ryNuLc z0+dN0FV3yDAT)sIXfMe$=$enO7FSSceVd6Xw#IH0c%&b0zWpEj%BJxm384M`n1kLt zs1{C^lK;!s`^U9$o$2BuA!F>=K{E_^z!=XNjl_Uu92D76viWv3M}rt-j02_#$?djA zRub9CwrhuGX*YLQGYn$D*cd`nnszr4k+URCnlFyiO}o9VQ51)!>82rWw!7PVTYKq# zyzPFsYf9WC?Y8$BLE63dkFWmVmj+4moaemfdEfJ%_bt=W^-G9)%uF{3Fs3SfA{e0? z*dw*fDrpM^1pH=%viJ-bU^HWYsmW81l5h0@x!Wu@8wgZ_0WxA}uG6#&lyo@Y1H%zb z?KXl`GmN-9(|uAStc4d1a%%*zMTY>RI$g+IlFI2~M#eg+>C--oT3FXbBt-!TL{UmO zq&u*hC{1?~shntO+NxftKxGnZjS86X-2mbA zZJ$a%zxC9Q*Fd;INCr_lXA@qvys%Z3hIBef6`Fwwk#uW=Npr?H^~5cs+}Z>XoIl_Q zy2hqsR-uYo&;NIx+J%X_)$;rR*{S>Y)4KL@2OS*h1g?>wpC6wg~K^`)WNcJ z7e4lAFDDA%*mA4K{x)9`vwFQ1@|l%5@@ptnB0#a1LkrYRK94kPCb^8KgKXf1K5>cd zllVqUGz6lpaGVgG^B>T`qY913_S9sG($zGsPhn`nK7zKsJnpQ)XYRjC= z7$F=d5^TXG?M9AQGh2MJF_l>+l>(gvJ`6fQs8vFkbP{T8)s-4*8l6)n>m8&@fvXob z$dNX6(TEnd5mUB?tU}0Z7exsWnaeO4N%8JXjkHW0qrr|7PlkHN7AB)sg!&LsJG0Mg ztz!hrY>v2TEf|v;u>u6!%Br^?=DWMv#MG%1m=Z?X&M$xeTHua<_=gWcI$Xr2y;QOw z4~pI3%_d$dN)B&4CO7!gU_ofV|I`g z>ZPzPRDl+9Sk#Ilm{ra!YvDphRGadupe|Wr-!jPtoWK=GB_R-?!Ur7_5AUh`_lJLv z(rz{i8)J)|2u4OH;~XtfsT;nKp5!oV(Mf*JN7AZlWf5d|fZ|PZl}c$9R02+;Tv3)W z^aKP`UAlkhGBJa&HsN)oc9S!q4#hD!zh_g}Vbf;5+i1Zix-hBrn`gSmN^tSzz=!SVnwE`oI5b-Y9et|q!+#zp1k8E}45HBb)YAu--=-*(64lk0HJ zXEkhp<}!jMZNSldYu++k!>X)om?_FymKc4_>ZEE(qW+W(r%k)oX8LO|Eoyt%p5 zHVg14nF=&=r~C*J(+Oj%6GgehSz@E{hAtvc)PS+< z_7dbs@KYK4pE=aVGp|4V!a=F>>DqO8YEZ|Mm2#Oa^g&5gs`TP6K^NL|HchSS8LOa~ zLN(_8q&iL|&!{{#VvVUGFC9lyN-t06nh0_VJ*?lqB2A$b-jhFx26``R5iUHb^j(P=KzJNS8Gp=mw(W8u=akTix z%mw8XvD;J+G8^;tbW!(K?5Y(;mB#{fP|yv0m<8puo*8HbaCQrP&uTj?I)AmXBLa@i zb`r}2J%r_1w-bughupfUEP+29O!9YUCY2zLw7WWxnlwPtnq-ZY*oHM|-ts)Ivgs~b zXQxYqyGlK1gP=G9$zh!HA(65t82mVE4$=**QDK?*kQEcHq|dJ{317YW`h(FQ{^f_P zlZrCBCksMBz$8CF*NE52=2@f0!ql`(JzpnqCHSm4!h?BPD_`Z?Qeqyfc2en_L%j_` zSSsOnMy24M>q4J1KVMhp!ba3ADx*Z!rmZm+Jq)@i04%ZZb_fixPoLDbt8&mX3~J_P zhJ~-vl;74JqF*NVvW-Tg{NiE@WviWgJLUY@uzSSRZriSt)jZ3OBIgDL0d31Hq3Etc zF-J?e+pKlg$~mJZJK2GKsT!(Z3Mu;dW@(w&8%)4>#?pk{ z(>G?Ln5>Gz{7_p)Ukk|oxXA1N9?9Lok z%&N?~{t zw3i5|VI!QCL6^2;;9&W%G<30oHch=idiOm87&0z z1r95ngJuhAnVuPtA9I*Fq$TSxVW7^;%Vegg z7j=&gRKJair6Gr$ikYt$77sw4tic*2=TQZ-&u0~|vgzZroPNx%l`ycdj*@4pwq~}) zX+r6^kfznCudTYe`0_aXJdmS+qj&m?-vr7*%6pZI0zQ9 zXiJ9D=(eL$8l)K1t*M(T+5pF7n`7c2i3?T9WimC!TMn-HjIfo>lH(iX>Ph~>+%1sP zkq!l;;IQ<5s~OU`2>Ptk6mO05n1S?IoWBe zj!Fbk-@*Em6NUru7k1dA{OkcRID{F$RXUBy1Qi3a0tTmOEDFTZ0%@DMK{zJSxe*F! zz9bM>OsrJvoNcEy)}$^!u3n+(IKkXsV{OpVoH5X`WP`SYFgueSGFjX&t(i~=!37i3 z-(I6G!jn2y%bTBjzx&5me(zPtyIIw{25*}x_cROPaXN1;)R4#!fp>vQTAiOH}k@Ht81J%UdqW%G!1VSN|N%NElU@x5d^nT zf*7&gBDx1l(3R(@RFFXi%W~vW+_5c7LKdNsc@QpN&n+I%MX6Bh7=}Dt7PJ<#E|1Rb zrfIg`p6rsbviE|)M!`F;+4DF@Mopf&X!O_G5#SV?UJ(yCKt)0|(pD61pZF5zBBNloack=iR5i?AS6Oyk3- zA7bj5g)3Ao`c=JXS4U`0$0GHjrOChw!`;iDqEI(f*+QSe;xu`H*h-r^NQ*b4-Mnq& zuqNBR)h4g@Wyy+GW5K5$q8dD!-IjCNQ{xV>=X_}mO^DDGfuAz#AR=?4${?-qn-KWT zEUFn3`%xZF`p&oC`Hdd^>O1S$PNsv@U`t#@V4xr$=StvqwFc=1_&tT{nPpPYAgBlF zG64zHHuVN2n(m}kvNau}xm`kA{btkQt1*LC#^yE_d~Jb`yGGc# zU5ZJA{lIk!I-tR7w3K>Hxa_mR$`~p5P3RyPGwv3I%hk&2!e0@CcZ@4Y7%tc1wYDjl!3K zdteSGkzD5Bi+8Fx8NwJX2_L-s7kj=~`P+~Gp1ftm!Pi-ib(xe*!7KG8?w%sUcD_zx zu%zXy@GdUZTjH*REu?=d%TXjSlsmANKmRhCujq;M2)bM~sOK>Z6_Fbo!&kYiDJ z>@;l<#>`97B;9QTU8i3*EY&45cS^FFdHV$SDD^pW9b*K9cVkxvjyxTO1a#odSF7P* z4EK7W#9kHxL+wLHADQT2FNA@bJ54NyzkSW%Cg6o6ij(*Bn8V)-bCP4cz-7W!45NN- ziM`b(+9jX-`#ldlTlvRF*3m5~KZpjG#+o(e4Jzn}t)p3qGrWi-lYnlypzx+_)mc^z zPv)EtY520@7?MSe#)T;ZZi#_#71yK`oL$R8_0U3-a=u_m*lly6Si2R;NCgCSQpuZiwxk@4tky%3r0qE#i-L(1(6Fb zUb3m|NxlWvKyTVYib|Ga)IPpRi71HjMVuYUtBAvQW99bB&d-C$Ii1Q%i>gWGy=G1| zd1V3{b-jSH&g?!d#R%9JNqMO~2x}S^&_Klr2q9+Ip_ecW80-u%lxs+@w`jCQsBE9d zNNEFlq>aPd4Unx=8lIsM39YfwFDwca+u=?JV-a<1!MKsuIx}BXf%A&SML|XR@P{}m z{5B0ZIy6)RK9|{G?UKCx#)v7wH-H(HIEzCCS9!ove|h(}sv9dG|IIoL9V_Zc*N8*I zLM8SEafrcD8A>mBIFsZwn-0;WGsi6+!8|sLJ&lmA#;DI&s?TGy8C(>cxUHP$h|>rZ z^O63oNGi3EInALuLUz zQifqWmjoXAm^ijmmug0@(qa>zQZlIr2?==}4?uPZxm}3dn z)p8D&LbXtFQmUeS_r%XW{_6+6_p@EU!?MK72pm-q^SlG4tBZhQC0-iCK=be_F%5hO zp!qW1Oh#a29nR!UQ?IL6X)lNWhRqaU5thZo%ni>dRP0D4Yk@m_)+Ne7TbFanDi!!4X5RwH$0z4e$`V_&5( zm{DY$potY3LYUKMIj3bx6qN?_{{rghGK&s z=2CXn`un(%bzC{cfprfdGUmR;C2y+7q&nwpxg={#wi0cBkls>7BW=R=(;4G?u5yN8xWm3%^?u=x|Rw` zeNu-q4RvsmSG*>H&74S5H^d><_%Zb|u`ij-x+>+cgV~X`a*vxm$;_gop9(wZu&Pfh zJ0x>Eub)P}ag8Pr6Dny{$>?wvctoVKG~L7(;Do?q*h4wo=2bG82vM}|gDBJeHg)2Z z5Kype^gXJzTaGmSSe7hRVFjAeGKhvcp~{?%Nnb!fzzHYLW9AZjk{>}t()i?TttMQ2R2%MW0$yr_qf7T-UpVzq0%RMMHF@3-5eMVX?d$ zJ!TzmV>ws@w-hYmjb@<(yH~|#+X=sS5=bqWpgl1sy9E(0ZZoDP8YT(Jdb#9Fg=M{- zIExc>e{-ZzZ6|jUUuk)7wNfU5gPh8eTo$sJSFKQtYzpJUA@B@IbTfrZ5Fn7JQ~#$tg}7Xor`QdY`Unn= zw?Gu&yh$BAscEql8mgpEl~cMnz^?1l6d7`Ss&#?tv;I$k3X=eZn%u6$shk@pkZ{1M zNt)CiD+QX@7!2nszs;eZjz^lZLAU{N9(eW?}m5p;H<(#1&E3$ zg2Uu9o7K5yKy6hIp=NP_5H3PLG!rFHs}@qU3y{K6XzFPv+&`ub5EW2k_Clsb4eEXz zSf5A6wmzRlKXHTwfi+RLjKgd2mgK4vgDE+%J_|wDl3tx2S#t-Ll+BJ@or=@&m{4*d zohZzdLuqe00xT4xjW*|4g=muFnjpzWN78=MJ)Pr;u!d*{pmuf7> z3a2Ts4mxrB1xK@zDeR$uVq4dt9)Rh%9%%fVJ3hF&4s~hA`z=1?#r{~9NR<=^{m3W5 zWwB9rko1WgWJk9WeOdVlKFbsEF ze$@>F97lw7m)TCM=%c8KPP!cdtK%GqBNY0v{p)c|uQVofo9m+Mz4)GgVk^J?g*C!5 zoK*DVtR9p`CRsx(v0j%@>~B^h82XIbZE}bNVY3{|mtz;wQAA=fYN6;%j3!YnSzSaF ztpAi_c*#k=Sq-(BO^OP`E6E;&1%ybm>ca*N(7Z|`XCy}_c*AQlp#*5q66BP8izTT; zYi4&H(BJ9Udj&$^TZz7IdtJzG#4uk%+lG?mg_@X*({2aROD5lAa{5UXwqiivu%=|u z^a0w6ldwaOr4Tt&_J?Ly9aD{p{q}3X&QmQUcgS3H&QY=$GDi@Fl`w2>byZ0uz=J@A zVk}2v$37zgfsEA(k?qR!m!kfeRiM=NwMqRHUph-445jKT1!a1j`YT7iScZZg1CN7VMV3D^GO z=f{6}wowOLI9!UHWD)<_4*`l1593~7UM-{*YS6F6`@W!0&35)yCv!o<r2wMWCD`y6uv?h+j|aUOWJk|3>RQv9dY~4hak^eU~M_V0VjkISQ|MYWn{kpS8Bl7nTS4MGOh>`Fj z_)k3H7R)Gqa%Ye*iPx*hxT1Jgz#p7HUVC)s;{#hyI~U^NisrXBBdN`+63>`A6D7k= zDM!AXGP0m7qkoH13zM@DZ7WmUYIF;SoN8nQcb{Rx0m@L+pm6QMiY}B7+!7{SLl2ih=^dk__ioxGB|u| zkjwDYaVWB167gi0go4%)6|SkYsfoIXC@i3qdh|Axutvs3{2Dcgt4)(Ch%v1+tLSs- z7l?Znd!$#;pNE%r^1#|ClPk!?Z@=^2m%P_Mc>%XwdN_HX4Cjo>+7J;2kl`;tMMQdO z2|xUfqpXaRZCbj_dD;PbZAgK7X+-h6R{G)hBZGm)|CKIv{*|l`Y-xn?gv~^v&S1ID zIKzXVDi418*FTN^qW6q*HQIOeWPjB&(ev2*_Z;N&pGl*qWm9_P9kgpilg#|;OE0`* zt-jQ<^hD3}ukLxXXZrmf^jiFk)A_Oqoi9I<*tz@YGCE(r@XmR(zkG*BpUB)Cz8KH_ z;vQV_i^NXnvTxq=iQNw@=WxZ+^y=A`rEe}haW?pF(}Cgd-1Z|}bkB~aiKYkM-Mt52 z$eu*c`ww&_J~4G3y)n=3>6!j%4_>OD{^Fh=p^N796Fu*&On<9q39mIhy?pll{Ri(n z{{c5~=kyAl@IQY&yvM)$IzQ2bu9cs{7yqa3>A9vKO!Ry$|Ly~SltU-Y!a-cH7gxP= z+sgC{&Wqv8yUz#tchBw;4%U3`gTh43ODjDSescZn3;w3-FTHRy@slR!swuR{*;By~boWLcy z_3Q4zbq_e#^%OpE8k7F51u%mNoZ$fOOHU*?r=eyK-gs&H`b%G-PxMUv>K+WwuP{7k zS02O5IsHokI^JFHS$%2GrD+UOVfrUcrSbVi;>~b=WqJ=To1OsZXII|YndrgiyAuQS z?BeC759%hk>6Lrl+>4Hxd&0Qth20Oldv?$6`RIe*9e1?SAto zr1SF8OA^{%#@|C(p92I9TYc%s6m%gdXsHS^1*& zeCq@)^zGVlP>8*+J4$Cy5BnZI)xopEfPbZW6jJ>KtPg&_IS;-5zTVmMp5Dn_T^jKJ zBigB>@#aLV=bidhaz7l*PwDh)%a_S}T?zJTeBkT{QzOaGTjOMv1bsgtt5{Q3^AF6W zcTP*j2k}OFmo*aGW<5_%(~ps#w65kGg@ZKy>3pu?yt30T4fy~2v5(=L!IJQA{=ySW z^{cXPe3d*fv$)eQ=MIu%y?0r1`||FlC*s`Et~+4!j2P&bXfyV0p1!MLNaX&$G%s7qvEAz|hOca(EhBEfKMV9DIM3{iH>p9aHLAu4Dt2u*{u zdK0?yd3%^8X3+d!t%k`Vox4F zRb*z=j7Jb>34Te*3Kl1`@?`HX{^>tf2QM(C2Tm#*?x+Oo>akEu$v~Y=*}_`pO`?UG zEt^;yk?F>q^?HK1FJzvqaAz#$GU2yS4QmVXBVl?YQAU+f#Cgc=l{aVz-y`ox%IH1f6iyVt@)v9k zdd|ZMIOXG{g-;`Sj=IDOu>v_*a;BhVG#SU1D&&kA7CojHZ7@;3L#e#?i|5-b|7ULP z22sc(ZgG`D5j|R}u4+Yv7ZMTdmZ1-^slHwzUVPTx#JM9qNTSRu+02?`&P!VqvgvBUw z(6gpTAB~j@f?*DjIV*LVP4qJ%q{(H3SzAeJbd)Q2shNI3W8G21Jj7*V^6d&M-q%P` zx~1C63LZ8nC@$z{;-Lt3u$I}kyZ)fhTDkvQEnnN(e8)OK|JOGV5e4ZSzW(12ho}Gb zfuaBZeV}^ge|Pb-_1YNA=$hFr>EdO6p+O-Bu+d#rrmPI_=Nc4^eMS+s zhMSt-(yx8%;O+lXnb^AyvDuH>x+gn_h??eh;tUCS-6x^>WxBerRb@xC7_Z25c=ld9 z(0Y>acWc^8?qJ#~o>t!ATHASmRi#-{+g8L~c~ln+x*N446zS~fCrp}+#H6L{5dNAB z34X3H#Hrmiv5O7${1N%GvGADwGj<|^q^7&S*THZYJ`V}H%{&7%Up|R*3jHy za&B3Xd2vX6OCm(6+idh3aG=N)Qa&yfX2%qp%ze)0glGTCEHvfQyUp3AX0@Cxs0o9| z@gR59C1+x`ZXch!qLq{53Rc*~t;UdkS?jMsbX}Q>x{+`i-Dr39M<<7^sp#alh3+{N zr9fGwEs}7h&uDv5xuP>uVkGL>1>1vjF86vvY8#KPNU5lrWPJj!)r1N*QupD93pNUv z+#6kxPleAY$5?OYk?E5rpUk*)pIr2oQ@23ayB4(FJl7$g(`S7s_M4G52t8x7VSQM! z<#0yZQsc6se7SDcS6D5|A2$5q^{@YUzB+TEfH+ow@n?vaqVCC0z1&FlTd3}{O`5nMvT~@JgC`xWh?=ga8fWA$ zvqMBqdp#kqOH9UAdc8>Y-a?P_ zgGQdV=ezXN`eS~2iQYP#bjOF~#Bx{i4tK0~nP^IRzt$@fa@3|5JT#g;UT;oD?}qiJ zvTKOu7Y+0NHc1q;+wEwP=c*#EOhK2&q`oe-@lxSq#DB3?Y9by(s-;cz%B`mUmV79y zbxhM4t!D8L#^6xbNelj&-f>o2X3y*S1~ty-KB~!Oa)BZl`D1c_+(>F!-feGBnOuv2 z^}E3DB1%{LzPZ*nRk=NFRqcYT{PLw8!iC@bQd|SBLgU1W zCku88Ndy8@MC(_N;qCXTvur0$v1~|tqBm-yg6L8WZ;}2p5F0v^tq>P<)#kiRVaR3{ z11c95p-NOpt1Ys2<Xm9D>4eWatoAHV!h)E1ZiM8NEw(iqvdT(8jxh zRio|%yO~&;NvY6`FnBpRr%AfdtxK#TMSQ`6H{ecC#*<-s1V1{OMP8|m;0OH^ zYR{~^hkbE)(CXjTJ#AXmx^;Fi$#sx(Mdio@8GrTZ{2L2za`t7b@4J24?s$w}NYM`E z`+b-C=B4k~Zyb*$uUYX0_dd1vP=a0c9l$oYWLyrOSZ;y?Gye9{+d_AXK5Rd|L(Qh= zdG6^q`5D)*zA@6Vjj|6H;@Ey#zy()I^x zyKJ1U`Z600we{?!SG1HoOfL^1XXe(?>HKaxZeFX7N%u8sLo{5k-QCleXzv=UPSy3~ zqsMjjt8z%|)cJi?%0TYs!}H4YM5v}r={?!h_}pn#|E!!p#JyT4r9R(UAaSF(r%5nZ zsKqzh-@Wriei|n}626CQcJCgAeMoqVyVECJQBN2&Jwb$X!llz@UkAzd@07TyZ;#V& z*zC)NL1Rd%Ilwocmu7v+yrvX<+QN3_2$$sji4}E^puC@$_}MQiKYww3C|vFA8QR^# zi#?m@D zxJ$7c)m`a}p4nwnSb@nhC`S?*B}3Uc==p=p1U#cVZ4x`#OC zM87h)Jegq4YizUD`R$CJ+Rma!YVu_7KU0J*`O}Kx=Ppm3K%vgxzP9J!gO#87`Z~l@ zT86oS)R`7@CBXD@{Wg}Q$2AgFSQCXFli7snfAmk!t zi1TIgO%gafthvzK#bow-MyrOmUbg!4$>oqpBlhRFdd)NcNdHbfHe|jAtDpfR+dfOu_ zdy+#QT@|e@sBwr8og0TR32FrTM(pMdIG;4@WnIyWgNJQxLzpRN`7N@MWKBP3b4E{; z6xAQMHivNt#5*9lIaXx)3gU3H)t|X0ldNP^75O34WOA0?CYP%yI>xJ85YBrL2mS_a z;)%+xYAs}G!hS8fS>mJ_QC6W=a2AST@}fI4JGVnH#e!(MB*8lD*Ee&=29pi322RgR z*6>Ur&}Np)L64|i6=bz1!xpjZ@?TzA z2VmjBKAIm7&PJ8Qthf8Su}%cktr{ydB;aW3Tf*}ETh_AKec}6dC?%SDK}>VHc3O#B zS7hvwwGI=Lz0y`J?9dNdLet9D@ zvn(uln@1fo$vJb$Zac?bsY`iNb$>j*!Q9(vsh$1ywx)}d=LgX|Ko|SPNM@7Z_WGtz z+e1H4ZkVEm)}_nwMf$X;^*qjdI?}_cwWRry-g6BrQj^-_ADh}Q*UBe2o~R$o2%FWZ zAT>j$j>qPn8s|MYr>xx&*8Fq%a4;}i^Ga(}xKf<9amJbQP2sGv%9`8anTx0)Z%1jQ zt_lY<(R|WSjV#tV^mbaE= z8KlKBDKRBKNr<&i+$S;&ow4rZE96=;96-Ac35m42rXy+{v*j%;_Tv~UETZ$aj5?bI zK8a!@vzmbR9=xT@>jfWu`hGUUY^B;odaoRmrA>mME$eAni%}Hxq+A`R%$gY%j$#Gx zy+U5m^*|465v&GegU$5s!kqFWmy3V0%xq#V@$3mMr*o&slWI01;(RR%&lMDdM`q&7 z26LatySWU)04Oe3o#d#9Vv15YYsuHFmY;u%&sRSDsdWU>cGM@$3(G#W+Yd$ALG`DP zsW)nI?#MpjPv;iLkS%9-OSGd`HwTOR+TapvL)L6U?4Qnn>3ftQ-R&7uvVwBXF7olwzU**B2*`c6(2S7c{f}>I z#*Ap^+nhLGxC zlx4ph77?mfqN$jC0%KEXG^IigNzbC~6yc z8=m1kGH3MNObb<u8xd*Q>xz##BQ2F{O+rA^Ls88lOdXMkmXyhE zeO#N&1tieEUV@u>O{J0<*k}u#>%rrU=UK{lPFh6k{53666$pVYmTfb_eoZ! zGm1uFjLS7yoilp2+1{zBRzbZ)@R(KP9JY}R*~=*rk<6-5>WOx2gv%?*>{BrYu?N&I z#a0qq$4o~b6g~9{YUwh!8W}=dCh7Mw-6G?zVfUgDfzOqVdCvUzZNL4vcjerfHEL8K z#k(M}hq*1<2CN+dI;B;hpsWYy!kyS;1?%0yO>y|yz+vJIc&7>xNAETdElDO|*(^!* z9-l%sNlMIls!aD}TvCQ{M;&)9XfW_tZS48nfU5GDi0LiY%CQQ2gAC&#_8m2hr!_$l zG8IVY#u{0C1N8}28~<4jPn&@o%qYCXxeZc^s}4!jTFHwkkwH=HURtXE;qs>SfP_i_ ziu9}5Z+_`}|N77CglD=f9Q1TqL?1HT0b&W*GP6MAvk99C#Zc_9LeOxV&!ONe>JBLt zg5|?ZVoXns=81Zuu44wQ6UrV+uuzEWdRYBQW-`N|8d4cyZsekrb6Fx=CNs^h43`g) zJM`|Tb1Ck+BcEiYXa(-q3;qBM+zR8#pl*hY=rPJ)RCw{K1Rds;s5{EQ@xfz*X1T2y ziUU;|lW$X9O0=@C>Du1tuRr%a=V^v1v$S42!))qy$0g#Jp+H6yW0!Gu_>t$S`;?8vP34z&+I@wvJ|1eV#7d*BXtq0r^Rvb?T zv2OQGLhn~T`iWm3t-K9XDm`!j)@9<7Dx8Y(@HHy0Y>-`o?u{rpP4cSAGcsxr2!zUd zx31B~J};3FTL<@4>}e+%R8o)TyqhreH?eNIP2!>(%)H2ih*0NeJWaIE>(afm(aoGw zR5N0U#$0RNMQP|dhH2H+DnWKBR!rl~z1T8vtEM;Q<7BtUq^u!|7B8-V!CZUu&yRlZy*Cc8 z-7Dkf#4Q{XR=j#zwAD}+vukkdW~&OU)aAKCJh{+5`b<__vy5*=8F|={1 z%1Z>wGctMv;>l5YtdE0#$b!w6_sO*jsRK)N|UMB6OR4eCvDeFmmT5U^Q zmMG)y)Tmn;Qm~mCb&d0kUc5sQa$GbFol>i7vu$d`l%e`y3`EpV)<3FdbZVBfJq9(s z0T(PbuWW8*9=s{o7lQ@T)qQ7VlID?6La<-ZeQ@EU@A@zNce;MFNNbiVqO%!J=|C&9 zDLtChE-)UbDldxMtF2zwFhm)nL5)RpDj?5zk!IuqK4*e8%IiGnSDwOW3{x-S-+5RK zk6bN)X|ktQcUh_*CFD_rV>8d2{XvSoi+KIC6d)a_qFQdDgs{iUC5@=e2qC45oqv*f zRYa)?;-+k^#BfRCWp;Tq*suf`;Fh9HIZv5oK_XXVfLNn@YVgM^sJJU$nm=x|8FED#1%qqbk~_-ebe6^& z8P6G%2*yqXim^hjd0Wpgfj%|H~;mXt8 zjWpx#q*JEkx7-}0y-q<|xt4p&+R*RG1W{ei6W}>6f~Gp<{S0G-ybG$hMN)|rW6JBX z;<+*PB35P-XH%ixrYZ-&ls|73TYKsgDb0IcbLV6=!#L&EaJ45>5%t{E>y`6y)UD1+ zx5{yrKnM4WA*rUm9H9N?iBArE??0!-wfn{#7SVB!6JY`^+LPcISZJoqwhhl=7WK9~ zwjITl7Q@&il40CY)z;kTK>_*gpvvU?qOC=dAzG{0jiPvh>q#@5!6KkCOipB)+!+QN zY#b{Yo{Z9;dXnLac(%d|YoUFC`=gLtdpVv5{tamd!d^d_6qjevVXWIYbM@_%1)PAyl zZ`Xtim&nvXP73QmBN90fK5cKqro|(T(>#W z>*v!gLbdYXc5Zvf3{>6U#UJ3c`=1qF*Pa>Y2877e?NvWCrE^oa@_~hWcE>(q92EZe zwcf)6L*2XU)CqlYK3ScvmR=Upb1K^&ZdQ^e@fW1-VWT$?JQibxWqL(Ud}EF(N4K-D z4u6%utfk{~^!TCTQ0~R%PYdW>^smYZmK%8b&*(#}(*Fs|oRqC?v|U{oVcD1!8Qv?W z_aw|qYI<9wrO+i?nI5y9Yk#q-PW6ZHGX3NPl<0k*%*Lu_cQ(w<`S>I4|9bmk_Z!j` zt-t*XvB=8NP{7(dwEJbgC(x-bFI;Zg_X6tLCe?+VMf0;t&qz$X{ml*}aeqB@f?utF zb#~BFkL&3uJ!c<%%m}yYJLvt}3}n!0pUzz}k8_2<(?u)G9&fD-s{>D>(Xa9Mvx$m+0xxLXcp|ytGb&{U)w`bkw-hsX4VdJ>4xbu@Tcbs2MxAD{2eXn%1 zgiZy8iP-7c#_H&y&(vJwyK46H+v$XlB+7~U&^<()E=gr*oF3>-fo>#_VdJg zE!586cH~;uh!Xf(Q!-dsc!V=5Yfa%@D_c7+y{v39@7~|~No|L9uzQ^O(xN$g}kc4}bazUAXD zwYGe;L3^$FO+z~WKh7`dHyo`ydo6Ut)bBjV`p?bOpBJtL>dl8zn>*gS|F!pr^#gBYmWy~4|d(Wht9N4>|n2G%Sy7jdRdLY zs*fgCU*5%av2*@^dpVSQT{>D-*SODUP;18aAvc`JS0byqFUAAa*KmkT8IT_7o>$Jc z&>@BVc~#4cTyfiTUCoX4H+SBAT4}VT`#TzXs>V)mM{lE7gvM)6?YOT+n5|PIKH7h@ z?WLM`7Ix47uh7B3@(HeW>VEEr^ph9)6FrjhYw{+Sywp5s{j};@sv-Mm*1W9DPN@9( zkF3@-=(nha_=EoG-E<RYmw#seEKMML%x{;LfSJzM$jfBDcSE33Y+K2FE}p52pIl8vLWx?j<(bYgn% z^XDIvK06(LVVWdwv15t%xox}Jtndqy*O~oV&_P3xCbF823rXs_N$MlRnG0K09@}`9yEs7aF*~mR0<+E6ILk zvW5GqKYV)fE8JJlhQ}u_H+{Be-`JG%E%1B`_xWL;^h{$@`@B!G@sVlh7P@VB`{x?D zTN3y_+{kS^)*yYHY^&q%^RMuYbv4onZl%}vOtO*e@zdKL^PS)d|Bd@A?v~aTe@E@* z+yfsc9qdBjb65Dc$YuZ0KO(!i8Zy||?R;yU@JnxzW8EV?yV#n%aPKa*;n_Cf-Y?^q z?rh&Yn`{$O_(lHcY3(WeqAw>%@&NyAf_zr*{iZfsGmNiK@q>N6-|Y4ApXuv;yazSL z`vykOHX7~x(CAO3^tN7n^BvvU^3nM(RMNou;GJLvccap#UyXZ)=sATJEX~VIqDXP* zqQqFClnm&~M|6B3GMI_}VG0b(@tFqWwE9W8Su0 zS-L@(Gfj?;BT77+n?bELS-(E>ty}Ki`pWuJQBIh$X|r&Lf?^waaTCNR@9l}g+k)!| zS0=MThqxE;OBtul8*Uyoki5)i=vGdR(HrW#n#-#)C}=3V#0bwv`@C(;1~fyO6cxM< z(~VNPszNKgaMK{N!y?3Dh8ZwqoLbkMFO-37V&-$3<(%O~pk3T-DomLnb4ni4rHsILpE7cpbSHnC*Ja>?Y@AkV6dt8nBB--k*)aag zSI?{%7uJ|@Bg*)4d*p9qS8BhlEHxDN5bFv*DH@yDN}foU_~{}4qQ+*(d||T?oPR~T z*37qc3Hhuo!uI-*QLVF3yDB~O zns6X?cz&cU5^5}P+X{Twff{nZ9H^xaO&Rl6U_N_I*u(d4)NmrH#`|a8p4-^fRxJ^k zL^H%I@r@eS9)EHmmK3NAo1iFXGlQ?>+W*&Q`N2cEyDr|wC#!fQ8(tGKmj(V>y|9xM zjqq(oc(;iIgUJT7QHkD~x&3dfBeDM1tkC_IuaL0Qx0xrt@p^+c_loH{pbncjPLO(X zpErJJm{m8{qSVf5Wg%cGUD62^(fd~BoaNbBn>F4tjYnp4?PC#M9YTteN7|NGwmpZ_ zj^^n#QnvjTc|CD*2nW`F9s%t@pL7HL8W~ zSeJyC;*$qC&76NFwTrK-XZ;(gRjbD;V>Q-8UyAIn|C7i*`mrkR!GN~BlII5+Z+&uA zIDnGr_wkWLU5?(5ZjGPz9*wRddB3Nts!qB6J3>d@N}Oxgynh}ekNh`6^Uu+N+n+MJ zu$=CQ<=V$T%Rh1`*KPrEZ*rUTX6yK7q5c1Hbg_b<*Tk;xdLwy#`?s&I2tQB0u_C-lij&eD_nclyiL@H}$AuIA z#Z;}X_Uz}y9Plq_mz%Fr_TflRebK4C9ekHp4|ZSV=l6o@57PlQRn>a6?UDdJ3bN~e zcql%U>#{nkQa_%_$VXV~R{f#z$idiSR^(5qZ>YTBf3Q(&X_o^hmdR@EDb#3vy!)gO zOGiG%nzdYG-jb+FAAeP1xXY81G?r3a}94E%cP?1ex=4vdDWyg*cL*4X)ND&}HT597`hq82L zd~Hu0C&sP{Da}G`oM2c!>JZw%%kIWP1Vbq)ZkDoLx^05#n{J`hjuS!};C+;Y?w`KW zOS|3ObN=UO&aovu&z+fjfA{OTAJ6Y1u0n}Uam`17i4z>RO5PT3pP*gR}~L9r8u`LZ7pn@ zwvbs@e8OMF1PV#K6pBxq^%OCKS}TK_;)GuJtQ2BPn8G=?+$MSImShO3c0~zR<3b+B z)9&W(eB!BP_2CiNV<}9F^I9EqsHRl`|97u{*l3jGCG+<39V@4c!6jvz8nXEA@-}RY z7mJ+%qiR`=;3UOjvjI4VIpEMKC1qv?a|t1$bDK6NX}#<=)zf78tA#zC0achr2jQq; zJm+4!7Z%#C)rA=N1Ls75W)=atS~uqbW+_RUg*o1^9>PMIf~t(Js4@k9z?ZlmW;#a2 z)-H4^&+}_j7rc{rv@0v;D?maRs>G4eiFBCIq{f z+kyKuDm?hHZ7|3cCS#uFNo__M=Ed>HjPVhv=$ltxD^k4JQ$vH`#N2C0_xk-}rM5#Xr~zipr&!Yn)QxH{ zdPifV-rO!M?XI|U@2S}DPyhJt3z?C@fVV_o^hEP}%Z?nEPOmt!*?)G!FI|UccfA{W zqxo_CL$qRN1+IL0bnfOa83W8?Kab3XetE)wCh&Kgd!nyNC#@&&(b>J_2Z+hYe@Rc|c!N=Y2akpajJ$Dlk+ke(lpZ|@(_GQb-=bDRrRkKw~6P^_R zEWWqqcU47KefcbIDp-HK@qKd5-pDxF)RJ$#cGcYI6Sr&_-}T^`W_{s|3O4q<-;Phvn7$T>ieSBtM(|sS^F~Hn&vb7>Q`sC)Bp4z;}^KZq% zx3qJ`6*ad!9i2Py&RaWjUwQBva8dBRJ6gQoH}lF;cA)s!bn)MM4+@_F|B5^OANsd{ zeqeO>Q|v6h=BeUY>(>0*8TN_%O{a=0R(jtl>GXb30p26$%6106XC4WVv-p>vdu*0; zf9czg|4@_V00kto?CsrgufpCb${E-r}y6K#&dg1_f~z& zSM~JhTan4-*F6z)-~JD04?Ki_5$uHnO6%rIONI}I=JqYy)zmogI(I7n7sExq!VjN% zqIl)h?pe|ljCMk8ttgvnsld-2BzL`ehS|RCzT;Kj+Xwz6TQ|%`AKr3u*Q!9#-`q2U zD;j_J-P#}BI;hRm6})xrvgaQX9?$}_(cvQkIf~=c0$sJaMtYE>I?YuCWUA?9f1H_k9V2fV&m-@7I{C5h@LG1GsW*1^&focla5u zxi8W@5GtItT=A3rLeFo)70aJHfkP|zbw|!B{lVj?XWs)sGQVmebWAe}*kQ}n%PVgL zhYW9j5S@JX#5K1yvNL4$)ieF8ME-VGT>UipS*WfBzTv&qA*et3)d)> z6|gq;+QGNcY4@t}0axEg5-eN)J$GxR|IXloypp!M6KL+e`zF@E@??>>@Rgg{C-HtV zbc73vvyDtGdDgru0B08byrG?Ye1}pmt?<+dTW=c2GjIG23w{qnwd&PVU&cjd;WV<| zl7^0~?>9gH`ZdnFZ=(vcD%XmyB5UwL56m7$w@KB@wzyuICAGvA==7Fu_VoM4DtrfC z`b^oj$-*gU_YaHa#w$zraRWZZivD6^z*qQEWx`G32#U@UBB{EES_8!0d3)^p{mR!_7;y*EswdhfaGUD_y?@{;_WYDeM;UP80?&NMUxr zH4x;+iUjkC0l_>gZHGeCH%|6%?g&Dxlz9hs#)`D9V~|-ts;zuwe?I%|ZPjMSF>!xz zp6_bY_07prw0)#r**5x}I$@|@y6LrVvZePxX2n-c)k21S5x?z%evF08YFclZZEL$` zcJI0zzb;f?Z5HB#TzrMmWexRuG?#beg~I<82CF*Isg!KiK4far0M7hzNv(Tw|)cDAp1 zCu4yBqd3p+s4g>sSqSw3(Z9gXi@KTfL%eM*%kjF&LQE|VSf#ABtblU?Z6BU*#RXQD z%r-pQWsG3Cm1&XvmVhOTqhX+{WguEJ?v6GXv=a`pspeZ8cVMgPZrn!~0`YIAIp`Xm zXGnvNMFi&PS=ibOEb0%tkX;*aKK8*%{>NbXr?`d(6 zp>BnWIWl33$p*33@4&|cRd8B>TLV9=gHB_PJo!St9wYq#RhZj1zW&~OAj+FJG28ZQi?y16IOtJRO~b6i)M@CI1yWR z`G^(OQQrZQU5+|PVg%d*4N7@5&M!79;E~)yt`(qiG7vD%t3V^D6>HJ1?&ECrp4L24 zEjIYAFb~gchJ_$V)uZ5`wAx=|4Ki-^rE5PwUz}o^%_88*vHA8|PP;UGpJEQ79(0@- z!(EWRhg}A>t6KrPNr4sp=vba)Lf$#e`Ia;1myezM!`IIFFBY%GzCeM(ov!=w^Zd(#2!4H!$wc|pB@S)_N#YrZ-4b@CpyZ_c?RkydrrMEj9;wX>%@M?&P(>JvbqA-eV+7iLVRG{)Y#Hvet(R{IGwo4<2^ z(fVcE2X85Vap|n{Na3-0hjZKKUfccB+(%aTng<5F(SM)#A%O-V*4xv*b_-M6b03+D z|1P#n={sIIFw*jtC$_A!3B~@l_enhSyF1t~UUN_TUAO&6UGZEaUUs}<{jP$>5wRd{(<1@6DUcko4N%|}w>*7|>kaq*U$$Tj^xpPsg+V+TD) z*SvClO~cN%ov)R(z4BUM$Tiwp>TfCtj8)v;Hn!4tfA7kh&b`%q1PuYH1ykY1)%E4B z>AM0Cm;TVx9l3w$<=-3c=B7%__bQ7#+BkWWjCXgw`SAbV`OHwb$mH_hmZl={OIht{$!8y}ePwm5s>tGmbEJOomyOV<@43)cEcQF5jc`S9_hbb+&^ODygI7rJ zZX(0AW0#*TVk%+H(6`w_Q^%*2`6 z!@0uTspV!-)6M<275LP7Ns=eM>QcA9D?DXC_HGOPsmA}lGYqJKt<*zIS)ZF50tC`gsS2wn7FlQbrFgq@N ztK1DVL5 zL%m9osjc6ktaS}2g|p8NH?4T7D|f7P=bBOVOmDaHx_L5C@&19FqH8Z?AO*vFFJ$30 zq1|stFugLlf9IU^Tj^bId>nU9!(@f}h&d-PrI-I)`G)goB*z<7*2Cy8k%d!}O?d)T zH#FDY9eg{`yj@cUAp0;oei-bxFOnJUN*u1P^)8RE_4X-8xuc$A2fAhsz%E zmvo*SgDfKj5il$ckMx`E#U#iMI4cdqIopj-l68 zV7U4cTLZJo;7F^?iL01_ZlK1QbJqrzlAR1NLshTBMJ#Ggu*H2H9l*o8!5tVpn%mr4 z{loW@680E6Xten^e>vLiY!8f+GfeN^RZO>Yjyw^Fp1^enqW_}8VQMvl2k`2e);j`E zlbX5F68DL~R_!ebh$PsDP^sS+ofbQa+oZWsh-CADzzk~aE{(z{VYIm5n7c!~xe>27 zntMlA+*jkALuL7Aa4)IA>>0ddX!u_L5@rrnz)Bf-1fJ2FiqUlO@OBdd*Co=>$H8HV z(P`A8fW%yjif~|ffB@s(c(e_ioA z4;G~%l3zzR``jI)$64Tq8gA3(_O3A1&lQ*D-v{wgYMFbZ`+!{IdbdC1yc7*!X0n#) zN^k%SODFID);qW5?D*-$EM5TSlC*1^6nBv}|IiaS(Y-UPeF*#~OVL`={rOQxGn6NH zjVWf3c5oS=knx!SX~e8khglomU_th(LXDN;<+ufose?pErR9tP@s2+1tmY3&kbz3H z2Zfd}2gotEtppFQQ^Uo^6=EUYTwpY>3iP;N=LT04D7EYpFsU8!;%k@VyuFt47HVf- zZynyo!ikftkwru`F%vPyABcvEhyLo%mf2ZbsEl+sBLL6 zEc=Niu!7MeQBec3f_1CT&HzwpY-U(o0>=U3IN!V{E*ku5Fg;#X1oS%85$tFlEvSoN z+(qDZrG7_fnc0gA6s37jB6UdUA`xgx{Y-+FcR9Y610Ex#hOxUvZPJSp^A268Gw`>s zzprhaBZn>kon@TxhE|bl(6evAq{EM6T|4L2KvRfcsV?pJ;?7^sxeCnNonxi9{o-)% zrk_tV@9^r=S9O|e5=QuPXbES&QciAM_578gx!d$Pq!t11Xb=0(3_GK(fpcl^A^?B< zIy}9}dvuL=4mB-{4K^*qLkU0r#!_-kG~_%}it_t56=81EGG!olh|G9aX!Y!E!r(W+ z80={OVs85_%VSF`VNBv>&Upr0L+^OTp*$8DnOnacTl%x*pl3g5?ydas zPq%Y*%LnV(ch?-tDVz}R3cM}c1+uA+^d7kO!T`#>+0Ut&p>A$uCD0evKzhIv^MWFX?tM~Rs`;Z zMl*c-o5EKMj2k~2>)CYFBOPU=qh;FaaM!+5(4Wn`RbaL)`LMY+So8uHD^d!Yo0R(^ z&&AvjjI*{BJHW(0i5g znndQ@1I<12AwTQyB59m4nv<`cB>M0gRIw zUoQUE)|X4)yO5iekejC=Hw(telj_NrFPnk+g6V%Wmp;EH78r>4Pov+J^|p~2FjFcD z9}@3;a~$89d*X%;*E_Y4lQ3T}Ssp07t>4_b4e~O-s`VPP{h)X3MzB!gWnJy0=cvS0 z|4q2G@I9C?_{{SzZ}>)GEbrJ@>E^X>_5^q9udRl&6orh@jC~2?fjAbMd=g9V>mu(~I&?-0mK`f;(OLD4Ij>axqw$+U@F@*|~aX=E&-v z4(B=1D2j1Yp0+};R9-mfuKuRUb{>1_ITtihms-Wjncjf|yXFe-esAno7prC$xR%4* zL98P5?EM3%=QR5S+Bqveh&xrt%v*gcQ z+Wzlr+nP|%^OvI9SMFeErfy+NeODj7_PO8OG>zVN;d7`d&_A?xy*{%$pPAYHbQR1b zT-$Y~1c;){P@(CWtnl{V)5|_1wjKF_@1XZinEcstV`xnLccX*c-y2!`W-qrRSjz1P z?E$`^rt8|Eb~TT!^#1ZK?&!^D+N_Eo)J$~W{@5Cr46d$ym+Sq?YV-E1p5bnHKVFDV zSMKGeR?QILpW%SAa^@At&z-C9alRpSI8UG{4-6Z~(t~cc-R$aw!b8?P+JRt#0R|55 z|KQe-w&r~J@tP7Geqqh#>2q$F5g3K>CPKIdd)PGWB{hsS_cJgpy&x>k_#Jonpcvk# zphxO7m`jW0tMg!aQVYOv`alO7UH3W@7m|yt2AfvEi%Y~J1g-WWZ4FINF=DV>_F}; zW-7psMZx|6Dg!5$#Q%6DHX_0WPlPLBhbC?U<`T*VG$&SKew>=wsNx=FE%(ZC3e2HX{MQW#J7ae0dIARPDof^|2b72>A z@q9$Pun1lR&HAL$g=5M#cf^!Knm$fi6kVki@?EK06ftbWRjOpVUg)o@)~S#RdnTQB zCxUY#8Df{=G+j|uGNe{R&sJocT4PbpIGpP!MHL={M?J0N#he)uv%l5O(#`H zQHmZUB0h$K{Fpf`IjE`~8hR}5LlnKt^fRz!z+cs;qJxA1QUk{rKccpnyh3Xx9N3e+ zXFnD$dFzQUwdT0bv&SR=IRC}QE7bXooK9-nMFDI@kk%!36;~%dctA>rPz1qOWM!)| zIPP!;4_TGKIXgi)W0!Q&!s>}UfP+RMF|?e>GMfVqB^2O$BBNpJGG*tK^WO+KY=ip( z=o7C2RpLKv$N(Z7;9!A2y9^oUw9BWhZ~Xnb=pncjuAIO!tI;dJx~CVKh+aD*kOxkgSs)>OR@ zxU?Qipt(0RyS@l-m<{yqeWKn!b5&D);H|4pt^DdJ(0!dP0H!k_s{%f)z(axMeV>~e zcnJu%9&#VKC2-DtByi7pUaqsPN7(7voh5(R#ZJ4?XvJV2?bD%wX zF3@|kS30@jh3LCiJa%;VnJo{z5Xjk4a-lp?)98JzF7M=qdSGpebOa-{z~{8m4cw1? zGww$Gg~TO92?jW0A?)+K|}}cAZmV=}!W_B*))$VNpa#{7{Kg$% z@jTXx?~V4Ox#35F(BNo7Kk_sgk0z4P^r+N;OICx9vJVQW%l{DVz?hq4bBaV4`4_fP;Wu4WTuLeqLx=&`V79EUjy=l&w-zM6maII_y>;c@PW-_NA39S8e}RPF z_*}HV814s}&ra`Ks1yIj4I@8bo9RYty9e0rHh)6(;V=H_*)=&&FTYp>m|^JDInlVG?N&5HE`AxVV?zsRTW(KWKlFI=ob^t zK{muw*(Nw(X^DAzXxM1pu0v-h!grgl!BY~AUE(w0mj;d^nc%UFF}uKGgGjD&*r6#c zCp3~F5YRWv6kce`ld?i(!AXFyp&!~*g{5+y|Jm&I8=rnJ@ie#~(zLGGWnyO&l@rIjOK9Qvp)bOqnA1AlM-QL`$ZiTZRx2N}&Xic1sXi)IpM|nh2huK}v}s zKwyX~Y>3ZdrM`rNLgEe^4;!WU5WFMiuxJ?qMR}37Bp$pi7K=QlGxSjI3sGDJW*xckF~jc2a;Jv<&R+hjQ`!wmb;bBqhAVMlAMO7F37^(J#Z zmn8^stiuM9qs^HL%NfV|qd?dTQwoi{24vR`#z{#L9i0kr zNFiiYi5tn2q{~!turiJ#f*(Aaw>Tfy_=!B{0uxd#X-KmB`=k8CcO+x6dYKUMk^P<# zy+!m1V`?sEW`|^!0Sf|LU9~x97q;h`F)6SA+kJ(Lik+BNj54%d$1Td{I>>FR!R7+0 zRALH|E_U|ZkE4#W+acS}Up77Q0j5K;3v%z`1>GhT$|P5EX_A1S6hYyFYwPb$hWI;f zx~O7rP<8MaG0H;VU#G%uym_YA;udOn((8PEa?Mh!OZtsdAki*u)D;<5scU@=<(|Ak zu!DtNn!HGPO2x<6jN!u@RZnlZVw8BeOl|Ysk?_W@iB(J8s9;Lzc!U;Xf4{OI82k;} z;s$CbG-~ejy#i;p7L3&4X>Rakb+G~mhtO3*c&O(Yj0AUh)Y+qWc0%!EoImxo%E*0% zd0l?lQFcRDg5n>KG#-3J2#Lxu70GmoGskIam9?HSf<9A;*Oz_?tYcI zL>)E-XOpr^pah$Eg_iS!{JXkyE*-dto-!!{1vg#DUGnuq0lsCg1YWB?yDm|7>{!5M zU498xL~qGrCsPsA4a31JY8e{_9=V}XZV5U5yjG=owSjqX(4=MW z(iY^4Ri;wn#J|ZuDw+}-4?ZHzXRB8UUV*MxH;Hz3U5K!(6Uzqe2wL?eCCWmdxP&<_ zU1IQ*N7O@>`nBj~RZsS?0%u#HDnU5hqoy^C9W5%~B@((sYL)4gYPli`)v^ezOeTLU z`r(Q_A3eMA0x_oQ`7UO~}Vu^U_yRe{#!KD$1n-Ov- z<-rk*_2Y2L!m)x%QHyZjltvjyO8ik%Qm_%&;u{#7Q(s7i28jRkKVS2&kLUcuZ5IoSZ7tF*a(LvB z07nWT8*yB%TiLZ9EXj+&2X~MNyhT)r(lo`6RS7e^YI{YIVJrl3&r&HX3xP*(Huiyo z)S+PiHgyhnV>rdpj<8dwg8V#U)U;-)*|N5uRVa}*h_Yi$r;8a%Y9$Vh%B}@hTqu9p za)-L0Zvq%9SxO8WB5Y)4#A#rWWL~v|n9fOIhr(p_V~SD`RcPZyE#YKIV}WaAOY`A& zO@su|j;Tb?q+M>Z-64uP?HySEzjGQoE+#det4{FqP)$VI?;?^iQ_zFPtcF}EbORYz z=#`w-LfAdjpm3XrLW+olS6o;01^;esf0?uZj;YRl{vantYOQ5rzc6oX-8Mu!1deN6 zx&)4H^X;A$T=BU0Tn~Zss!b#v=OoVn5bfUXg$jS%UoyH@DrHDXRh(NY^~15Mv>fI~ zgfFtgj_2#Rk>@-kST_}$Rqh8;AALmP0D?ct@-7PPMD- zLB6_93_ZvSJ;9#f=^$ zO1p&N2pgEV!n*3geL!1|=zW`KBO^x~k%4fZn_LNnhQTf)+8o-@>|b?={8 z+y8GX93Sod)kP!`DOc$pr`^4RKo(0nMkz)lU-^lrl!-V z3pxnXoNoXtN6aAz&Pt;}iF3RFTnai2e+G@3seCl3rr(BY^tELBm{oOl3gE` zWN=P(XzIuzXv*OjW>M6$O~TiKrC@^LMA;;V^ugq<_a48blfRI_Y@Z@r;+3z{X}#Ql znb2vMHQjB2Cn_|^J*e8Ky1IX` zVN4Clof6=TDh-J-Wne!(BoZ>%)vfyhO~<%)AV8JP+!uop0vt+%jMftzy(e z_7Z}XEM#8dJOroEK_1h5r3~k-vYcJlv@8OzbSiM@he1bJJsWPm1QDl&_)N2y=CeXp zR51zCWXKU(-~(!|2>Y^EB8ZJ9(E^F{;qo$$WD0ex=D`8Ps&W-%9Bg&TFiqA1N*W>O z-L=0~at8KaOfa0Fndd!bg|3rA4Q!oSl>nI`IJNMTW**UkheS&XOWsx+Uyb0r(V(*c2e+weRZoNl9!>;IbPX28 z%q!TUwvnv<2|=x4V&#Sq_pIt-^2Amqj}7^`Z~-eR(n3MMQB;U*^Ko={hkZGab2{Ta zkxW(c0C^-ZH9Y8RFv4Xm+-RjP2!i5L?4*%nWlE5$&3${N%gvr`8D*m6Zk9cow-1Np zp^epz5e7de{@O4X`hCjP7IQbH2qhD}Y#~}-YdEiCHmPO-_#P`ISpr44(@UC;dvkWb zb`c^&Ey}J}!jzUI zza3OWyYmq2TN0q{Ku{DlaZ<+2L`+ik(L>1g7~{B^cN-G~rn&49hhlQ7GSAW}(=NkC z9a_g8J)l$NngARlk{~7qQov6&41Vi6#6|#HpqOHSStn1zRNS;A;SjA?_ruA|C|rPH zIB`{m6N(BrG*?4;qLgf`@$Y}`fzQ5}6Z_%E2^=YNTIwPM&g-8sl)@1^+YO8(H?K5x zE~rcMVK30kaF;g6;o65^WL(t9Mv?MmYE*`VwUDMR#XjbgS!aatssg`LaoxrQ`&dDe zGSz9;r)M$Jn673g9|6?qW4xI9AR>kJa)fEQmkC}nEs64WO# z(2_Ck1^87W)n$xGZRP^}Yv>r`=&(wKh|igoFUD{=lPy&FDx+bBnh!O&7SxU_=w1T{*IEU0#CNJPD6EYP~STI~86r&j^ zLH`Ve2==J~*oG5L0uQysj$<|MfcZ^+QH==|oFCsMAr49pDOLs@l~{)GlmhuVk2?f? z2xKWxF2WRJwj(OjI(1y3RUW#3Rn#1+k||KCSuIB#Kv^e2#}nsG7-)ESXlfKBNbr;l z9OUf|nk_M@pq_|5Y&C}L>@}!8$5T9Gn2JON{QtmL5x843?gq-AF@iG~vt` zK_#}sOl6FeNdcagvlNXAGF~f#t=8#FMLi;5Q>INv6(rGY6&(wlRFymmwmcW|EqQJ+#RjYU& z|KaeS)7=}X^Op^#0icUEXoDx`QwZiPYiFU+pk*nMJi9Eal%~>*15kvTg(8|mTExoC0N<9Gg1|M8cPGx5)=>>uCsX`kPDV9Ds> zZ|a{G>=SxedxKslWjsF-_Rs$Do4U6@So|*=FN6mwrSl7p(7Pr2F)guHn0OzZ=(wQw zIj>E0M4&a`JoBvuq8TC1gmquOI@e)iO5cmT26rNP6~?_a#?W~&aNQ{ z9z!VykQj5Y@NNT(3(W$~v~?qR3^l^|xlt$Il1mg7?9d@gC2$B^9O#i+>wsQ*A%Sgk zA_uI}tmb4I;HWdR1@*u|e{>(5_Q=VfOv0rkmjI6dB*AdtYDhxPy~F3mzJKz!`gw$? zOVnIg3&Mkb<*0HqoW2E|mWl++M8nlSq18(sS;qAXp;Geq_&cT)bF(4X>}DQt!nx|j z<0QCD$;E*@GDU)U*2q#+M&c{267cTmB12>)crJG0o9m=%7>fQ2o+T2ZI1;gP6 z;UMnxE3Iy6N;=_(t#!rb5bU7rFFq&)m*8r5Yox&$T#Ef@(oZHXwf2HHDgkf8*ZYpa zI+MpLgJVs}%IJeuxQ=VS5624CaD9F~d6-q=b+DWTZjfF<8rtE%6!i#IF49uQeWyp5 zScaG4K({j3;G8aQ-mWZBU~-XbWVS20Rv;Hmk#LCxGc;~4UWOw(J_VElE>gc znL|ERZ2k_3ErTx~-22f}kLNt}%?tT*zUH29Z*2Ns^YQW1{@TyQYc^hd#QFFn8aIg6 zfBxgIjn5zNfAjFiUlZ|x*5|MM`1omm?PnUWzYxJRU}?aTSKhx6mz2TNB`hU0$rWk9 zlH-z6ng%Q-G|3fdz>?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}ed?#VQkn)VB{azuX~2@>l2V!mEG0C_6=}edn=5}S|9=>MnK{z-^G`{%tCTfe>V`cE&b zfA$0aa{>QMK>nEUPkYOM)aOqN`KS8#+rAoI_b>1MsrY~V_ougL|1(kgGjrz8jSTmn zFSF;qa^(JZb9#fHcooe{Hu-|Y{>M_BE$Wn#5QgQ%|BtWiL;(8ZP!jxLMffdJcI{&! zMX3~JH)Rd_0Vr&CnW7Sp*C6c2Jp(+&rgIdXxF?~fBr50VS3hjOd#>~MiD2*wo)R#j zXx*S#HE}=9(>kSTnw`~68=rV2DyL{UQ=&2@n*}nNcG&tv#iVNNDn#8b+cchH>{xaw z=TilDnQ#MD=r$K2iBf2Jfs?eXg9tb#9CjX};j>FTYnmB^W_YR$Q{rYh5m5(~Ehl0~ zI}k-pSPIWkEWAELqeY%wBxLg{M9)_7kB@#(v*%pZ9_svM=SgNbOT#QhwP+4vmkEW~ zkQ`#L<_H~{;InxO+j)jUHW^|U)a^Q(WtpA{eo}9R7a%GFo@ys5(PNj>9(y%hmsT-t{hrAFdL8vxl3bK=;80rsCe+#X-g#AAJhRZ(EO;j8bP5ziTu^Z89 z$*xHrw@LOqHYS;`bTzGXRE4ZSi>q~$Si^w;P4icoh%j^BfAtK<434h z@@gJaQ*;t~QJlCcER`5#P=v_ha^AL0v~~Mgc1uaCmW>b5Ud?7|dUc~Et62sLcX5>rFI1ga?IO3%6?v zxnd2^zf#4n@Lm)WzAIhl@NAd5C3b-nQB=`K=pL8QZb@sxOA7KNMPMU7F4BybGTyRL zUf_Z~oI<^BYRGvI=jPlsaPFsfe_(7pj}VlQ1zP{2LB%vKV_CsLvBI=dpo%5RnXTVxEHq^)M`h+I z2p=kMMY>R@E>JR+d5VK!8GD(9%MWTCmlf1*^jXQ{wMadgxW99066SqY7rD!+Lrl2@P+UPH&lQ#UmoWOIhTc>xRxY93n%X%Vu$ zqJS1E{s}71>0+o~oJaa`OTX)qDxVO+qN!6-nDFA3sA%yfOSn-*w#1R^dL>tRt6_Fq z^ktD^>%>T;XFsKKqkZ1+c0{oJWLzy-=s8rDVcPYGawEr7zZ`y*Q3p?ix;WagNN^z` z)WQ)*w&@76K29NpQf0l?#bvR|U;`4P+f-5v%||R>q=GJ0h9gu1|jv;fKiL*pTqB1nQqx=SEKh$K!4Emvwq__-B*)N0Un(k7e zSz=^yfkY?T6=<*&HiJcWIVfjXk{NLrc0-5eEL-9UEmIT*l}b?=5^JkNP*&_tozOZ( zt30%|lt?R%z`87f#j6D*DYC+bbcmFaA!rITpoF((mppLfc+O+XE~aXzi|i-Vm7>|e zF6Q_}6JzCOERR(J*3!OEt>u4C9bMNhz1(sGm+e5Fpn`5tp%{eK5+SU;;FM>b@Y)Hb zf+N;gg~kYHNZ&-IChzYz+buH^3aQEtQ@8LUiySLF&dudZN`aJT2*|2hg?KB&JV@q{ zJ}3`jO*cg-BQcAe5*NH=?AJ26y2b88IN&rLQyh1Y9ODYeV(0HTRlmH<*;=NN*Q*!B z;@nz6=^;a#rTsmbC~F7dLj0Vj<9Tc^t1c0=l^F&Z6J=DT0Xxd^3vt=jeVh3I5O7n8B?G@yhvozOGtmy5Zow@xd zr(gWl1?=cLAycGv0WTtU3H2c+I&89LBd2HgS@vc_U!d4{T8`*gg%)0kl`GUdN!CTp=F|*<){MOtBB-6CHK*#R;ze4fayD_)m{Ck9lZhb7bf5(r=CgLk0#7Ud zs!1~qLm7Ls7$`;*?dzv#7AXqhyjF%5k%t`d6@1NY_e+Db;_Q{1&jd{;AjQQ zHdgeq+9H9qnR=d0k?p+-gGIvf7$-VKN8E747zfH;uF0N(tA}zbuZPzpuD4y~drOwT zK5A+>`?8SO=W~`IC9~Dej^4Geq~SQZ-P)xX3g1?i#atqm3dQO?twvCoW0L=v*#pg{ z$WG=HDm%|&HSg$7f|?$*h&g1+BO56N)f`2mo-$$7#oT8I#!j+rxn^kXX1pYiy}CHa zRp#gU2y&f?VP9^kudOHGiqi6DbwRBnI%=sQRlTgY&>7svNlQwq@YllF^c9ATE~1#6 zN!c2d4y}dMX=05%T+$j^%9=x1r>gkdoSBzL9}IA!(tNl|!LLdj_MSwzi+K0vOI&xQ zR)0k#SBTUgHCC!f!cwW2DL@`mR%k76*K{zl(o5HbcRyCd5y6)6+ z#|6kBVU!K?l;O1N^EDssfF@DK%rqXByUoQRNoGlm`2$XqSZDDmUTyj!Y5iJ30{~Wi0gO6XlZK5pzI6 zRvWUa=MERu+`0JcmtBA%+Z@wF4CBDkB8iLhovq0JoCi%W3HLQDG<+7z*$gtG4jcYh z-dLFwt(S%k<>i7(L-x0^)iP#}lSPu^S;?6@ICPWPEBZuwl&5=GE^9E_pkZSX+qYOO z7cDVdCN7k8v-rSds8tqy6uE(C6=fd6jN^D&r~nMa8g>z*92K?OIj!Mo0g#=0z^E#XQ-I|Rnb^oTJ*=|eUoIGDi_F2gi}q{{HC z*ZI{EJvh!U#+4gso>PRNACcorriF;5ep{k!JpvB#BPA}H13Te169*ZLnLty+>X~PL zf41f#NOm4taa$Rp4+~jlq9IcRDIz*erSE(_mu>$wphOoE3=ZkQT6%TCMCUpyCdLC6p2u9b(84l2!Ol#pEZU zL<-&~9WF1tDk2)0x7O;)kq}X~8-Hi3o z7}3vnKTzidFi#_dEivso{&nD+!or?)7YYJx-dB%2y&i8>z+VRaQP;vM|CBhflYBKG zi7WRL2OB68kBR%bg)?GxU2gL>t4gY2qDI8a#w?#jvUTAgI|(hWqBM7t!L_ezLLE0& zC)xRS9NMO}TSy{dMtD9R_V%c%O@gjqzbecw@rn};RaFEryyd0AM!do)-)`EFoGmE* zLR-U#oXK8Nsi_50yg`Y}#WiTKD>$eJ>a@ywwEw>wZF!}3KUOGBT)-|c2j%v<$}Wu>w-jbXm$HkyY$e6PD~nx2%OtmA*0uGF zlH3v-ui?057E9S>s=Usx9RL(;3|Vz#h~S80h~ z)UDDo)hUeja0XFuu)rlUY_q01LQD_IREHh3fn|bh8!^r@l&pT18gYvHE?!~^8`_x7 zH4GSKCN0m`Oj?6B&ct?7Vh9wsNEi&1ihPfh&D-VqQc%Q9lQ0i#E7?9h@cv?f{7BvfNX)+QW+s$?nDe1W2dJ%Z-2Us^3w*KLDV!R~Qz3bLD+gf)i&ug`XH z97HH`Hw7(?sYW7B-n4_UKvC6*rzF~7Rh^<}gM&_v?iLXh_X(qJuzz*1gbz_dmc&wV z-I$PV>+C$06ME$R*~>n-_2cG%u^bQ!k(Dm7^bSF?=yEZO(?kkos_J}}^SEw|DHCLJ zgz%NT8Fwr~c9nH#XubeFsogOw@)=sDB+$l2y1a*?L?{rF$uX1}P_HDJ+=!;Y@J+Sz zqG1GH_P9x`|vFJ&u|spy%EP0b@Vuz}lDs#SG}c1J{(Yz2zbqZhu)R*Mx4Ti*K~ z|F4^<3zxJ2PvTswfLdT=J6Myvs;SMIvw>J#poF10Ms@1pC7QJ0fYB=Q>e%CT8!1(= z^V4cJTA8cXaElmI%J!?_H^~TBC@PiaMplpry+_Tq^lEibkXz)kf~jbXU_G8IP+6QE zcZr@F3wH(ZW+N+?UF5V>yXw*aKSnh_Z_3WSSZuJwto%`)dX)+4^G%z&$HpTDO775} zkSW8k1j|TjvtV${(lRx#Z#!{VOQ=i(M-FAP+`6$Pf``vmsvj5Fl`y(mWO_5hHU{^g zR+)M;ec1~uJ3E~UALPVPQm~IN}6?9*R6&Ii>=iMi@oVS z?Y$*UEp1)BrPOu%?6doT*wU`s-a)kJ+U|4E-uL@F@AY2qTvxtk&P?X~e`o$5zw`ee zQRzh?h6GgtN1AyciqzXk83<`6bDUmUoJnM3ONav+0h`J|uwjz4Ko09k4058A(uqWT z6^@l6`oyW>n`D<}ghb(pgmh?>7AZZoh?LB-iZl~i%%vw;2#5s6o-EROnu`c#bQ%`W z3mAINDV2GhQJC3lO%)P%eR8+6SOUk#y{?!WMO6|pqF=~~YLdjmtcA5Ch@>KdWf^J> zXQRawZJ5=IYWX$eOFLGosZ78!#Yp>#G;7}a5O1Mmm8Y3y`pg(Vz;hWaA4(D85pguh1ekJB zAJr#t*ouY9WD1r*fVfi5!LDRQupHOKyH*f!r8K*Q8kC%r9@!9{WbWOgV7W9vos*hm zLc!L-VG)@W^=||c7$gj2#50-V-@m`n@*gw*{ExdLSjMS14;ooKdRpl?57rBiMnue- zO4i58K7z#}$o+^%+5|bZacg2liG|gOA&Ea#%u->Q`1x_KiWqk{|F<&uW^mZY$_#G zW*Sq!w*V@5V!`v@J+k(PYIGm-+rnH{K;f8rEkHrqB386e$TE{Cuo2}Kqk|rN6iV>ttt2i}BvPsH_OjQkVY`kY7B1_^)4P(ldsUG;31D%Xc={en1S?1jBSE0n zz&Otm5j{OV7`_)H`2nDpRDQeDNV<^{^9U#)C8YSZ*oc0Cynu@5G?L`x2`IB{q?KgM zTQvz1MvKV(9e_TBJL01Tdi5i?SHd4RV@ONlu$ks304Dixz<4oc2m%4aXd1JL6%}Y)hS$pRI6zMWYR*7ClI=2vixbT8D+oH^ zK|6s_iNpDbz7$!SK`OppU>C^f=FzF>B7UI7Wt$mb3luDYlsPD=xJ@EXn%Wh1qyo7g zDl;-YDikJakVo2MM$W?m(@5((1%JFh@zVpSU-O%qmdpvO<2@{7AU1}`bC2B&RFuez zJ~@uj6Hp~dUlgj=E<=6~V&hc`@4=SH7-l!C^lFMVBgB`%c^ylsMW6=@(CmT2(nuX) zadf7Hm2CDb5WZ5Yo97*OnG!o*_%q@dNgqm>Z$h11qAcB+19~$ zg+`Y+`Zzv8o$byPFL0+hSD7>=StIhGkH0~m;CJ93GnwnCA@Xz3=pN_x;-7;zUCpj0 z_WoSp%%rBD80~Z%3r^XD7DqW@WiJhbOa588rkrb`)>j)G2P>MP?z%RodrR3^?*g(f zA51;Mrnx*L=>*x=3c791hTh^WBULkUMO6c+*z&|?k;>+5)+Dh%*_1_f>xyIiHquxq zZ-=Gg>3{TUZER=n;47l>dP(SR(r+G9deE+eT#!dR5kbHW870r#7cs}sc^Ks z$Zwnxp#0*-9RDU~4$)5at;)zMG63Utt?^>Rb3*INGEiS2@jJ?JV_z8v;_pEGtoCE# z!IDF-JIv&Pb*R@r( zUyv5D#|hW4wX*o1S)1lQL}p-qTejy0cTysbXX`$vrf^f1{W$>qN{ncurpry;(jlID zD>`$b3vUJ4!BatcYJ@7b~FF>abr_o_n&(|>w5u`#V4pduAuaZ^EIm` zSaUdU<%fjzspEw?56Tr0CX!9eF)*bq@qKYyjkY+CjdYcN>dHMO&yejar{r<*Yx|5? zZZxsgk7xU)bu+A=ov4~~6&&RTM`uSxf7_pZPb)jqtTNF+HP+m41X|fmwKaIbL&UJM zTwIlggg)V%<2GBk+Sc~G+%k?08|&v}w!2Dj(Ll}&Uax(aJp^vNd#;Gu2w?5A#s{<~ zZD2=k?}XB<{frobll=1~lZCIyWmQBYPz>DcS6S{HHkHiRYEv4S7qVwKZ}@al({OF+ zmzY7aM-fLN>c%qdqAtvxbtnW0+{q33kJ!g0S{2Dj=+HZxL!e{O~&!k-;Ka-l2kIL=k#!4Yi zd~IBM%qJJ_x~%K-SA53q(Q?iTW&+=0C0)Y@Zx~-AXTu-MfiztCNv%~RrVDND{ORb- zJEa>N;VTyTqVkn@b0_U5M$&obJFB;SO@HQ(^;#dCwkPuir{$)!fpbN))z0kZIg>SO zYEW#ZChgaof5KF@a2yJTK3MYS>hEH5|?4V!9eUsn1^B5bUP$nPxe*_?dsb~p(K`QHS#h?2Eh@AU^h{}q{hhX{j9VnVu}l)nW|1jJg{B&vRzuZN0d5V<=l_oirO)IOiZWXK4JcgR;j;p z#0~RMgOK}780`hx&h1tMgFe06%kA^KA1AlGmSWgmv*LAvDD9na3=XnC7bnD64pCm) zMRpTC>~1k8ZcM@Nd{(6ll$Ujra34NURvQz`Lc?H7KI!Wp1~L0Vs{Tn>5Nr$i`hREn z)A|Wx{gdJDU$!*{>r=zsUi9h6VY|J@_5~e`6&`Db4!R(UKGqwF@5NvFO9K~5u3KJ; zZeK+{Qv1sIUexDUeNydSDkdg7lWNzuA8e$XA79^bur)i`>-rxDyFsYgzAoorCz0$m zfSA~6PqMGeCp$k+^%^!zxUWm-XSWv4-uWcFQQ4kIk|q=UR>Sv64NZMq$Ql zDB08BC~SX1OyOU8D^M42u5pg&oa7+9_dmlWXx?=xaIH|rH08s z>#rD)h&07QxjgJ8VS5DMueLTmvfU5n_WqU2zf&7&f_aKD9L zBKLF1mLyqtMI6AhsW9#lP)-A2*Fm@5mP6G54f0$K05JzPKX3ys36ahd6fOs}K#Dv_ z406t7FRd|wWHFUe1Wgm2yN+omq6Rqx>&!(PsCW^qhCkSTv1V0b-L1P4!)P@uA=OZi zXt~A25?*LqKrWLOv+-m$i(yN8RT>4zi^XLe@>x}STE+V5Wq|H8f5u`sgCA5D^|d63`=wqj(dl5h<{8xoD=AfzcFdF}sXh#`~CIE}oJU(T8F z1gHR&PLdXLYRwGkQE4HH>eO8Xq&N#jL^R?8z|~XGyiAISWaUZc@VJ+Fr9-EQk8A$6X;cNh(dP27?RRmje_zDkhD(g-#5l+!Qz6_@DoNx9dx! z(K330ZnQuqXD7|E|^avBYa9{LMFDcui57SkR#FE@lJH_1OSc{ZEN4%8D=zRtN+OFaE2aI>IRQNxmI*PaSQV}D;U4V(Y)uQXIh^ts$4egTf5PyN~v8L8=gMGO% z`ElUNWSi(BPodwtgo>=fKOhHXTg3{*8-phMMy1*hvy3}?yqTYqAunlqHv0qxGNVLu zML4jRgGmFA~_kWFqetfV~uEJ9Wq5Si$#EwX5%h}8`f1<%9 z8q6`B1jI+ID=oP(?G{8Gf5o|bAZ|C)e0>*?7BnJshz>?JwFmQe%w7GaB&L;3-feDS3cyYi5{H6JAN)Mz!YRFuv3 z)@}AJI_H3;d=ouK!zu|te&K86&n$z`Ew=ho4l)Dl_-XmZBftOjkBPfme|)^BBw;$k zRnVGypw%|g(r!93OT9rc3SOfnYYvcBY0VdPKP{%mbVGuzves&fnCeMW&B4{+0wdhl zmHViVI{I*3D;H{|UthE7x_`60ztOer6IW!l*HzrRg|xTxpEB}i#e*;=Z>3LG5K%dL}%VS?{+o)$}KVk~G;229MfTN;^dFZpBVr(Gj)^W@a9mjK~Wm z)8GZ0vWGr<|8{?L6+c>8_pQUHojtT!u1$mG(Mm{KWrxc+ib6hcJ^Tv%nS~rIeJx{R zn6FJ&Z0hbm{P`dIHhn*SAQ#GJ z9R*~VVTB?E==yc1gOOEqC$LZv+(@d@g6s~9@;RRf&>VHfgTR|Y42In$K**S47dd>= z5UJR@si0iUl`A!zc>;+0z<@!V@~T6vc_Q6Gfo>z{$pWBU$_r|Nok@qrXf7C|_+Ulm zOpxhFDV%yVS19&ZM#S@S;oG;rkuE!|61^1@2=T$)Pdq*`FcU73c(O4Ps#%k1dd z;dUY-S4P$v)ZK^KoBPdwd;dS523kn~v&QOBt6i}uV?^MHs7`{GQNe7l@~-OwD`5k$ zwbd5WTxzcs*az}Sww1046|mftbPpXmYAmKR;6O)dKFqS9dHHGkByg{z;xf>GhPsG0 zI1BTGucug8VGgu2>?KFAmCmGX$Ap-%DiqA2EYu`9Du~R}(6f(F5N=ML6wFBhtz;PF za>aQDc?F}`T7r$FvH&gsF4hOxF>y-TkS$ZQMuB^sDuH}T6U#P$o_scwEj0E-^FRqX z2Y%zIV0nHp?8pl0`?5k=FgpNfa+r&8WP=HI@d5o)Q@K@<{BL zDunPlDn1XC2VbK`j4XVc9;73l0VcEm=S8u>BR9WVdspyFOAy-MAFJyBr|mq={q)`2 z!IP}UtGV*M%H3&a<+;pFlRy=k4N`b*}Jy;(6RqNYyolOwUfPH+QUb@PzTE<6GGG=FSVz z8RFIPZs1(k;Vlr>zZ;xA{kLCRaq8WnYqS+k&VeM~#F?33_rvZf`WM-^TMS>nJ+#mA ztue-ROw<$}h6Oh_Jk4#pxC^(;jGYJepV!Z^I^p}=jTZ2I_RB=~ncOd_Is3-P`zK-S znO&UaT37yt)pMti7#vi==<&o6%nXKmPJubS+Q};FaMClkEE|cQx9bKCx3s^Zo_XaL2IE|7 zdWPXvvF0z2{rHGZXN+1#62Bb!oVvZTlseUZCY6)&DE&wa{OBqi6}wx>ukkrsl{`ki z=^N8It4-*!Vh81$<@ri}u(#W1x{6;CuLchIOAfTI>~xzi2DlwRCr``XAY9aJ7J zd{~+b@44!ie~2l6a6T8aZf-x;BAaAX!AJq)OKf-TwrK&Gq9 z#FTzLkae{0e(ndZlso?0!b&c`Q2OR!sUUZ2LfIvHKk)~)K+bipLjB~cifonaE(%J2Ga9GsvB6*~F+dll0Ft`y(?{bJ^Bk2!Z4LLX2;q-AqV7!(3#oP6U{CB5dOi5K%NCO6bu7${N-&KF#_ujSN5l8Og zwPYkAG-`E{W7P1W^!IU_Oe+)Zy*q|x%av!S_$v#;2yz?g25LokiWiCS6ts&g95 z(f+nZ>a4AcUscyi<%@Ec(H#4*N(ud-+KSFTBw1fYG_G9c$!^4?$C2PX#%l(=roLb_cLl zi^~4>%JWoP)bwj|sfn-2HBX#zmq+>xUoYg&(j5cH&-<16+FAXD5q7^*sro3BzSQ7c z6}3>d%4YL5-M1WQwuH)Ic2BdjIRJ(^(Ca6CW3FJwn%tFxk*Q!axW61S2QCXW%5Kg- z(@=##dCrcyW^aYr$gM3#8rDHU_Xj)tOZh6gfoujQq-9HYJ`Iz=G%u~<^T{J96%jHzF9qW@@h^@DGUWr& zc4>fRD9;6U0W!n=@{$0v48^`E+saCiaZDS@FC!hAQM0IwuC)s*QsT^#Wi)$c{rZJxX$BHC|$oLP{o7RGMC>SBq2zSVD=#jE5Bx zLP{wFiY?d9p+FSFvD$VXC4C!3eH;>MDUa7b1#2lm50MpG>Hw`!9~04ixX=_ozy?v6 zCk;xXTa-u-dJ50~ttaspC`!DuEs+FGpwCEinj;kpH_g1RzblS}@_{vQ*z`N{9aRMm%m=VJ&QQ}j?up~kCP>JojI1~>-#I%qKX5t zn(AmiERa)N1(DS=MM`-?2X8GQKYTRfohwwam7XkmVD%2F2|T4`FG|bO;Dg_O8jKnD zziBGdnyiKPiL=G|I@W*AP$%8ta44!17se%tFf2Az>N{HuH(j7>rsC*a6cerrqw6W zbOSwUI_Og<+Z)@vIm^x7ESP~D&*9D;mX;kkau@4|Tio>a+8N!htyPy{A_bbf`+zbz z)r+_H&Dc2UljowTC9Q{;RwbkVg^^=ff8o6RZC=~>2Um*7pY{%QnF{!*DV+?>iOFZr zLlnqe-CTR{+*mU_lPcC&ERGk>2FhV7@zb-r+v_vORY z{6Ok4zjS+j#dM$(Y|-8@ou|MWD+SoUmrsL~)#eb)(>DQ>98k70h zpZyc;bmS6uOK#=6C#VA*b)9rqMwe}5$6ge1|C>?1KrT(!5=Y^&84R z^Wcul`-k{#nFA59vA|`}m`m%E(33v!*PVPnN?h!)qgZ zsL@us{i8h>|C~b>y&ECc5+|MD_%I){s2sUB!jMh0;IKDBldqb{L9TN|8e)RTV7M7bq+kz z|88Bk_nosVh*{H?lv(au3gm`Lgo!N(whyL3gYKKc-NjdJC>kF{uX7X1pif$!_J}`Q zJNiC%>-|<|_Z3s1dvp63HDf$sd*AoLOFq{Le_8qTnSn>oxm}NTaOd*)YG*q?Gh{Jc zHoa659tNRZVSpelJqqT^bL)a#h9v5msk+d5pZ_|=TkoGVJ!;rGkX4!q=r2b6H)6^s zb>Cit_8o5y`estz_g$mD(Ul+znmN1W;K(}V1MUs4?hR@M(Nj+CBtL|iWsat9rM4X44)~~GH{SkTZzXwh`JH7 zhc?3t{IsxN80LlB+YW$twqG>C1F#^aEYupVD2~C@*B)EEi?F&MmMKP-aN!5N~{coGDgXxm9UF$^2TDML&|BfWlO5bow?`*T)S^>42f zt*oKha>aN-XclK_L^zD~Iyb^_QL8tbx`kYWE^ydD&je4{e`OI41HyIEC_1f>-Gbcm zw}ZEcE=#4YvM)JUKm=!i)hJFFkDa6~&ZmM6-2DYXc+2kdhJM?hE?Q#78bhA3V|_J5 zQyR|nAd4QeIZL&n3q09d6`qAzbWML2JW0T5%UDVyF=r_cb^~xhm?3gCSPY!4-S?SU0?%s@K=VMmE{DwS!Xv(H=xsN$O0pn zMRO

    OPw*5$9-H}}Woq>S-PVp+G zUcfVvRV!8|Pt0*c@Q*N40Tgrw)-}EPiQZ=V=G6x@I(p=_eWOQCtthc?TW8<)e*)o` z0^wfVKdZb9hwyX!)h`IQ%FpMA%JM_LcA%s$ma@HDdK!A4aeyKAJPhl@v)^x*Cg*jq+<+l_2fS4*zyfky)tyk9v;t=t4BJ{D&4aUbiiYiAw zUfXr@(BYql4|c!f{6FQuokv>hg(LE*JwQoY%CyN^?f)LxrTzRN_J>jHllymtvo+z;n|_=1d+hZ4hazP?+VFm{yx8eH%keCaj%#BX6hV=>>B-`LYyFvT4!Qi9HoNsx2OS6%(P zt$zE8^>kei$T?+|hbs;perZ|l?i0Wn+h2kq9VrEu8(VRtz>4v{1pslYNGbin0|O;%i4I&J9~P+51w|;dNe!f16fCMe*5w9lH8vu z4ZA@reyp;F3*E~5qYB=+@6{i#uW$I&7yCSi`kC%E^X$tUkM^fV`Wnk#y*9M9QdAEcwc6OqxWH#Qu` zBmdimkO&ei`96V@E8Cjh7OuJDn?_}Psy|%M4z-p%+gkF>iM>4!hMx}{SBAdPu}j!8 zks8F3(5ijlxpZfbefj~%UO7k`dTnQ~=7fsY$WJ`by54>q0{X(#Os<&f)*gjHJX-X& zP$RwRY~oXY?YWP_yo}zWO@JY%`kvi*L!0$N*-PNGZ@kji6Y`{=jt zi$C$wQ{)MH_sz7tz4D3;k&naYn&7G$=l|9Ayp|d{7(z0ha==M_@@!|3eW(E>)#d*T zb{v?E&l$yUUU{VF>UBM%m3Q`ylpZLuFJFFQr*C%*T!U7mX5)P+H|PQWRM*vs_BWra zWZ%p0VAu6%8+)|MSB0hRW@;uDILqu3GEz-W%PZe#n_8K3C72QBk_0=5^T{-*{EzBO zFbAfEAuuiM

    mg*(pf;P(vWyk8mM9SDCJx~fKQd6O=PrY8{PenSKB5B?{E-jS4~zeOM=8aoWsfB z7Fy}cr=ij!=9W$HJt&&8N9@x0Ld6Q#V`7ayyvS1exbXtc(Z!{@*>8rM)DbbH8@>8t zv-_Stz3k})5W?zDgdC3ui}B8$rJjJFmJgEGoDgBT-Q2Z@K_R$+1j_*7W41b%74AaP zkjI?MH-8Ct&ZfD%aN#lGFrO$wUKPQf`_$K>)LG6H|C68OeCqv$=XhL~9-vZVF+OfU z-lc@;@8N=K2%Pkquv-k`f;tE4m5DMoxd-EFL-Oc(2JBw2!-h(c zyG+*E7TJ^quP&l-jV&>qSi5@5?1O8KP2kxqvgzclvscy+_JC~MIr4|d z%0T2yudKVK&#nz2wMAr@@`H2u=4JvU*HXY*z|t`zfSxMoYuC#I{VNXFy2Jpt*w7G zalHNYt!LVL2OoPIzVAFXbLc>!@z!Iv2U70HA{)zIA3kl=A3bzty$asapuyp_jtse;ukTNJD}zIS@9X&OCl6TQvTSGKWkTPk58>M*N53an#{KVAFT$G-Npm5*)x5_l{-;0v^E3iY<}Zy!3qCEj{$E&tG|$8JwNG&+3d`mYsz z&bjqqV0Lh4^q%2|Xs?!d`^m>Q-cMfr&hY5bKSb+=L2%?&JiO!98SWd-4fg#8nHfG1 zrO@(G{;j~;KDjM%#`xNb&ndTz4)3_7Juo{3L$ZO~vFW`449V}B-wD*;{V2fN|LEvL zGl_3r_2s~~^Y15ZJ8qeMsC2k@0)W{2>Y=x;tRH?16hHjf=m2y^g&+wYAYCot_u-El{qZ-yfT+>kRF9*N%ku&55`cQOb1oTZ< z_En1O#!uf;M^2L;au8|Z&S=VFW^drMz4I20Y#WyFQcH3CgUW zy^|cZ-wJ@dQke3)6?zYEpljf(sPf2TTBo*yXsPF?y1)t$sZV(+tG}KsSJy9P7n+mL}KxSCaz}4DL{=vL4^g zcfPKiiLBsz{Wy5T#ACQ%ScVS0>P!djh+YZL?;gH;t$%pRnBh90+YJSs@=N4%HK6sa zA6zw1jlm0CJ2;60w?Q?*0(ynjPQF|-ji>1}d=1_QM{qmF?)HD3ug4L1z8V)KODDje zC|#3s07nm{;xs_)x#Cy!hTS;z{A04;ejP?{Y85=XH3A-KS8{ZlvYo;gByKPH)%X6O zUHj(t1^o%gh@^XT(+x}9-Igh2+HRG=%5)XEfWFIMz)$T}vw;((XcE%BlFFsX7q|i& zXCX(5pE#MiXE{+|C!L{qR9*Lg(V1gK3iQ@3+KmhX>YG$kr9(21vuW9-!xjt)R-M7N z1ruGzXu4YjmX@NUENT&v2eu|@s^Y06sg_)@Ym21zt;HB9gwXq+UjD}~zWvU%sb|0z zO_vI^R06kW@O=S6|B%-L(?4FEiVlSk)e-PWFJr*o1YHlfY+gnEov?`|2J7i{DC0;5 zldQ&W6%8^Pv;{v%vf*n6u-oiHlz|Ov0M@4=k7Odq1ZNQ5o>;&i5CAPj*=4tEq6$%_ z2)<(&sUBn*C~U}*1)kzXs>zrKo@N68HN(<8GE@Q^Lp>|+Z=5+U{&D3m(Og=>;>2Z( zZ5bm^CFj7FBJbv7c%+kqaH@?fdZaQ3_`+n7^9V!%U@~x%A2065un)kaMZgWrC z!`skX+Q3Zd2dScg-^)|ok|}7DKKP(V%_;2F{IE*{jpRa{kk!~~>o#JtC>xIFvSGV! z(v}*=p2i+KLTy~_;(fZe5a4$w=_{2u)91Arv_w-}ED>8xlXq zxDd3C;2{QGy&v}VNfInjr<8|X_y`sqj`NrkI^#%~!4|BK?0-f`6w;x~$<(A^WrNER z)L-B5shK+Q{k>1m1H&akK^R*YA=n_6V#ILHx@&|RRdC_jr@}~kObexU_Q6&p*!74! zz@q^>T)=LDb0Q7)YuhHQ*Yk=QLW&pntH`Y)$Y4fc6QoNMkx(JR*ugE2=`stw`yCn3 zYcWSH56l3!rKl1xUXw>|KF*^A&#N9&fJuS+2vuMwmsh`5LM}z*XN-UU+~)6|b-R(< zoZM$z;n>CYDNC^Q(rv;+brIOP71Oz*a{cP+5urWhwmmA>(Wj*2Y5 zi+iq%gXta|KmDL6@d$mqH$O5UPQJ`a`bej=?WX9>$|C&+F0R<`7RQyP6SuK9?;5za z;coigK-2E3LL=O|pX%H1)n`F1*;OX(F}Ct-m|Cz>G~b!1)`Q{<9S#K_k;;F&EGE@g zDJRLsJ&LYY)CF#Ov5@zGuS(}Gdflgu-CDi?8(up|x!mk5!yR}F1KSAUd#y87GefPt z-F-C%w|ZMNcAY+6AaC%+i>CQoPUtJhu%8&ohww69uQ8Sh+ggW8abQqMke%8?eD7dQ ztH1I~TvcnBIkFf3$jXVv^|oh6`OZ&N>uj>r5hz#CxOT8>3|u{v9~-A+RoOnkzFS@5 z%n)n&#wv)vU>tH>yQ*Lbop{Rk{pWs=bMF`oUMgvEmNwyM^x|mpc`k#tJI!)F7V3iF z3qoPbxyh$(>4Y<&Qkix5vAV)Zeg(lRtY-;dz>QQJd?b^?*J^@q7=lHng466Tx`c`a zXq?^9)!e0LDz6xsBZ`#6N&S^QBty_!tE{1&#N^pi8rQ)I{1(PfTSl;Z|hs_+-x;%zGS@!?#Ia#ELRl=*V|VU;}xUxzB;{8MYP}OX!>e1vzT|6 z2vVKRgG(EyLpa>x%{4qWtfSNA0xnmW(f3%J6VWnZ@P%-v;7lo#*YK=m+S`PFJC{_y zGM=fp?Bs8;H&=-&Rgylq`|niQ4(_sKZalzeVfS*STbQNQ(m!?oq9kYfoq5Qi8C)Bw z%qK06+yS!&F5RXdq+J_PYG3|5osFmJ;&v6PWq z;tUNHa(qxdq(v(shO9C!E{3gxAmAqQi!b4P&a5!V`5Mr~1B5Jgg7wsH$u*=IffVFJ z{N-)1->`a%2B=HJMIeW(hYK8{v#$Ld;llB0Bm zu!jW~PF%8)V{4NB48E^ho@ta-u1aE~;@gT@tt}F&W11A$E?eH{<`C0jiM9@Lxmqg| z*OQm+0Y7*ebJ_-$z|v($nkA+`Q_9Weqq;h%$8XKLymh^T&ErZ;vmUX)Hrk-<#FL?1 zGIP)8R>!l>Dot7PxKGaJBs4yhuPmas3xmjDo2ckg$A(H3)dhUh#c%`bd0pWp8$y&I z9hfC}hG%G&1Ma>ir9l(98kGotsnOw3oC#ErLxz5gxYRd-4Qi(BqN3qeksZ}`>m`zc zC9ec)&SggTGHlpk$4msbQutHgGMVXM<+e&#Fl?$e^PR?kCJK-bKuR zuu|LKIE2Bkm;m+i-db*4L{UG)RcAsPC_AJ<0>TG7`1~$2V+KWymCw(-bK>`>9{N`_ zmvX9z&WReQDk}po_9hVvbXGbtfAMBCtXv9HD^#kTCDqpRa$c?lIV;ke4=bA>U z$D2#YgBv97ak3ImZj-lxldlKw-q=qh9A#U5_`1jI1_9v@K zhPK`vY3d~HLf!ydtXYB{^}W&)3Rl;RTsyjrn-O@Q(*P^e`%5ZY*LSaym1v-;xs#vG z)vBbX+vWU2^wtf^SJ;N3Yv~dr7{{lg_7yTX2rL_nmgVuA1gj8Zs%OYQ3s!8%WukVT z+EG?22Qrdi_y5ycQ?F!53DISl5<0GB(kLv4P!gLbD~a12Vz?H+qb*hz zlSO)^ZrB1kZfQwL-)#dWXi(yg?8a(P68lYpX@*_i*P~1e+6c#t>~^d;S*#>^HM`tZ zO;Au1H8cxgk=?n#3Z8} z6Kod*Bdsg*P)$=^DuI9>2x9Z{ARi#SI4*ewh8^txrPF9;<0K=&48%$B@#299$vkT1 zns4JWbQd7-h}5*~^D8&h<`n*X0S5Rsrj--6I(xV~p6`##vSRTkWp7hwxjk{sgjqh$ zI=Qf$Vsub%nbz{0$qkOoUv{Ems~W9;OD&)aY#6MQLpKgj*E;U#ux#k&D zSS0+goHmCo2D3XKxkcFPtZRlJ_C<3c zKo`c5uycuKIk?;4__4U6IM(FmZH8_L$5LC;`6a@TIiT1Ycyvt``@rAQplWGBCWG^o zYgEWKGzbd|V$)p{CU!D;m&3SqHP>*LlWGc{Gq@nB)VP*1J|wyr2gF_7rGTqL+ZbVx zL;Aa5q}2?~0MD~o&0f_j=kxX`b*mo7l=56FFso*P1lHPRxy4Bq<7_V zUF2PiTWwxB^8EDAa+b|5ysdQ_R>qv>EA1K2D9%)|G>!fPRDQK2<0evWZL^R$8=SxqZC^{t(Msr;GW-xU%x8 zrW5_&A<~_Ot0Othb5X6O26k77ei=fGF;hVIo7s-Iux-d-4VtKw5|wM(W%(cdvoaC0 zFyGf2SYcO`m1oFBPs)y~jHiRUxE8#DTXht#CT0AiYvfwJy6<`>+W&c$+|KFaCHzo_ zP%;{ku6+2^+q-`M_Dx@$2ds-zV(IauPAH1z1D@sHsB#1Hdn4vq02K(waiP^R?Z8(_@$mPxPB$yFPs5PL% zdQD`L#Wn;tsMKm4uT5bQlwuoT4|DPH6295)As`aSY;`;V;brBP<#@B*WbnDbC3QD3 zVM}(4s7PZ#b5J2T`e2cxV~K(*5ch;R0MU=m;^g zbnBh>zVf*r=2SeofKY?MRcx0u!HFfHx(X-Q3c~y7Mx&d!70!kbxw`b?Z75rxad_Op zHFrBi@jjjCBtcOqcbn^4ez3A=sd71cxWrX%$ac6~Q>+p7QDa#^rDIphL};FRzE};qvqq#*YHL`nc+2_NZhm*JWWv0sccac?xvbrP!!Vf6XJgm3J-fnOTW63Kw z{Qd0bfB)X9g}JfB<_CN<3JdY171d>+qD#Gsuvoc`X%VWmtSWxV)TPbiCAd{GFogCn zg84R)2gNpRRv%wk)9`T1WJ# z$Y)T*Q9ToUOa$Zo`r_oJw1uWzT;K|36g=3tOxeZgixhFY?JcnNeB0t(qK>jT-9l~x zdIH11)vjm--a1uQf!sRts8yDb@v93b(!!R3p~TmlR$#tfKI-KX#* z6a2NKK0z0upJHnJB5DF3Eez_`V0IyAL`Cw!9@0!if|4dkq;By3q*a3m8g1oY7 zG(vU6f>vEjqX~G!69Q(FMY7Cf=o-?sSj^NDj0ZFgw~1VJR*RYC*C|SQIe^ZC;OsFg z0uGYFK96Gg6bwTE7uo=~J#z1#9{uBgFn=00q589lKt5yc0!|2m1A<%R?BkF8!ZVMG zfLcJlxrbo(N|idNMC#c`6XZJgJ}^3zx}&52?EX{T({FEM&MPw4rBrABoX%({yUcUM? ztG<8V??0OF&v#O<{FjF7&pAIhJFk%s?*7G-obP`34-LQkP0e4-^fx}7YyQF`^*7Y; zy_H|ylVer<<(z->c%9RYc?|i>^`@SF0KJ^nn`Zw0Tkn2h|6ecj*K(xh;Kih~sPsGK zeP{olzJJ$8>Nw~9&OZAKP0h{Yv-$q~d$yH7-$|W)0EZ7fp8ft$_;0HHW$ zj)k&w z`?;rX$l&3boY(%>_SmIY{u0e4cRuZRK<4#P*ybQL)j(LWOQ>8-WMMH6yZ9LyqNXu+ zBaY)H(cO(l$^@4mqGwZ11djut)N7NK)Y}CZ;mE%IyFO+vb9!2 z(Ddc7r5$|smB0>HhJ-*I$@%tmfBN+P*>5k*woCN_r?yakmMUjKr4Ne%SkU4es$D zmYVZODA3ee$2LPqMm!mzW6K>se2Akp%1Pv&U3P34YKuZ$+9eULqXt+xKy1VIk z5tiTk*~{;|o^z;c9(_N0Oo>uriv0Y~epDbbDQfgT`kC)tPQ$=QyE%X7f7;81m;9gg za^WS@oxK3wq#^qe)J@~;MIuFdf~6FkBcN1D!_Lt*Dn zFAS(OolVo(i&@^2o?z(-mbQF<1P9$@#qWZ@5ZB_8gebpQa&ukw`HQzO*2d z7GwYu(rA!IgEShX<=He-NXxTnE8>M^%8Qh<(pJO^OsVsAoit?U>Fznl3!~uqVEY@c z(vUp|rt_z1$X+B;%!4njDWo+8z=Sj!q|qRa25E&c%@i&m-CiVvO=}7lfaUo@ZW^-l z6YiX2TAsZ~q)3yl3rx3*gk_p^T>zG8(v_NGX-y%mDWuULttq70?FCpwn%&N$#W}~c zJbRHyk)B}b36}nLdtvf%ky9$I)?8pprPZ1grA@0fX|*Pe25Ge>Ep=UhMWos7JX)M{ zys%tyJ}>U>=%4cU3Rd(QE~D0n{D{)VeGWY2-={An7p7l{<};7e-?X-xqzA&mxU zG)SXCT478xg$qcx7s+7Ln!*KOdA^XFhV1-=JLi~|XD<>d(xmGG)9oT*nI>HqfMuF= zrKVU~Q%GwHX*5V{3TbwG0Tz*FxASOm&M_^|UL;baCs=xdrN7-?n0#F1luD~L7no9M zwI)Sr(`rpxtx2Q7|1WBd#{^VE2o45<1_A1+MCO0yui@f@2lMymj_2h$d;h=4d-iea zS3max&i}OMa}5t%vin;fx=lQ0svppDs-cCSbAMC!Q~g@VGoRzUd~+9P@5~j2i~k}m z^va_y^v|Q_eC0=PJ^TAJZ>s-AiG|y#-lfuiUG@C)|H1K+J-xqsF6TddAFje`||N4hd z|MI+YbJfl@{oiijY={3l1s4V@=Qq*!PKdKle1Iq zd_{8_#M#mxG@dGbUOK18nx}qa=x49y+%|MJha3~#A~Le&#TG&?v4ug%K#G>(2#~|e zELOZGoIvH!Bph`Kt{{0;)~$%h!yvDS+$KUv6Ag$MA*clsWR{UjVsy7=y3C}Sx@REA zWx{iJ2|P;O35&c-)S)nB#`m&nsu|2*e>y?ghC?QT3I_HwsK`1L?If0@~B;hZZ!E* z4}7KMS2?@$;Js3b#5j@HB$s}fZg`mq3atv#B~`dvlQ~pgO^~h&Zow8*1wlQ?eJ#Q= zBC@^2K^~KMq-!yk~=|^^LeEO+os4tanv}IcbEOT#`UrD%wy)1Td_6QT}NV@86ez2_2 z`f7D+a$m%42H8#xag7Zfe7=MXDaIJd9?qLbV}ejxaGf-&jjPf9a`7w?={9YM=kxH% zE~Pmxjoadlk&dNSkjlDrv{P@6KZCeyhSswyWb=gV`&Fx3k}L+h)z*A0ho50jWBUll zYM=jAz7}3T9?uW24`@R^+Qv6LrgaJpN60{rl2sjz(>6PUx?1XF-p1Uz$|`|tYx&rE zts~yT1$`x%f*!=XEowx|1nTPG4mmI835O#~vt?HMbmB)l$Wi5LrKa5Oe@;*GPL7Fp2V*?BYz`>Ek+Nu8%2B z3Y@0$*@`pHY^q5#y~q+G5Yn#>F)pT2?7PhCFcm_Fg-&RIR=l#!fHBmUID^XCX#TX7 z^Zt7a(5NierukTBIUm))z3{W&7s%8i=%kq(MsnjLMR!i0F z=@#>}@nE0Jk_B2IbV_{GYhfmHsJXMpLj0&i4rxR?emuDn3&JQB4iZMLW`7lk?Oei}> zJbZk}Sd1t2a(ZPlw>W9OX4`u^ZEi1kVq~9>uKe-x=4Qrn3&Cz#iB{tFDk-=NTTHg1ah!);%MXo+GCpQ3 zYFsYaOjegRHn1x3z3*>+^U(!4&K;M5+%M&dw2?AGSZ5JS1+@(ZG`0a4h+D?Rh8+@< z_sTBOy-HxRBvy0LLV{vulQF0_uk4OV7$q5d(BUOo!&kf2E3moGL@{-V6s}QRBBw3E zG=oO{p-iQZh@=&Z*lQOMQtU&vW@T}Rc$=yTUaolM0ztQuCZHvAT-I$MSBp47ZM~~P z^~hy{EoF4QDhVUjNRqo{9!i} zRS}P~1xMP3k!!clX8Op$ik{xx%X3~STtJ?hcmQLkMQhWXhDeOo^+#7-Hsp{<$ zZ1P26yTCfW9z3z$)(rn=T2?q)oNd7@MGlKu@)G^39#W<_?R{S@8xm$L_F;BZn%JG+ z9PHM%)2W~^SSeK7Ek(hwuAX9@wNDazL@VU^uqK996_FmY<|ne`dYW@>mNfQDQc`j% zolq5T4V2(Zq-Ba2jY!)$cZprej+6ox86!nXleRgj_zizUcdR7I_XzRUdZRc?Dv^rF zy1kS{uU*1TYlEfRyJVwZFB3K@tWS`Ss7j7*xdZkhJS=Nu7;8V540!-KagR zcp|b?vlKVd%MR=8*6O^bp^^rrvAICrDfmaOVs0v5f#s%axGGxNw40p}oWcRUVwc=8 ze!AvUGqpM0k1l|c&E$qVwf;JGtjw^jCB(}s>O>7C#9CrhP<3D7$o8(GJnA7Ej8Ba0 zG5m`6mpw=qnv6mq57W{_Ai0=Nu929S%3(>`dabcd0Q~fb(QB}%L>c$6Y)gyq(%655GD|A0_jn>J%%#}Y^yf-KR*Pl}l2}pKJa`+y zggvCQ6`IUcf?!An?IyOvX_cyK+U+rueRsNknB@H8GYdF0#o85;PNcEpGhu}yNTNNI3>kd#0h@wu^2>Q^=6u!I)*OidA3j&*fRy1HaC zF0z7Fcu*k+%LlJTwyS_NaQ7l9Z%4z6s!^3C1*---Wn9ui(% zqvb|rE4IW-4Lfou zG9u&_qg3uzVnuXHa>5gNg+hh&Jw^H!9xq|9EHJ9;8fv9=cE3`m@mnpTDtyJ$;lcGh z(=1g5G6%*hDg_P4R`8gSZF@!*X>ROW&2dbcDZyLi#pNMMI6{)EYdiTL3w(5ngYSi6k%mQ0q@Us>*6HlR<0R@j@5Q*T^;fd6<{@)0An4)mixEbCoi z<&0f94>FV`0V3EjbviM`X`*bsGT>|IiAzl);g35<7*^ zZA7GIft1>$Dn7*$?BUEMLbVpFWPj8eR9k!cutQo+qa`BYIIou(hFeYY9b9Bk<>kT4 zJo)sfLWFFAJ?Mla{>WCyIX@Jk+ecOy6&Sxj~_&9C3QY#FIlfKmws9oW)(xwiZmrv<+ zI%y2D3WI{h#phYvr4o+Y6h$LCP60_b3#Cqp*pMY zT7?E_5r?vzu>v`ljol%!89hjmUHL|)KEah*Tz(lJW{ggt0bzlWTXw>PG{;QrQmsW& zg?&<{8BGLpg=FW{Fb5g5`wcv2LLzwbBcvc%)Bp4&qx)h4&cR z=67%S1pWQ2@%#CgO4&4cuWpA^eO#=d3dK=TD@aZZbWZe$N{d(_BF&`{CR2CIs?wxd zo}HgpZ8WJ06oUcBlDtIZjY~8Y`H+jT-Q6}+y4`5y7>X{@pl6ajQORf7+bd3h^+95+ zLbvMf1Z>b{5aSXIzqkpb2@`;&c}%b@$zDkyGOuvb{tUsAvF%hCBFg3_Rga0FZwO^o zW6sJ3+YGXsMa#8@6*Zx+_omnxzxnQ(Z^3Oy0GJiFnN<~1TP2mH(qS%INvP4JdRAek z*}2wflanipK=D&KuX&sV#r`^rZLI9R6f?+8jQuEEv#^`gXq<&l3A|Q!qX7~j@$L^% zT2w}g->mV_nR}!Xf)uaXDzJ6H+BuArY_>x1C#ctF+euNu zn4cI^aTq4_{&h^2!A^*%xmzLI{BM&=O+ppT!;W7_EH|Y5ukyCzQl|tKFiWm1X7#Y6 zS8<~qh;Y-@N;aM>k|wLWIa)=|(T?^RCWXOQn1cMe8y%uHbV+;y-In^aP zO130Nj1IS=2Su>ZX)cBoE4J-;8F#!4Y;lN;nO;UoT3E}MT2(g%;~(P|L_ifggZD7- z+E^1jjwm7BeH&m9s&j5JUxOLord)p|5kPG-!HCEsw}=F{>T*oCQ0=(HR=c zuVm%M3i&M7rXX%wD6`mhiIae_nCp@ehX!*M0RdU%4bg~&)?scyUM{VWcK4}^G}A4@ z+lLI8Av~(+WSdpgU%@zd3$}2wC@cxi5`tSKQ!|#ZeWGNzBykD9oyQuAhgBixt@ls= zZ1Xz@7bY*F%D5nA+UQQB(Z}+L?_qT`WqCzo2#5(zN(N=zY+M5+(!sV@?KeFpwpu&+ zD8pm#(?nXLC|;swv#D8^ltmbA1S$t8<{qaEw0N&tgC}GRB*tBAr+_|KO%^v+P8mVf zHF>RJVO~J=L_!c;CK@Ipl9d=^3l0S&XlLD~0i?FqCKhosi;EFIVXI>*7*{;91!hUG z3@8<^xZ(G2{?0^m$*Wo2mdoC+rN|W#Lgo@1 zN$xu66UhV&Rb#5#bgAenb+wGNrIMk#Wj|+>H#6QE;tMdTUG$0!BDM%EgBL`w7a~b3?^&Ib~A>aU{Ds^vsY^>l2E^80=-j#)GNr_TBakf z=`KqVKmZ)$630we^e)FMW;mX(iEy#yHDNv@udwz@@18DY{=_d3Cls#Xj1)+{OhPe$ zp8>rR5ye?N-=vP@(+u9lYyth8Fa&8%vdZ>Irpsm!$_`}rL#LPMLyQejzOzCyUg5nU zW1$YO&alHE5K847a!>ZICmM|=a{jpX_Rg}L-V;#UT;j8dpLG=iQd_3M!z&8{^_Zkdu*_DW6>v=%gYog| zL^d(%^Ys||gNjz&!2*BDDY7P|SrK~1${O z{nTb?OH5~4%cx}mV!0Z|IZ1OwWr%Utzx8+TKX%#a3K5Dx@~W4cZcC}GdmIlvy-h}$7$r>`!EBXKHXBuB5d;U;G7rj*ga%pF;Nc4gkXvt=(xB>4cg~hS z{`8-7#$KL#L4=Z}Jr4=RRd=pC83^|(H%kxgv|b6YeI46R7nT+*(=_MdWs&46rF_Ln zc{VqEeHED~pL~1YT@{rZTE1o(t0jAlevfjCJw#EV8S?|TNv_C%L&>y`9Dde^2R{^Rvkk4|)t&J>Eph}Q*^RR zI9l2IhBc%VIK93BGt`{Zc%==6;>(z+V$)JQiMEar!LEoW>c$#3_+%k2|Jt1^^J z=%7+29B-P@9x2y`_Le{F`=?m4t9XX|sf6VC$-G86>p4PwXSg&+C+oonLpVFMyGc#k?TINYD(PPYp*wVa#kC%SK z5DFe%BjMeS@a(cD$?VEnI<%+ZdF5{PXq*j3ZVBU$O5MM#_>aw0x`MwYbp^ z))rPMci7kMU$HsFs+qwCX_?;qrc!+Qsj?M>d@@-Z%s+CpLvK~?3sfA5oRWH#!IlDE z_+-U~hd!nhkoeFseadd`75Gz9eIz+}jj=(#tzuDb(Rkm=gb$R$-aO^TogDXAg*fxn zM9JivQsnyAKdmX;RBcl)=8n}&H(hig;oVsf`pKak&6k?i8NrwR{8 z^Ny2sakk<`?nmQ?N`BqazjN27XQtjb%FVDnbyut@`q&vKKGN7??AdVtqkQNewG;f_ zo5=L*uUK`5$`0~(UUAY_K3mtlbw=wg#A9VFH&H3fQf&)6wpV+-X!f~!DxBy!@+@1F z_`(Bva>c=YEw$Fw>P}FOsNk4Bc9S`%Qi&!S(EpS>}l>`f1~9+ zxv%ZuiRcV>IoIUa*St~hKU}f%pH^|~b@cKYL;H=^#x@ix$=-^NQ@(gFGI z?%cFI*o`NO3%AMPKmC-wiY{k2G?lFQwsgw+F5S3c@D{1BJ{)?pv5HOZRBnk(u>-Zz zo{qJA?r8pN2b;Dy$%64U$=t%(exLJ7U|arA`R3IXyJcK|IsZbgGHp*OCnMX1CyJ)5 z5$g*^ZEm-GW3>=oqt^x_O4G28<8NNKa{YUYa_^cSu&m*eiPNA?-?Gv%-c9{3cMkMP z;p)NT-Ef)MvST(O_jCtrxOns#SSR^>0<$*ZSY|JP>_gze0igtVRC7<1YT*q@ELt#` zqCr#?E29U^;usX|AsxMr?h(aR6M`u&Q&ozlq1C(@4TU=3N^6P2^HadXX9)R_mpOBi zzG<1-q=}r_>4B3TpkD(YS47I5r_z{(bFwqElIE7kB%v-$xK?3KlUzboV^sZg|6i|t z{)6j6(T6DFmFq*q$)YAjgWe5EMABkb*iJNA$FQMRlA0lYNGDQ8LY<5fPlP0noJizj zjnPVQw~!2x)LFuiU3ib0ovY|LtD-Z{Dw<9m(trUd6qAhr2EGDKP_nsaC~g8r5vJyl zK&fMKJ;;TWhN+<*Kmms#=;C0D&?Ayyn!-*7x#&zJ5d=dZZWVC_l>i_v&M*n{^dX(f zx^yO7KuRqPiXgyoWQLP2;+V)JuUE_(k^k8rdHsI8%~VLoUa-e;Lj&cZpAD2kf1A4qKh ztJi^C`=rG`iHenqmC?aBN&MVdt8{LUN5UUN$HswKzb>Ea*&((Xj=!-(*+NveL`g|f zL|iH|5y2^0)DzMSXzIddS>8uadXym}qj4{=h80a`wESdvqSb`g^EH-3QTrjB%;PeF zIELdrpmh@)H?eRMnY5%CtSXo+F2qZ-H%uzA8cQ_Zg!y98 z*qO}PfsLJ4#-rU22iOno^Jg^IL5JWT)VHe6s?oipdLSL!|$=r zg7uNqkbC@%8f1L46SkIFh_KN|V4z1hClnPRZ4JvtRSxz(T!f7DpZGnd{{^A&7=EvC zBh$c!I_*L0_#<3V1Nd^J$Pa?YoCgjf+O_60z0jo`I-!M3?~=qiJxUsTWl7IC_~57OHJk ze-~SY-@- z#Y~^DR|*r!4|bM(cz#tc$5nP5{c`SsD}T8Cy7*Ul4ARo}bK5$qdYtb*raI3%)JDMZ zsou5ChSUgS*)VCb{aKg-e}hvX1*13%Gty74u<$8^p4j?ifD zS=2|GB-!G8r?|5;dCMDcwhlh@%c|@jJUTMo58oW`a+}U~_~(?sYPXu#I>nljhIC(| z>+nB>Nch_G>n+#L-s0~NmIlZ&m}wK!rth|NuYEI5**DfwY&s#emW;1A*8W-OU{PD| zJbnZoxaVcyMoZNav9-j~0XwQDYwl>6UIRDNHvZ({q_W9b(P6J&&%Wg@dbd>U-9H6l zd_H~7ri^Pv>~~GO3K~ogiC_An;(~gB3jv&pl{qi`hp_RH*N=f`r&Vq=P@;a_(|F-0 zOZ#pZ+AOqO1dj@cJ=@gBgz=zxEHPXot!0^Y$mKYM(y&~OfN7|56weUUyt_l#X@nVF zuwH4hVD@tyYBu6dFz0m`6L0}(9+*V?fxNb&2gFCz92Rqr`6OaAjBo~-oDySecGlbY zRIpkJ-i4%{1_=ea1@(Pi*x?$Ga2H}q;*vBQx7Wv;`WZa98NL97T)SNOIxP!8z)IA4QQ_B*`h5he?Sk#OXm z(AWqaWNQ$U3zGF{ZF4V-xuyF?8LnDwwZKkh(&K~nhZQkS!0b`lB-~PpSe0DgCmZQY z^hzX>v(iuLl$!+nSXhmTIlArQ26=*1In-HMV%M6R=EUU)J0nmk_@g)psXJ>FGW`p)2g`pf6A=^PI=V)zGJt_x`AK(7R|jh32Ac;-EeG+Gj3&4O z;e9@E0BQwnYc$pYxt1utvkw{L8j)~}2s*B<;WxudHl8CadMR=8`)u;84f@MlT*>CA znS5`_gbxJt-XtWqnlviYb;X795N zHzL;W%J5h}MvL->3kf@=}v%^39`KKq!d;V)E7LleeB`_YVdxoNv&V6 z4W{bue{na2^Ot`S$WQz;h*I#Kef}4 zb9A;`d!bR@GX0~ILy4E$j$ruf5n_PuE9kdIF2~a!9B#QyvR@so!Ik-mvDgVy8uUkC znt4xZ`$wmC{O_~zwDH)VkIp|^`SepaR~~#ZwWBfNOz-VNtIJnQm2j<+21SKGhex)Y zn2#Ix?_)deh9$TSg9Dee(Ns{}$inkzWQZ*W|lv8?8d>dyV6C zN7a|rWRR1G)3}iyyh6l&@oysw^2WRO;f{`}p;X;}H5Bt3=07N9inu$U{-Ds`fQl^l z7vC5mmU(g_nL#Bp1G9|+vnd3(~p1#1pM#FJz&$@ z>~!D9lkrc6T1%3|CpZD%qu`=&P`h=_+f|#saS3WvBkF+lA4~>{g91FRec*gmdmqGm zn0Q}-M=iM^25a8vJCi@4y#>#|e~|tH)`89TG7wJ5hE$)U=8eOBw}$&B%f;xoPk^~C zuVLXsAWk(Y>ArhGr+wPe(Kf3r4XDMKpEBh@t*NH(fA;=87!F)3&yTJJ<^>oPhk9%i z#a1l`M#j5sWT|>via{Q65MXnDORYT27Q^1(gXEf)hru+S#$zbh5`FU&>~OxAPd7{y z2r2p-SK&ik^@t$e5BBx8{-9&Sd@5{j<%_vO@i^<3i{Kl$vw3#wWWhA#g^)8{`~YGOKr>w4aamKWr8E z2@ZD7FV~566^gr;{NjI}QiJDS=UQ7mq;e6>)1edE8*BWN>!oSd3Gzt_8n&*j?@>Ng zaV63&I!_E;1o5abzMmlwS;>#Vi}~T+Gazx0KK3+FkM=lIJ&s1x8%y6fJb7!Rujkuh zU)Qh2KKycjRxnlOuLBM69nb*&%(mXkt~|hLq(=10Xs~!gQb|REBe+=~gSj!Ec4 zta+K->B}S$sO%LilKoa6gi-F@Nw6L(ewn@>Dq7^ba*$^~g zfGKh0o7%b1SUf92_OU+MFz1V@q2)kGK0XF@1_y9mk|`jfrP#dD(1|n-t|YnjY!sqM z2)0C_q=2DGbCEiCN=It+L0Ev3WiD$CVydYaaCjJ)LvVu}*z?0A%2CR}2I=@s1WGXA7 zk$7_mOTBQJyc7$oWfW20Ze%eviOeMM^@>yKDqlArM3%@5CiVT|Dr8_rdPMRoSy;f0 zn(0;0JPVg`18#O1li?z{H#$q1bYXZFDY8v)ko2=4J&R)9(h9Xlrjmt)e6W@wfv6jj z+vi3?8T%Q-u*<=Cu$C}L^gMKlF;(GeC0bX{Qd~0=BY1sC)#K1uA_00BZl%H@s)?vf z*F#F$NHk1B2wG0EbWd%Sz=UpwxmKA3s{PbqDxX#G^I+XY!0^H^r!i*fB#~_ ze0`2&@vRkdhcNH#eQw^Q?S_3%Ho|ikP#TvPdT>gri8}kr9#h+F>D+$5Lu~4|Uus&{ z^i_dt7_9?e4*Bq zHgsiZSFrJ6RqcH9^D{M*_Xnrfs7K*^&3V7Gfy?7xHBJkkmal6IyRRV2->!UGKFEAe zH71VR14V(GjvG(1pV{{LjQ5BEW*C)(_u41_HU^^he~7lTJ;A}V&XzYuR{WUp9DV2~ z@}r4L1Qy)yi0>vpxmcC$F{KW#u3A_4-)w2un&_?17AIgJ-{<;j+jcvNatF8V5RYHY zicUY$+4gbX>~yoyzh}ezhRsUYbWnX)>}z@ZE zm-e8VvyCl|IW+P;m01@q=U#kPOhlO1D)uJFBCnvak8Z6Tf^F>!YVL-GC-P}ix437p zslVx`DbvU7SaKkDPiiuE>xFSRH*4=Zd(L{%GzFY*9U9$wb5ia6=yP?qDe&ca3tWgk zg^KIH|Jk8`9)5qt?!R7F+)D49+tOO;9y(Jg4xJ}f{*C>@=E8^YSR&|UckWa>{n~u) zA4VNdI;X(ZH4*UHrar+n?0RIMHobXL>DRyyoqrsi0y891`@qpmGxn>M#SsHBi0@Uu&1Pt~IH_3)x8xkh})G*@Z&hEsjJhqzR<^2HfPaj(sh zuO3PL$5W4gHTS@Et*t`q@H%-NF5TGs;e3I%OZe@^I`V%Bjz3Fw=lLk;dah7y-*C2E zJfear@Y#0`!2UZfeTY{HuAP6@9=cas-~R&W5H5`lcDGJ}^kOXS+%24OKKSPI+s{3M zYfy1g?y!H-6}`AAdPo0;=yCj+CX|~R@V41gGmbSqM01aQM8|sk7lrw}T`hIi$~L^I za?`V$c7NxiIW_22gZJ;eg(weBmAucUh40_tj_&21+f^?UB>zfYnQZ;{J?s~IYwVj} zsS~P;UcqgBGun#pGBwy}>9l|1J^^0eMAW$R-8L*-2IAh?F_h}9BPC#e_TREvF~V6A z?Qg3#HJyhCU?ZFp(nV*5lGG!P-LY*C<)hg4ZAaX(rhW^15wwk;j2=JmzOkj49cx() zTrha6+gR{lLi>rNt)gm&FwefgcAg2=^gPn?U0ine0?MtL+*5J>QTEL|_GB-yU*LPJ zC7J5Fm1y!-GM~R34AYlRO@R|+0g8vN#Dc{IXrRt?o5{cF-_9__?*`$QA02=P#NWd1 z`V>2Q+Xk;nbon|r7P$7!5_|$KoO$w-g}P_bBR9a16-T zcj4z?qZOuOrM5<#n8EYVTAhyddKVBmJ8vy7s2lE=(KI{`_j<=-e@9bl;Ad(L`!c#8 zAA^t#fz!Tz**7f=7Rz2|L!=a!*Vbv9ZO4%Bnz!CI8#$Gj0`nu~1#)SkR3P5HfZpd% zC2HqkCFYYw-a4ic3R@~;c!p^wfltFoX{?fAe`RfYnK?qfPi)p2e+fn-zf4Tg?M(bg zM3~3Nd?YntI2l{;8u3+V!EJJ4D;`NRhtcW+59&l$Z8eOMWf#zWun#?v0JDjd_dc>v zNfg+au(kVAHn;7Bw>|bKZ0h&LHr~n1LUMtPd>oK_wwD)F)AM{;!ExKvklk%<^InFh z5^eH)yu7dx+Gdq&GAiZE3pPiLv54bNa2Z~cw+db&D&{`h5!tt~jw|*Ov0MTz>(En7 zKKn959O=F2GIVaW$tQec)?~34jm17E8$Q|fY;E2#c2PmzX*ia+=a}sKn8ZB?@fiM` zInrSHx+;{OzM=W$qLzhhXX#$#tmOs?1`w>l1ZtTjt_8sW29<+QO|wd$@37#acD7(C z3ZGs?0o1AMFaYCp<6r|WMR#EWI*?#|L~RNYAb_9_i$H+a;YK-FAXv*Xncx8EB;Fw9 zqOpXt(S}CNEk>*&1P9mpYJ_??P|mpV4#b&*9wuNUO&rBcteri(g^V;vYO><1NW-2H z2DhkSULeS>a1slmI2Pgk!~r2tWn>KA00EkCZX(Af3na`5))gAR!2oRSF|nyzcHYS} z?FZEg%wb1J0bK{Q5o3wjHt!S|KsCf;Dr}JN#c>O?OLs97u)0t@iPPAEl(9&sk8|WH zOMs)u%dUaX%-d>MbB5b@4dq6HD4z+0 zsKH=;0L}~tin-|fRm5<%)q&lhbu5}Xhtk)&>~o{G+E4BM;&%p>{ymol&o}*%C!67P{bclS+7F#mqdwxw3?6{BLK zLE8scfjfC6YI*C*)4Nn79xYKm;sQlMuAt=2ZwGfY4?F^Pfkz4m<~l$?Qv000jULS> ze#}Ap7ebwLGrg0nxuc=6X`Z}i>1IdT*TYUc3N928CGK{js@(t$Yz5mE z{-wpSu>Hr*rvAX;!ElWDGj$cP=D<%(;&*;B1mPzqa{7bfMHBgVg?+&}cK&D|*j=%x z!b1T^v9@E;t4Xcy|8r-~*@}OyO(P1FpcA9f`Lf=li|VU{y?@h!bu4j4$p9B5k z2D0}sNBjU>UIRWf4ZEgsR#cllJK41@qJdA9ZehlLFqA$N=+fNkzN>QQgLBE|Vu={X z$zUlhSBmVb*B50?-&W^L=jD&ja0@lhToRroE(M)f9W3kolzxN`d#)U`xL@H$OCqCO zQGhE7@|A(;o8>!pG>=8&JB;5fMsB;M2Fq@p$FOQVgWTnAZg->kU!q2D`m{!({CTUlrp>K>RC z)G2UTcFN`f4{j;v46`FknNxDD0OD~EOUbL~1lA(*nVrL;eq%woz0`1;99t=TaLMsh4QMhS|&d1i5!QESb>k^GDIN_K181JzbFG z69i-N$Toj7_P@p@C$66-wXc*wss(SnOJ4kM`E5PtbKKkodzdx3qQh9id|mEnp=70oJcV~XvRMkB_q;% zcn~`vbhCQ1M*09!NMx*>%2Rs5wUTA^weeQoyjZ*c(>wWA#qE_;@-yR8HQB)WyhLhX zN?f9mDjiVGmRuG;_t4DMi*L?-8aW|Y4PMW(I8Quj+AwhgWS7|JQ4lq&Huk17hhAP zxYbO_q-vRH=39)56pO27YNfnP_(t14Xsp|EZAW8({lmRiY>g*hOnHA|^Ik!BKAk@J)X~xpepXXDa;!NvKXUAY6IVAh zJo$@z8WS(RGLnu}R*mfSrVswc%k15G(nqo)%_rtZ)*ZYJ4gK-nU&hkt-Mo?X&@mWK zA3A2+bv0f|9uBN)*veMTk5oouv$pfA$w)$a%U^7sD_aiO{%Nb5J+RlEvOePdaqKp) z@0YRZ9e?b+THEk!Y2jAr{d~)jI`5U(v6c^JVppw|GJVb4=*_+6ZTR3fBf|eZ`Nx(t z^S#z%(Dv!cW44|X7i+Js-A&xIkOyx6&d$ulK zRk>r!ysf`(OA5a08@#rq*mv;rEq^N7YTK6b<{$ix&GyLFwL6GlYPY=3&YyhwgCkt* zi!Fb;r*R}P_FOvF&&JcS-`Ill&sDD7MIO9`^R`CsmTS8_8$NIO3myBrk9@5S-gM!8 zwqtPDV@>I)W8U1yeE(Zl6R$jYvi;Dd7Lp>euD!Blt1Wq@@a`d^km-@M`kO6NKW47& zx|`_Z$+6g1z9UR5?JLfEDUEmE{NjAiNMh1J`E})vjLi)=D$J3sRans_YF6 z<|o#!J&cNLNub%zToW3YBe6uR5snTtLb-!j#wqbJG^NdsTiO#7 zF+8yjSKh_Wp#@o+Uxrw2cJHi?JrX#`aU&kG$3MR=x-o1sfTBHL(r1<7=pxb@*A%Bwz4?QJmF8{ z;Zj_&b{>{gkq8%7s(6}&o_}d4ZysUs)M_%qx4C>=+T4fodS>CQ^_pA*8}UozcfjXA zl+$b&cA~T~A6w7PTdnG47`S_<2Y!z3VbjcivP8bgd=k=&ov5kO$1v074{gQP9BfMw z(Hy9{4|XwKv6uIhR+~SoxMAujQVu0@nJ}9eSZdKyWtU)4=OT8EFrkoOia_|Z2XbVp z5E_^)Lch`qCBqV$V)VS?B3uTPN=l)=+1$*ms5f6+ymH*kiQm}80b=LK2}Jg?Z?+-5 z8Ii6mNM$@jYPdpVY|`9A%DJcv$;^W52A2#;7-dKg$l^Xm9*HI8$ru?SKz;ggKd8<5 z_D*tg@nA)tK)SkGLS$%2Wh=CKDGpJ3x18Z3-3zX&7ep$+l8P&+r%4(>b}_iwBSF%P zrG!>Oo>)|3Q}r%lRkK~KW`%rBo>pZtt`3IC-G_9AfTR*g?;E+IiJalc7lauh(h8$9 za1?2;pfa#vfJAZzS;s48!W9EY!(`x2nbfCI^fB)}3v;UR4eyF-ISLK$XGF*Zzqv3kMFDI=&>;;Ar14hI(TYDkZ1}`C1 zB7M5Jl{0IUS|Cw!Jd9R}RZ=rP&1cukD#f<;K#I;fGi+E&vlh}mR|_JeuI5s$0LZ#R zCCR#+rw5||>zD>X0c8c;1yUk|mnh_WnKQPB(ar=1UQvntghHeQ*z_VEuEX8-4gNB~g{lOW=2#%3~C zsHJ3liYm)C=otg)r~(;_xeZE3yU1j5NtpEOWXLWmXr@$V3C|D>#YqPv5)%yhG+cr+ z!xXPj%RNMct|gL6^r$xjl{ipj2DZ|Kawv@wXdTaA6vP+RC!A?|V>rdv3g0dhd|Q+mw1V$NGtmhvF!?J5ZpvYgS8Z0;aqAP) zX!5<2K`wR+=?UYcM45EqXO|wlN0WAIAYiVtL|PiT`0+Pb7R|8Ag%i9I$DCplSBRUf zFN$}rDRB!K^(Cw?zgzQ23y_V{O%WN;#NG+8JQ<;w<6aOATELUR{wEogb6 zwaN_i!LYwIU*3#MCCeSYYT?eKwRRX*MoW9}0j~C8BoF?9OY&WV|K?1X)P+k2e*J^J z3)f{$nLe@l<(sH%4!YTiUcT1uLd)gc_lBJ|6#z>jr2A49|G*HY9wv_U7PT$2Y;b*{% zNiy=7QTYpQ-YM2h)UpA^CCS22u7zc%gr>r{IZ4}*jf?XqOZzbi@;o5-EE@W;?qas+`3{^H@o)o-Q&Fh-gLcXa_>fu@TINsIg z>muH9i&b?z**h~hS!Sb#_~ErMv|PQ@;bl7N8OxYFmuKasOM~xLY@DC__b<9`sOHXtJY`!u2-3 zO0|&mV}f<~BUO%26MKuA@c`um7C7vw!pu@*m|?P5|Klyuf*HoYR*s5X_MN~-!+c*4 zV$U(^HqCvf2Q|GZv`KbOEHT+b+_yx+*3{-nah%xA`h;S+P}mu|%9I7$`Biz{L{812 z6H0u4-V^HBN!|Vem2|@`LqG=wR~D&!YjK512WHUa+kkgAXuH7n2ybTdMTbPcfd+E< z?wg09sM3l>{mSu|@0R2+_urV6IxR|axX*~w?68V!1?W(3@*Co=OMpl|z>#%*&b4(5M#(zEE5#As zSkMGl?hsZ8GT#1rjqK@-8)-N+80IrndObVJJ(CeaOF2u0NRZXXiZ_OHe)HuGp@89% zI(1bbPnqYUT;~++P*H8Z4|4@0Q1L~!fsLCU@y4jeW&SRbCjeLbE5qj}F?UtIuY@BSlxGIdq6Y8V%qjx?k zq-hrd$x1fnw+w=Tdl~U<7~Lp4>3oMmM<)}8s)J0dH5c)MQ;jC>FAbhox%%pH6mFg< zr1fsx;yx%)7MaOrmD5TF@ye`rqAxm6#oh9?+Rq;*}SDVCE+6C!b9x?t!y(5t7BcL~TaXSN8VA#FMXUdogNegQMCX`jG zGy9@|n{Z_cipcn$Cfh4tPgvsolVOFlHRaGWstT16x)jw)V1PmFGoNM5EwqPYfwlrO zVpK*!pDzyvp(uw&%~YpK+G0yoQsW~LwwvP#J&Y0x2$+G)Ndw(P8Wl4wsXzVh)%qKf zDCLBY;sgCsk+XF4n2nxPpCEV@>wC*YQf!2Bs+d%06-tgf*+(rMqaAeG-kUpoMj#9} zhgBN=;9}X){nGbIDNdbLs$Tn^kj2jz7>&;SYA!4cZZ=jvq^6bbsv{-5GEJXC*uHkK zl9a^G-&6DSUg!Fv=*@`_cBX$CrPHzf!id}ItCq(joa3z5f0x^_)6uSe=x3GAlysbT z)_|J31mRtpZz)wRvN5KV{qXQzOtPml75yasBkkg*)-rXv;D_uADY@>B;xdVqXTd%D zN7oiS-w!i|L`}qB%51%ZPY?7=EN92?1(w!#ODWF7?}MXpvct8h3Go+FKZ^-w)qdZ7 zN|)`|9lYB;P$my(%Hfvs5>iXd)%4us#5Y$elN~)r1G_m%nzxsuVyNEb^<0QKy9#W8 zboKOcwu7;HQUKhGt;y=&9Lfi`(9QcLz7IA!q)q#8uH!Eom)Fj7+uM)P0=P(SVIkzG1t*wfQBNuNV_3u3cwv?g z*wjvm9cc&@33Qo*{Z<4@ppa|to)e~2w(21vK=**-6D49>>v51h6zrm*i&e1S2xIxU zHk>Z>^5dH!Q-BnL_lVBIXa{mu^Hm;DT`>F*`(lLq12d~7OMsstly<+;M282*RG^ge z74jY+k|O6ph7W6T(r?fIP^c=4$JG#U6sm1Zvr-rwL$8pgq89XOG)un7IG%vM@vt&> z22BZ{Y-}>1F(HMJ^o|%BERjzMah_cV38x1bQ`(QKBj$VRmF6Qr{1&tsodhf^*eI1< z0(e4T0J1;r+!f&!`(gfoX5@ywg;xc7e@QpkXpA2BB-EjN#>OVB!;^VPZ$kQY_oGq? zv08NF*l-Z8-Q?SthV|i#Db?qTU6G2Nx_8kxh!1Y%9xAxeNGpZWz7|O@u;3veCL%@D!6N zObJY&TvT9GJx+HD?6}v)GSn~|Vf2Pe@+cjaoNo*{)CWdGJjfnwKx|)AL8`^;xe0?0$(wl#-wZZeZ^2DoNsM#dpo3|B zU_s){x)2lJ&*ihVeuH1YY(HW}P#1kdOMwWe^3sCtW^p6^6y3*@Z0Xni|CHX!37)xO zPN-3Z<9@2*_$HMZRe(kN7R~Z^VE&Sv(N*ipE@%>3LKc*PSis&4R?(+|JY6r2Yxxpu zUh1vqWX@5>*)7qq@0sC}0w$WtQFkdJhRq9K0B}spq9J4^Lxm1hfqRy*q>rA`^sJ)v z5`r(b#Y~#KiBZl1!2sJJ^VK-`wgA-lPBmNkk0HaDLS^JCr<6^Bu*@~b_5&CJLtcil z4`b1F+&ZQ$k(m{_HEgXN1n7Yh)FjG;)dO-Yeaboz$OD2U!!>Rgr;X1O(BKdxfF!{) zW2y_Yv0T<}CX`Xu0HMXEvmr;A z5J+SW50;;TOF2=dm;NnYB%HC~2uP(ac!4g{> zN#c&%I>ZaS^jb**HCvG5JYq_#*jmZY(g)al`atA1Xd5hm&eo$$=B7Xa#~vRIsAmMN zK1=)qYtJRpde|gncTE(iV5mz|Jr=^8(TuEcsc;t)x7N3=fnn&8Kytt88ubyz(_V(M z!wA0wr(l1F{Mlon)VKfrhBVm@W>g4k8y_I<1H)yI#(kIxvKZd!vPW4f3Ratz@IPcV zU*2NJJfx}sW0K-t7H0CbWu!XNSFLhmToTq3#EG!w_V8`8B^H{KekP-ywMrBQLQ>Nr zw+Oars~}$%&>K;Pp=rNjj=S`P=9JJW1RK3%HBPFwF=+iqBwy^p<%Ht$UVL!B=C)+~9OK%D zGX<#wufhc^Gg!^V3kIRGQt|I(#Wvutl!Pj|LO^NZE}S$KoMM}MwNnBVvxMUyChphN zZoXqYd6yRFwlPyvh*>-38Sav6$zHn-x-NhhJiO|AQA6B0tI>b^DSI}O8 z|C=k)7(?M7pY=u6=yCM~+|~tZQ5%MS3{fQlDv3#DmB{_>XJ_7GAWkL6~*T3)P-ZG!_}? zQ;Vm%nlO{o4_V*;;+z5M4q3P}?} zxrn*)Tswc@4ylJsL#kdsTOluV4s)-+<~BMaL~N8hD#mTCRP%F_GlHH~%f>~K69t_sz;SPCnXDoluhz3av$>dAbqTNPT$G7&J6!^$Zj0T{ zGee5coFST}CN(55l$@O>Qo>4pz|35n;B~CQ$(ae+ok!(-*d_92$-R=p)(!=kP@C> zM3CQcg8x2=4Gsh0vUFtBansko{~dXo92u%=7Py2t!>j|M zi{^+|XV$w~Y9h}l!mLtA^|FiXDPaFU#(q)akf!~~GA>v(}O7$TS?8G(S9LWmj_3`<5i0)Uyb zMPmV##p=vXGo>i>Vh~TDYrqm#Eb>i5oIXTlh^`633{E@=^F?9&bcVSi+f3)w>`tK{ ze3t(>xr`jkAqChb!9dxI1p=oo(}2#Lfm(?eHy3Ns9fH4QV0UlKxG z{2=y;s(jOORYMY)#f3~Sg;nBMYl8%P7rQ8CL$`FND?PzKFbP7dL>ty)^9tA_pOa|O zoK1rM1Ddshod7oW|6ppsOG|P~FXNO#8CF(kQAIb`WFYuC8n1!^I)Gh*Q-+*Y$N?Yc zk|)g4Fo}eDj-(+tI>HYdNr$vCaF^$mWtl+xsNKHK!Sh9ApzJmd(0ns-P>A)|32p z?IJ5z1|-<^v;uT0>H#acY)uJ~=6F3*3kbm{q?QO7i|J&SV7IUti|G@+fy<2OC0HP# zOd?@3PbmUT`9cJh1=lRJ!4x zXmZt=5cSQWU(1I%5e2(>PSGz`1OP%$4u_>=h)psiEs;^6Qc@1KW@^Z3)}3YsY6&c+ zO{x`(lxJFjEHL~uGnuDlsrE-=au=;e5RN0W{vm;+NsxndTB0Eud*bYoX1mQ_X~PkaliS1S+`&(1@!#$B0!f$Y^}lu;)>n zQ6!QSfiPFbWrXOJ)(KAILscS?{tQEnRp?!+rf&d*eu#~TJQt7+jNZ+Ky#qI8MF(9i z93ee}DuZf)u259?P9~@1Cs*o2@6}$H1woM(i42=VY7dnm(|m}~0w5`7&Mp%JE)9!kLBfE`nDtCZ7ZS*K%aA!?Z;;dl=t42Y!)7W=Fr`hH zheA{~azS;}yi}0PB8<5*TpX8CMxuf#PG^`1NshI&xynVzj9>;whZIsYz!D-r_)|od zu`7c&$h3xm9%~_m;Dsm~(T0S9rU?%cIt7WfX>!XeakfCB4TyMML?ZTwL||O%*#lqw z&--uPxJ5dfyJ@MYm~|@e0)hlIy<6rqfuk-VO0TE~Yayk_#416ZQbs7Iklp}w<1X@H z!poLzUM{Y0b?MA)#N-OH?g2K#Oi|Hsb2jH<7ihBMOB9qDRu`h6n$aWEfMiXujteO? z$)-_>kT$Kw@=Y$1&7)Rnt{~YH$bSOCortj%$jS(1isbJFP~@*RfG02xsY)kMGl<33 z87N8@$_&N~v?5WwgY&yq-G7^PJm>YlQP&SZ%qD~MQjbFMtRJ_SGoXPcJOQfGt}JCF zB-?0^JVWy$&}n9JDPcdli7Y5BC`vBCISCU+wnh?1szOy^GI1EOLMqXPMoS3zI?6I3 zMZ(re=Csb`=%%xzr9wy&RG-&K47$QiJWq2cI8tmv6^Lh%U;sBiBas>!N(T+ZL6-+Y zgu6~tGD13%0hu<%2sN=zA}E@RS6C&RV^r5l)$uzr@ARq(QV#-8V$w`u+%y>N%XZUD zr>Z*4J58D%KST#LMe6^AUgt5_(K+|n6T zk&xk;{RR#5M577bAW3mz12SCV3JFz@QesRolWo+6j0jfYh`ODpd=m+@GhFlY9@xrRi&1(BvnT^5T8-FCW7oE7nQva!=!=Kz1WdqIT}|D z6KYj=p`a1{QF}LFE=<_&GLV_v5uwezUAZLbu;kLwWN}o> z6itWqhLtRpz$}@7w2}?r6D*f)piDY=n(V8SWVU7pdltiJN~g#nDnlWE?|~)R=?pVl zb$TGAM{cqO>ohS$T|M*l<$G6sb^7{EW#xkO=<_NThF{~#0dtee0f?vAhpOSBa=syQ zgs|eyMo*#ihT;NNBK!!}`pI{?1lN*-?C@7&UiVyE$`)Lw)Xu*y)ES+uSb~ z@Q2<3#f&(QYk1rLN7uUm#8F@Sqg(Z6KS7(VB*)X>5Djf<)2U2e^sE z*ZrR%iPihN|1ZpYX6HNSd>`j~zUO?784$pU9=mh}@uYFJTEmTPyUJM4&r(y|2=6(D zzcuI|sH~kH1+(3O%;MFqX6APT=eYx+l&jW^C(9$&---*CWJK552p%y5nPSKATl4CT zU!g}XawoBSw&T%fn&txQ$-1H;a^%G`c>_7<{)~y5Uw3>$EU<6B^G~+#qGbg`RMpV}J}~Yg#L3<~cDJWL za6mx!Pt0RBS`qVN~dA5>!tY(~bAP=cZz)rR6rdt^7i? zv$8xV$5Yl5LZ3R`7HZO~S<6#J(R#Yivh}T=XXrV9Thp9c?sK*6UAXYY$N&25`71B- zD#@tOSq;%X`B#?OQ>x`r#c%AHVm8^w=FLxkOn3*LVmN|BKH$>>_Ly(f1}meXmPqv6_-wB zoaIN^UkrI4^Shr9urH=Q{#3~`^Mi-`yMFkB``(;&bi3$eKj1v?h37je>wd@aS&f6- zAM<`eioSQlX3yO%^c_QK%$bn)FK)upHM#n#2Rw6BjYR)a6y}F-JtdnPu5lkbI%&S8 zEd6^#hJ!tuT~D|kV>c091Kn=2kLebmyMDki{5~Jf=)FHSQ+qQ10WRB9mGQktANl%~ z;3b9;duOFH#5rdfy}6Zok9ajwU%SwAuqW%)$b5EH>kGaAx!Ufy{e|9rtJ&LM*taju zk}ba8I@L5#?d-WzbEDe+UUpSLFE@z>#MA% zT1xNRTc+%6bw8)x8rj*3o*M!yx7NIU*0Rxc^7H$wH;){0z8-0mHf3#}bJmE`nchQ4 z+v)j(e8@iMtQMafDwpS+&Z>`<$JeDhE2pEc4}eRpg>|(%K^%JdOe$eX2Mm3*n-=YwJ2|J{4{k6kn+cGO<&{8A6$=^U6K1jy`t^ ztUe1u?ars1${G1gUBgi9MZ5D%-Ha2q?lZT+He#KX_v1A@xuei z%aku8+#lqrGyIqe=2X;JhQiT9?P9Pm=+9Ak4r@gHT>@Py9%7|L)z*k$(m@Y)fgCca zekPpOhj5Q+CAEwI=nBAPU^sb*F$78}F<)OnIfY72TqzB)9ioMnZ&mJuQPM1ERpWrZ z(-w?qM6v*L>NK&0!po<@R;Us2G`~`j5)?4wk~3xP01U30byG04Dz4jkRFrL0L15zl};0-?}Y)z8YL z5s4J9r=N}liRI~uL5Ao9i>>tKysnbeQ^7d1nrKU3E-oW5UK+3i_&hr$imD!| z&ojCXj*7@aP*-cGbr~#^$YoQK#l$6qChYyXj7Z5NAo(?+WrDRVl&|E8vc2$2Gut{3sxh9SerT0qFp!eVq#SyBG?{ip2k*l)I+9DN z>o|q@IAdf==P1va;(e(+kqQot!E-z3{>wOX&|9h*+=*tSLIM=m(z{%WaLvmL@ zhH_dDf4~BW)Q$DiH?LU0=Mg`3&87#ilo8r7szcEsi^j~*htc{qTlh-P#+e@im zkjImiZA}UQ?l4a`MssIT#Bl%h-qhlEyx{W9v6*yVz)3YC<3p%&uFP`O{DVAs`sA?; z9vp|Ma@M-jD z+NRY0rsk7EEkQOhv8-TN&g7pw2~HL5XKa&g+?2w2m>gy6y@K&>!DsaN(pb*bMo|MZ z-H~xmp}ci!!Qa)EO#LA+N_~t+q+^tEy85L23JqoVLQ`s4+8Di%^)AYYp5vlvY;V)_ zfhKSNc%?CCYDXk>i;=Fk&TUYOT>Gi(-XnI6{hnkS#f z+%Rerh=%)mj4eQrg%qQGqQ4W__>;}OHR3=AOG-tQSh@Z|GLPM z1m7$VGyU^hz$-2iaWyHs#Svu^(4P7o&5=g=cIEzp5Agd_qPNoU!IENynxJso!StGvXDb`! zaR;K9oKuZjZFt*Lv!(CniuE>dPAeo8WZ^*^rDI6WwC{V5idmocaPx|3L&x6O6+gtz<}ZTLUG^&Wg(}l%gAnB&38WAM18*7DO&I4o7zSO#=5d!q#BCf zz#DeqGxWli@k~UX<661TIKF9S;K)Y@}QMspP)i?wk60TQXLA?xr^H zf_P43uVpn=h>S=PM#6vA90&QPjt~2+mAm7cfJM*7a*Sw?ccKPa!I=BH+2Y=}*KErl zHXf*;(k;W%_EtpQY{&iAx1?~;R#@hS zpymwm_AT$B9tt#ox4c|=@TT{YPJDg2+Az#*QWtAlUzM$9DOT{n);;Y+Wv!Y12@6I-eNfvu31v zvUCaHlC)8Ybe>c63FWHKy=D+10+Dggi`Qv7I5`dKMwm(FqD3$_4NlTzZYF5Q?>0v^CJZ*Fo8;zgA18&&0xD|SLAdukt0Qs&p~MdS zF_eJsoeXrgexJ?~UsXKGL^LmbhQ|EB6;~^V00mF;0vA2`Xn1g@AH2Zf!o*GH8M?QO zq``w?z!uGte6>yjhbj9Kg)5-@yU<$B=0kE6_TsqRw#>h}lH9BO9E2B7?pE%TZb@c&^@Gl_)FIGC%%uT9L9D%+{kU)8 z4gf@>cV=_cXj&tRoqL`-|9boG8rS}>oX$;OH~~#y_~ixwCU_~korg)tlo%3aBZf;R+c+VZr1Mu2HU75LNaNvUTwtVLe z^W?$ep_#$?t6IFdS=|tjq3+Yh8h794)^2b3bLX#S1{<5_#j3RSynnbevpJ6ujqYu9 zpIcW0AO%;Qa4QSwsasysymdZ#MICGE@2uCzMMtRWV-N2iI;=(3WZX8pyC=ACyu*v)l(`1(oe+;dllE~r0F zFMeH#y}Y@fJGbsHZ{jiLFK@Z$(O>GoL*<0~^oSTUr)Puh{H@l_M8l+KZrwXg^I0vm z2U&CM)q|XKGH?7z^dtvR4#+ZG`n}B?%7;hKTa3vM-ZWy4jTYrO`A_p5^r!UBQTi+m zE$7K}fO{YZDgWkwJTvUSV)M@~mXPJVf2{z%xKQC@+lAF!4d7yzsf_&twy`-1hyz=} zPyVWRys?{3NYI+leVUw*qge0ZxJIvVPs5w2+e|g2d9SCt>HQ!md`8+PN$XCK5L&9M zRp#pT{u|!O=3GaqXl7cMT(6K*Z3BOuMa&_NQ2~GJ6qm$zHJ!7zdjRFkg4)vW$wk>b zJ!+*vScsY112z19*Ae-Z=u-6qN5J6#Ic*hsIGRdVMTCM-g(j1fk@t=sl@ENNJYc;y zm1*{Z&wX|iNDLsbeGzmbRDXoI>VV{@@`-Ft2j7hd5T2DX=QLXB^_&eYefBQsGU2pP8sW_ zLhM}Ij+@phxzE5t{DF@#w>QC0uP=udov}*;ho^G=>rz3IvrdY>>sltM$&%i7{$B}$Dud$pbdhs?2b93T^XWs+%M3$>gQu)X_I=W; zZ4&oebOk8@4rekE4uTv#+81dr|22I?wl>Mbvi~md&}`m1QSE4O&|rNxL3Gf=3j4Rp zM)bGpK$)+3?1Amow7*sST-ywRt+~bGySER1#NX<2(t2zdX00@=0nvPt6~B-P!mPe_(KS9sAK#;_{E>s{%i_Vyo*U-q9)-AR4Mhg3Rd34 zv4oJos(cb61@Icub#f-ZX}e(OFkY7dQE%X~PHaXZ%`btTkz3NXJRKWiXbuK&)N(Oy z$RMu-a5VwKeJn2r#kJVOK)^NAOm+fVO4~3}2KQXzBd1u8mLeqx5u~UrWjPGs21N`8 zhH2U)%nDXbjsWERUn@ip~_UEx5^? zK`h2OXbKR|tCipgBF^y29>geN!4wJd{G}0Yz-?1>DXxfA&hb{f&@AEvswUfby-=}9 z$jFYDWXsTv@t~iWksyd1AL)CUhJeK!hzo3h&tfCDE49gVge(;kvneVBBVKYnxE+%H z3G~OPB-}yEVY!_(g_gp|x`EXIVf}0?$1C*6;=tE`K*AbmoW4-=my!QW`k#+4(QIaB z7DeBdyB*3IPpq;^YAt-Qa^&4x4sxh5rx7&>qW}-~VYd2ZJ#@?G`5lr1){ji=>Jw?G zzneqD%-m5f^&H!@VFtJVci*1?)2YDQec!%win{hA-tlhW#Qu9`760{oGg|2DWJ6?c zH?MxGJ|CF(0EWObzwleRu~Z?%e<{@P+$eQg9L+e7zgQAm{Wpp)w7fvvKybz~+*ebY z)^QeBUVHNM4JURzdWyB~V6$3AG6FNr(MI>ehh)n?$gT9(+%a=(_PA)BmTMx%JcY6G zn&$GzPDkmC90N6D6>cCC=&I$J)G`Ta0IT>g`8>aDkv(Ofyddf&U1o0a03v8(p& zIKJZt9Sn@5j~qWcaiE6sTXLf3$~G$W`J-u4%7fT^-+5?#pOOFa&7auY+dA29J2>ZfSLeUw z|FrV(@cRqSoh1LkaeQG5o++e$_3`RwZRa-q<|0#9RuA1EW+*YxLA?e%8R@c*bnH3a zh)OD9JUye0v|(7Ob)qqQ)zMD+gxxWoN{)+}APU|cWlvD`mF}miIA+FmnmTVS@OEbH z+%1sj!>BuBCUEz=PQ_nT$tAUWpt2K#dz1lMI zV;8_|_3Xad`Y<`juV-5vMZ8s+aYa^dJ8M0kRx_@RW!|;kId!ZN-NUv#lg>X}JmH|f z4m1Nlk5d@~O%v}|i(gcNn<_seFZlPt&;b0C5%mEG%#-8{<+_(O69+he>fK-e@R{xW z!WJk0Cr|ij#!ud2hmX7>&nc@jm}=vAVe>zP6nchtci$Z5&u#iO-_-eN5nlco1#Y*^ zjr{QF%#j9sW~_Mij;aG)-VC3$=0P>%oZ3bLsv4QwwYhfJ89w%Arb5T;rwW8WNWI;z zHhFIS+bk^t+!F~`rw~&Q5Lqo*Pwpt7ySA*MTk}2*qpl5n?wjtimQv^q#~S?`JIs?@ ztXSNNzvAev$md~P{^G!OM1Ly(vj+aF-8&w*@%KNxTr&q|;ge_W=eHfD&WlH>-Z$TP zn>)$`>^W0PV>I%xQV7^tMFRULaGw0q)k&9;f2V8g4t5w+DuCS6!j`qLV^8u|XT{u| z-tH{pW8GVx{0uU*?TGTw%+OBYrEgjywxG32 z)m`m;hkum%44?S%uKh4je-My`Hjsk9!H#0dZG7yeHvY9I7eI#XI+mr3j9V+XW5-13 zW%um*gx^Dd!uv8mQ0vLB18vsck1W?6v`iE4SF;QLCxwl`PZ+dx+rzNh5>_USF{+dp zVaB?%*|BE(-&?+y4lqjh9p}IFzUApHzb9k(=quyHAH5>4YM#EA0A7{@FQ2LfHQTvO zN2y)n&zpC3enxFo=E}X9%C-nQFcHe0>Y^)W;y7je|((O@Dts_xmu{ z_yF6u9`_d=-QX_@1tz&IY1}SyuyC|~OT`GFJM?j?~+%p8u~ zRU{IxhbPM$(cn*rPOcdc%5PPk0Z6b--A?y4WiZzUe%1&e&<3B7TEd;ECX&6|>B|fQ z7!?3Pmz4GeZWYVTqk#AYzvP5EzyjD>5sVI;)#hq&4hLwl8RZZ=O#nz5RWk^fCwnWv zjeB5iB;V{?ErVb7j5G_7V7)b@GUPUgPeN#<1)cXRzuCI!2FUxRf9j}3yJV%(RmJ_;poZUNT!nA+l7)@m%Msk4b4R=s2T-c1s~I3 z-YxEhFSh{JG#MIkvcnM#k4?*R`KWu2D|0_3I$tF(BCh#gqM6lHmH8<|z%&V<%t?SU z#{in$tK=@T_-eQzds7>JXwU`p1qcIxTnoLfG?@3eUk#se1z=n?k5a?W;}-xnW`>?a zRdin&e2oV0#zCeU;Zp&yzznErvrd4Iqe}o7U}iAou63mvdChxJJvn0Dy$HZo$NJLn zdBC!B4^s8ee@~`;-LbLYlcXcvm#eY_wt{)(u5K1=d7k5Z&5Ffjb(T&b80MeRlnZI> zE~l#-g$H2nvt{PO6m0r*@OrgXqRPv-~r2S@iwS&I7RUqZb^b#SMfMyiB6`=iW3q-r8oCQ?_ zbsMXxbc`(9(61n&wp|obJmDtr({QzmrBbnmD<{$ZEP9l5wKIS?R|-ltw;}=}59k^s zbqbZN<|{)DplpzLIg?OWPFzj7$!d}&bKO!00ufEqHJEub$p!G_Y<4N}C_oa(JhUB7 zHZhH5^Li>kq4P++`>XwbIn$YRZ^LDp%l@^_tL^8V(0cArR&#H1&vEt9fIS*0Q}2bA zGp}qG&A7gFb(dQHL`{;h>wiGMnes7f-44UJH%aZ^4%5bfCVf+D;QWS<0`tZH*nLF# zY(MIvK4@;78QN-pxY1wqX5)BTo8d>PY1b(A zY1-S3HM_zsfWv@xP&Jlk{J9RcthA_$Ha%} z7gIk>AA|PO+CtZS*zsr$Jr2vU_p2Mx`!G>dzhMTJ$VNn+Z#;ph*zOZvWuAJ@Ke_gP zRw@Y??a!ebJazn+S;zT>tKJs*w>`h6E7n$6*HM4WXYMY}uhk;;k^~D*h;N-deOf%6+zwwLj@zNd*80SqJT*#v0DL`{1{io=1$g?tv!}+Ab5EA9HWgS3%3z*T&ZnL5DX;Cn z=}7bBqKtEo>7Fg<;bJwm$k9JPRWo43uqoQv6=lS^?mH&!<7( z8US`qu6+$y`ZfUq4k!-nXO*mv-i&09L8)o7ywpioh(|MeR%fis_`=E*^-dk~&fNpD zY9MNhZGt}k=>BLXUUi--qu#35L>$ZN^m~Dwnxym0hQMon}5u|lhpZ(OZ7B* z49!gWi~KK6WNsZg1Mva(061d35GYd|N@Md`2*U*xHMYya$LZHP*Hf zB~KlWJf91!+(I`5PKl#b3_`mALhi8gT3b_3MG3do@+>0m;@3m@<$y7b@2Z zWVJx+b7iRrq9IKKBi*N|5unepd@Jw}aSyFu z5K>Rvu9T!QO?3Tkh)xgigRe}GuT04O@8a9hQ)JV_ci^=C^K);36mJj!U#GR_Ssq-lg+ zX-2D@eJ#jPPkujmiY2E>Zn+=y6HBvwxhS1qDyZcGbRumcSC6FEBO)y`+4P|-z$(ju3&@Q49s^P zpve_-X3gCTd;a6~>o1Y;e{Dpt|FbQg(^EF#(#5zSSnXo~O9Q6#mrgBiSiLPVi0Oli z2b!w@-16^z4Aa>z9W6et9fED94&1O{?{WeQLG9ptcg^U#N&gkQbXrdt;!M=@hvp0|quuqzO~>>G5&}JCco|6q;D6Ns2$=H(d3OG>gWd12 zuUjyey?U@Y{23s!MF6~ObZ8Nl?E+vIAAD|G>_AcLw!n{_&Z%vC>b}}hb5r>9y6$9H zy}fU<+4%*)e2Mdq{nD9PuwyP%H13|-4#;BRaLoMc!4vNA=k623gOj~q)crpEtnpWb z8z9`=ld-c!au`<4qhFb8#<;JxRi7;y9-Jq4hF*-30L@lE*;o)q&S~vn(%cjoeO}pmZf7t={O26OR zZ9YDizms;(73_4n7ba@z+zrZwb>9zlH;>LwcAqt$A3Qi{-d(r5x;}g!1~iYI>ns9f zwwGXap<;8Vd9I@7#clKTPgT_24|lF&F~^Vn|RIU&UGUjw86on z&iT=oZ+dD6($LvX%{eoP(}04OF*xtIHvLxje==*w0iEs3?4osb=eX|ebr;Mh+>d?X z+)Ti#n>>5nneBD#^P3Vxhz8i3O@c1ibLRGU8cBs#4gI8vz{$+ROt!TirTP*uX&0Q%1ZM-UXV2 zM)V6h7Re>Mk$cn`qaE~DqEMtn_LgQyu9qXZN?~@96Ey9NWgS&5u2qUoleNtVMqc#kYtB%y$V+C(mSx>0Ky_En!t4Zr z&!Uge=R~(cpFVV&imfl8VYqEsCvHL3YWG@Y9lklF4QTuPMHQkptS#_%p;Q8eq(`@0qI8~|@`^A@4HNimiUVhAF^D^Xd$juL;5G}yIU9;J6VchQyt7JmE~T;2u4 z>*AuGP-xx_>LYp`fDm0pH@e6FjM9Y}dKYL4=nJ0P8q3oELMpo|z+h_~lN!pY1xPt< z?2kY#0CahAigG;)mKU!8t?q}X=arWsYlY|et)}mw~t-BZ&d;Aq4}RIE+Hz6|)5Vbm7fl>knN7CyPi3IA|3J zivjWp+|BBR4nj|w06wlZ)A)JP-aYVAE$NI~0z3z9_TVRoOxDBLwD+E7J}$s0$#lRZ zv2kM^634{7h>s~ohHG999wW;{;}Ur|%CTctsthp!?vu$%BoC|#8f9Jq2)RU}gGZR( z%llLSu;8tPO-EX6TrKZNbLTUt1P;ZBk>gpM%b(zHs;C{gy1QV`KB&Vj&?H9Ns@JLM5Q<+_*}h zTG2|zmqv%xTa3#*))wj>c5TmZJY{)J{k?CxS}lPPu>!w$ZE(gauaHq5Gm>81%{Gp< zmAr^!fjh@TtiN#VPT#wV*|`KQ6#rDJ;zK#tUAwQMGRs;_+VR>B1z5LPP&hy^O$L}k$Ju#8D*=zxty)IYpf|eQtZvmkeWQ6 zjD5cG@U6Ttd)z^$P~i%$uZqIk~U$NrXUD4g!$8*$@FMw!bqku!o|El{(ZGj0B7aZAMp z)pU}2w4CJX6}czX0R~xR6oWs)GgLv?!AtM|{fpD@-AqjP7fHb(2&aI{xxHFSn%5j5#khiL)0fIEeH?JyYt7#8G6QbqXjE*!!CQ7>w z6Gxbe9Gn#lc5wFTq&o;|mqaA1j1phWczHZSsyE?N9j&{BqpPW)JEM0gDU{P)8O z8ymKTP1D2`0%_}MQkFx|T04SpYfS`Ol0CCBYZ>g1=-Fu5`dEI%&z8U269fu z{^y!UdM*(TiZnnl^i)vmkVqsmzC6TBHyP+>aJOOktxF_6kS;p-29*KGBNhBuCzZjV zm98YFSfYO`UB++CmguaZG~CCe_xGf-Spf4-;}Gyk&lci#xj#hr8&v@jxZvJ`X6uCp zhS)9=rT{TeFlH)uiDNQBf_)Pp@ZSm0Q6`UxT_Q7H);5K_EHW?x=E|YTY`fG*sz=!Z ztq7yAG!%SH#It0j|LNauopB69%$htx0Vsetnu(n|CF(l#}J)R^!oCx1Y4J1FGjn4$Qmb)vFb5{8&fvT5|}k z2NZ@x0p@x&pgukU>1_n#q8%bb>yhCKTqR*(!v-U^9?4c5xsh5akDxT;P*9i@ zdc{%>$H`jBwuDO%C&_pPioP)fPBO9^<-R-(jl z6{G87R&&Btyf;04QhuKu0mRUdr?-=kf56OVp+jUta$gxK9#&7|k5w>XGC7~S34j@y z26DX69Gq3$MXj|N#pTQ_c|S{iOzh$n`LTCOXz_IZ(C5|-g=F)waXcGhX}|LzZQv74 zE*p1>Zd7}c$-i^;l|wj}%D&9_@6-*ZyHncmG4SfYeWdQ5KNJnhvUg?@`y*x=3{RZ{q5zXt-CK3Gc1AC8wRo!aD6o;=FqhR zV4eV?#1aN;kRm~!V8Ymy*X$zbXl}%$XMM>#QD!yDI*IpMKppa=9$B@zz zy!g&ITr?q3nGo{D0g-~p04fHSBEdKVmo&5Y>yyFXU+&laU^-+fmSoNrkjnk>gBb7 zPO_!{r_g>hP~&$-$aO5!$se}lTY4%O8wx;t`24&>z3EH25JxT}M?_4okl7OUr+n%R z)gP3nGinVoYgre{LQ6O=u6oO;p!IFah7$=gfhPw4L^ma_q08AR#TE4AFrF(F6xT*Y z4V1T&50OM%ibVKKXvpA@?Sy4p{+sC}k!Xm=mo)ARfnW;22Dptd*CosD?|CzYl-lI0 z^=X_eCD96j=Qj#i_7XF{o>vj7MhXFQXT!=c4SJQY#lM_?A?b-tm&%9}OMo~73Pp_o zCbcL^%SavPKzIU()8i@Nu~F;*H)g+q!2^I+L3;tyM6%dYKtUD{8T@Q5>~UU~VqL;wBT5fA$j3ng(Z{5Noh?8& zE@N;JBLJ~UccqKhlq{Ht_a7b#mKgdd&;rpm9KfWAV%s5FmxH9*2@6d3ViwWhs{_j} z%*&?bTY~*gb~)Ko$_V=4E{+;Eg@cH9ailS%djwBQ5Rbar)>)4+R*@kb$t8u1eAQ6T0Aa&7$iX_LQ(eb61erg?fa2+< zXedK+kJ)rhS&s*Yq&rGXA9HwkB29F!Ax%BBk1uZ?mc|QEio;*SA?nn)-sBb;Fm`4= zLFdUZKNg&SU7!_vM~PD)Z?n;9wF z!#q1yZ@wo;>Yr^wK@5xQP|9@$`BFA0_dw!ZiHz~mp}aVr2TYiJnQM?&;R-F0kEH9z zCxU_w8cALt7-k$HS!xn3Hg%bGR+%U^3_#>ek!j+36zSSLQ3?UNhbr_`(@wgzAFRei z?;~*ziO^-M>FBtOpxR|5P=IbMpk zPzaEXkqL~@ArQ7w7!vcdFmmXy>?7{Ny;Mr`cDE1N81$)(ZYR^J0Ky5I>XU2kEBLD9 z#@MqzyGV{z7SsFbM8CmfX8KFI0#9->n<}ON;v^SIR@LGv^%%vKa&97(N#PnovSkg^ z1fXGrgIQL-lyT5p{{hl##HBgp^m;!T7{0zl1}o3hG^Jf?w+OBDcH8te)W$0PHc?4y z!&b5*3^mD=G|R;{3#t@E!B<`G7*UoDj3?($Mg~Z!D!U}Rt%MGW5;z@sOG~UU79&hv zG8jNDRFH!B@wTu~4h{NUrhwV+?13`(1lh!*C44O>Fli+;r}T>sd~az-g!HUej}Ym+ zt~Z01SRdO=$R&U<%f<6NJ|(DgfZ-{srC9)#xq`Q3MNz%rGtsm+wZ!aqnIn0kPiae* zY59A26VGSO;(T8P^nqDfwH?XxLR-my{WJC>+o{5fR0P*FFDE!9E^&JZnAT_Gm-BJ< z^`77|QD+L<{5H@-`wbRFvgw`%CryNL9dSw}uS)|6&h%czzKRU7D%NAn*Wr&O2K5TS z@WEgWyu)fOi%Hx?C3CKX7;@u8SI$ivTf>k;@trLuqVD ztKt?+`$HVFLMDPjJhhCALnY-TSVan6)qn!X0OR`{7`()XFy!qf5JpLKlr;&=sKomW zi9wxd86Rh$##n=18+5t=zR184KTP{-_UY;NOCJmykn=D2K5FEUaa$!0GZBNIg% zQb?I0vk5G&S=QO4NIDD92X&^vVVxn)L@co~#X;0-B0#*$7BFl!C2GVmZxGZe45wj= z*CQnzKo3YZY|{s~rt_PEB}G9Bw|ez#6>a5{+=LP8w?n*LCV1| z8SE8;GyxW+fxB571i{r=kU@?RLMemAOSHZTdJY{+5(ZxO1_9@x5(e+H884!sE?6(> zSz9Ee0_GBH6cn1m{3(ErZ5MgWM+A`$P}b-I@_D<~^#Dvnafp{NzY~bKL|Lg~QzEmB zU=+-2!}xwdAgScmQ&~4DtZdM8lC&N$Q+VDdIB5MEQnD$2lb)ePQzEkU+cxAiy9GL< z)vrYw$OeWETuUPp%XkF?6<}=o?w0Kr3T@~7|GNC?i(6d~qlLI&ZBRSn0AY%RJ-`Xl z4zXerNLv`_6ex@Z-4?Cwa(W=gVeKjgjE0ZDlz6?N9817;1}siBfT)F%#eg9WF6)C( zYkF)jj{_rzpq)YRfc}wk_${3cb}^j|szAJ^7}0@r-)|ekgK&2H##6hK1~jdRmKN`U ze94In{PxA94}vU+#a%r18!F?~rK$I7o?lf;DIv)soJ{T-<>@ZHL#{F!-=f#=h?Q%_|>iXa1ewJwO5N zZ8{sQ;y_Z>DmbRI!FF*475?7`P-PYq(3=D0&czk1wFJNOeK)XoC6wGAX4ZPMtr zxX&-HpWFCzbCUO(#eG;MnlA5svt_kBQP?v2V&=X%e`!b1*ngRN@FE^dfKmWSAA}V! z@;gZflRg)7^Q{YUCeU`W@F2h#L(-X6`2K#+m6P(~(d8BBXcynINFkurx1QH1s3nkY zgMwZoj7FaSGijGJVtniA#hk*M^})s0e?zP9Jb%R}4?gy0+6czLg(nxwHbKyHdJT@=Z{l{?}vd zr4psxafR!P=L{cz|C>8>i)9%ZbkGk1lFc{iQdRusSld~o*>^dol@{=!{^qvt@J&0b ze?(KJ|L+`KzF&JX>6(%0e&~tvuLr_=^oKe=%9gvKWH+5lZ)r^i$x7Q(=lDC zgs~ojP6+BWvR=&WcL}yw7xh87_MHSDwwU5f-!A&VR}-u89E#IL)+aTje189p3%3Rr z@4mG9_8asvv}YPWbT(LhtEd4BpiF&}{@p@dDrOqB{vYna?QtepeK!$tCIAY$oX$Uc z!@j>Rl-MpG|9krl1LA@@9)xO4k8GDeRJ)7PTHZy1Xh+{p7r$sRXZqm(kuNw2-}C>b zMV=Usf_wVbM_bz?G#cF4Q zvk1P7)(2rvOW(;C>osZTwE8#u(Wg7F{QQD#@fcRn80bh73v#4EcUXJvBdkug>5y$D zw~S+TwnRoWVYLK=_klZLMa0RaFsc%RB708|+TsZoI`2zdyp&yTvFQfid`uq%vcf0^ zwwHMd`7O3#{a0fBhVqrv5@sc(*CzP&@LQJ>L%?7i%h7NaU;$7k9@-0L;g*e0?npZG z!lj!MO|n)65={dLavRhkVzKS8L9Z{y!@IP~KnvQ&z{30%9DTEL0K@`^C5U>sS<7B< zaRr?p2a>mTN*Cl3B#oHbfj%fgnFqpMEchV4@X!M+>4nv|YOZz%5y#Nljqvq6+$^1Y zEc2?#q#u2QwyCpWkZ0(gbi}x70^CcGe>8bT)N;uO*s2}pZ4+zxkmf+7e~ z^5VXrWeqY5=d~^DK%N)(`-AX+meT|YHW(x=)3E6`z(4eN2B4^ZaR(7y%rCQ?!2Wyq>pz+1_WTT5LZzBvh&5G=1QF6x60Ys=^sZPUHZLCwGF zg-ue{9q>=jUq79h^=Eqie%Qd#IbUYcE#GWnOlFarp8N$p`8ECEcKW_O*}EFG|NFIn zcJ9`JniSZS4b+f(*f|Gmwp+R{FDbD9oh6_hQ&YES|8uvDb*J1*&7rAove42_ye|&F zZ0R;$UbQ7R8PL{O1bQ8DdB-8kN_+Q%nAB~?aed0f0@1+~}{EoW# zzx`y(OMJ_VbjyoZ?)k&g&c8O^u{1PYmID9p3qQKUQuaI8thJP#oGzOiD4Xm5*8c(F zN9l0kfG`Kk;%<}hBMQ#EBK&Ce7d5~7KIRj`twI9@z zLBRckV-@xhAJnQ8)K19Or?4-GG z4G!VS#k5#ZA#WfKLd}Cyi-lXew3rhexX)oQhFEMxXswWclj2Mklhz_Zl{8>b9kow} z1a(SV@j=+fm;?mBXo6-$f{g@OgZIP*VUPqV&Stg2YvOEZan2j*i?(lkV|dj)Cha05 zoeje*2E9#zt`~r3Q9m>?@U1ZSi}651t*MTKhAR#}aiumb?z<8iF70QbMoH2k; zUXW!3-Hnc@gZ_a{CzPuJgYFs$LT~C!`dU&olKNmik$^87_g$UlQpz}+tBY(>SY6bn zYaY`%)M8hVBpHI#!>AE~#)r2`CLUA@l8z}fv0QV_n9&r$v=az5n>vaE=UY+?r!mmxnudxGPp-k{FrCgn=C9>U#C z*o=xC&qi!O*MQxMfzBr}$HK-jRUwm(@18yQ#z4~KYm46vg#?Qyb(IkD4vG380IDMy zBAyYtAOWRtErs&woMs3eMi8W!9#4u4r4PD-5Y;tHk$OZEsD5<@Ah^bh)!S7oM2VMZ03|=^ zW{8r|DNH|<+>21WD}f;ccMgjy$T6V!n;r>u;I(E2Vms_EJz^xsHn;61)RKcTK4xGl z-n6`kj4nB()YrO9z;}kcaRV%5(~BR0cW_ z6(-bDQQ!owO`qKUd}$i%Vz*PZxg^U3`7sA|S8|qYec5Z2d(y~~0zpm{(GB*X+jbI{ zOPq|wki%dz?gVPwh!Vgc7Mn0;sZ6}oON(@x98DDj0})52icDU{7Es0GUcE@@bcl^M!MVG1KS4@(3c zTtqYr)PR!)ED0jma}OF5d7_F$g8Ce}Pv9UrgZx-=v6+s?dxP96h{BfDoXdOJ_9Go!xWPk9Af^;E^iwmyr7tRi|7rC%gIFCps&QG zXg+?HQI-oOFOrJ;|VHQ7_H=2(aKuN+hxt=TS!z&(gA?4%-}~w>pHQiZ7KB@O^%bd z)Aawx+1o(Hab4-6)vX4#kSw~2qA3uP>J$a4Mo0+UPTEf9rRq>L1p;9(cCh1&MZ2A} z|B?|=a*4<9rmJ*l6$nXgj6K-#O@iFY;E5fL6gg2cnThDMJvigUV?rFoH!~S2B%@?y zeuS|jYvSa6)nMP;x7K^>tyf+~O?OdUwa-3#fBQT8>>UWTlB0%ThYFz*Rb8f5BXXp< z_P{ZXg=fnYe~Y$xk(w} zv#wR$X4GA@TS)%Fn!ND^W0YuvY^y{w$*IFbLVBvfb>=E;OPiBk9A~j^<*eom^J>fF zC>v^LT8Vg?aO;)Ofwl!_gk}oj6Q&WgQTNp<;b+Z^NM@hp$(!_ZFaK-#NM=oDun{q1 zhhnK|ODVNsl6@lbxx_l*X&u~rK+*lW|D>U^q=wb*5Q@(RRX}I3uqNXihdYD9;~I8S zhZe9jB}CRK1orTJG(8)tGv?=;ko3!4Hr;?6NUNpjd?wf|FY>Vc)TD59eZ)Q?(^le` zip4}#U{nVQ1_aLFJvK^u5M`|W>3gxc8Wu%(BpG7UOpmo|YAF_#;P^xul+3hUf-aEv zhK53OazFNZtLw#(sx80t{g?FD<7-bD?d@|mgtNkFeqUUkmtPaVr##8TzkFn6On0

    0>k|Waio;1M!=D>rvHb@In&!fd7sZ3hw-nn_ z7Ew=+cap+~?Yr3v()Ndc{0H@4J_~lact{CrH`Rl}o^>s1GJS!kTu}7PK?&JNEfqU@ z#SK=p-GR$eVih)m8P^EjOAU*g(Um0OZN3Q&V*53IKdv@dA)(=it@T$kKzYCBm|<;1 zVuFZ?&N-mUrGVyCx%&t8GEI0Q#G^k>kRP`=+j>^vF*aRaA&tMXe(g}9=cS_tLYKLiAs^^ ze1t5hw{`HAa#Fcy5M%m-JpZ&4QQp3@+W58EB5iA*-(l>mJ?5kX7j*WjJ5=3wk^c;N z)V%k72cG$XGS?uA{ro@d-e+xZAdBwsQ)E%QPIa~p$=rk0SPEY(=DSp(liEM_yBZI=%$V|$#<=e{O!{gNWJ2?x9wpadyU{yk!= zVd_QzD;}oL)MBh4=vJKbf5i=5B4|#i%%uJ4cA*=6;;$g5Qk&gMgbC9|gI;xmsBKop zYA5`xTPTB#To=~N$l&=hsgQmZ30aaQF;u$XIKLZ~g3caTB|@zVWJ95gFSDn2nW2JN z19oCD;XZ~%&K-4K4htxT7PTR=5}UyS*;Fh6^IN~Hw-tgYbtk1oNH1gzMnQZHgbHJXqQSO%8*faVh**9842kA zh?EhZB~}$bE|cGER$Shd36S``dO+&XpBp+Y=*3yNgMRcGUgF6Xp0cSM|cl|DA5 z)Tl&iDofeRK$*$L>N7F(hIG`djyJy0-()7X^U}ahd)$@GbL!C*Gpr{S)o-vKno308>^1>@IM(-r4h65k-nGMbxQhz zMwTg|UvahjC8^Un5hkcA-|y}=oufnDBoz@Sd(0i^`FwX%JodsQ3Bdh!ZJnUh|LW@1 zZ-=gZP+pa$PpvoUlvPI#-yl1>W(^X$*cAasNOlMkZ z-uzng?PKK~sWtV>sk+@B)Op9JI%%pFT$mh5)2hd(Rgfe7`xS!qN_=FY+d0Qg)@e5) zwa6@0eYabIPA4G6Rqofg7jDQk7!q%}GY)45+e{vflFX9hMjc#zJ zP2EDC6?ax5eY(Pi+9WezA0^>g-z%obUUyXR&?vueo?s*tOvs>73Js1g!vnUQ7bIVL z1G+*4cp~1!^Q^>^<|V3fV`Q*?(C?6&hXkXZ5?-66`Si?f;5#?*0f1}!&&eNtee;zs z?_YapDhczhY~eSbsp?tXX$^@1^SnPjbhA%K9iyPUkK+Pe8P)G%`$SVVy@3&517B*1 zemCSdbpJ3uM!4P7yK*$tb)P+LUOa|cIhxkMU;nJuC!i*2m>5;EerV1;_X+0=z*RIukq61;4$V|huHZBA|X*I66PVA_}V>6~B3-DTl2bg}^m z;PKEV!vRkQjsw-QRF6_qNEwf?hT~M`lR2M!CK@yqo%3n(8{cpK-9ME7<`r)B`0jLG zNVDKcTzp2;CGKUf-ju^btQr_2~nZ|Xd)KtedyCiN#Sbvi$l-Vpm8pINjmwXxxLFekFLJg}4 z=yUKp2KOU}qt}zlC6}q9n3vL=YL&Gicib<7-a5~0o}Rcv4Je_Fq|M->qbz|Us0m&o zPtg@-++w36p|MV-{2cj`NVO8zr|7|ox`C#=54nFm(mvjxGJ1qoDiuS-Rpi^{K7||- zUc0sRk>9@a*QrR)s$A|-6uEZ{P&) z2w!y|7|(5znDAaio8#wDU4a;0^6vcXOl5y%u~oIl@c9S1q;^6St)ri|ULyPS1U za$aWgSGy=3P=&Dhk}}uing%F^GW_j2rD4OA1g&wPH}lmefEpeKB7d%kY(fKGqF@*h3q64mr8=&ZvEY8ntYWzk$DA3H431qTkBU z%|8$SR@(pBAx{Q4d~qc3XrRY@Xr4ftzJKZTI zY=}X#QMG)Ml_&6W97eZ2iCG__rom-Hj^*!CEdQWo>RchJbA9r-zrD}bAe3t05eYXt z&chH0U^?g94a>CsI;SGd1PL@jOO}wU*=BM9V_@9e90`F><}AM?TYcleKSZ()@lL7P z0?(dDI%@7`%!(}v4ug+0Bc-n3?I7H)*lr^GoP&b$hD!rUipOV zJu(NL)Jy*2#!3MPA$PQY0`}78<-A#e47+u1+^0inNY>&*$lBkbW=tiJ4vHb)Hqn)R zvV}zYphm9;^$9Y0Grx^BxZDZ8UYXNjs=@b(QmLW_Ma|Jo3*>d1NllImJ`xxekcNW+ zF6bN=kr+&enhvIeQijFS62+1^NJ4Id$@#DaJCNo|Yut@y8stkY_|$y5)Xp(YO;e~C zEF3mKpfine%+W>vct1nD{myLf4|C-|?&MYvgdu1jGq4A1c~M1{Qc<^MNP+dFK3$y7 z4`^~(-9far!A1gpQR59H*Qr9Qj?A?UM5Rs*vo)@e=HiMK(^d5`^m8h>JP;+WYJ$Hh zWaWXqmZDhw`cR__ej23)yB*COO@P-Q)SZBCn2eRTh!WxQnBaz))HB4VhL(u&Y8`W= zQvRM$#VrP++ zM1q%&rctpjb0Gcuzx|Ilu9a6ky!PB&E*+e}B{yL~ViQk+=}OEo>Y;!`0~uedT;>F( z(@r;9GFh%}`<*yQMG0PsO`{5r=46+?H*pq+0#_{3Z5oitZG&|}C@jZz??GfttWv(2WkP5yL1 z5h8Qw*2tMzoasa6ok~=VKJtJ}u@iCdfZ6G7J~#SwQpQrk5laYhiLC@0SFZ&PnUy)B zx22#9i6y#g#sp)Jid}Mn>c3JIk_=)0d{7on8-86WX)#SV8aYwjCt#(DH`PjMj&4!Y zkb?;-wI!XjtPf%md(GeivTCDzqXw6r_UW9X26RA>I&8i(ESps1^%6wiI@;U$f$sDZ zA@S;uUO8G*egbkT9?Jq6DP29$ONGN1%yZ(a_gorZlyXK*weU-2(Z2ZAzOfgF$)YoB zeeDU?e4x!b=k_Q6)cUxvQ~N-DHx-uexJfB=bl10Dc78dws9sP%?Y>%={g7k*Qao{t zHg%sd4}9R~LheOlI>U1DK9sn-v30xk(l4Yj^P+t~87{nZY^gSKMcpZmlWz~qJy<<) zdA@4fM@*|xNgke4#_4FcX%34^WMS@ywLfa03(j(7FP!nS+28QIGQ1q$Jh$yoWmq_} z7)A&c{e1reo!=5#n!4{9=k2otuVt{wuCeRT6@Jt>-L|NXFU($?J8-jLkP|#l#Nn zi$>L-d_lQEoZe3C!7Aq^)xPfIos+Evv`9S~eUN0FMdbi$n}uiNt(|u^3XkJ9eeetD&5waQbo7t3AID$ZFetBu1s&Yntq#!+v+b`PF7jHs&krs<-^kPgn8+S z-*tzdJ~4H;z;-%@v&C+=ueS8G9{KKzFI<`(*pbtpz!qvx`pT6@AWmkQJ?f$aqsuiBSK+id6b7v1OWMlh` z4~xx8?3ce@ynARuyt?gB;sE>M_OHjUnOgG`#<`}eTR&GXOdd7&8CIg@h*qnfJwEfV zUG-9Gr$x3g6wU3-wCDcb+!A}xZk}=z;^Vg!4w;wTS07y%esieyg##@Yl+Sj2yZv*w zTrvw)8Qn^D*n5Rz;eG1yoSKjthB}ALhbkA@0RWqSQ$ML%!{NlY#ii)uAMd}9oZ;s> zI{$P~taU%F4EBmmD>qaor~Wek#L&_mbK@Of@B4c6$Lt|u^*a`CnmhFU_gOBF2-8<*%udwY}@!{YNJ7ir6UQ`5;_#k^QsmVB*! z;riuIeW1U;-YWcu6+X$j)y8wjKdW8&ntb)2_OA{gjMa+poc2oh$Tu3@3*v>blgIf) zeupyK(4Gk2eUL`+fI_u)R$f`K!dP$3L3u&Fr`~vX>l^hWX2rZw>zIy|38$ zOI^!}(dpc+;(_K%?H_yi)A^&$D=dHKsQQDggOk0A_*wh;JC~>9?vJeBRQ~+u|9w=v z65po$rS0}~{~sTJF>>9fcT{|%zAJi(EYZ2Gkxz=Vzr1u+C9G<6AG=-ovHDi7ZTMCC zJ1tyDzF(*QM5dO~8Za-XqW4N&Ea*z0&Sl8|R znk-JfO&*LlSd-n!iEm0-Nz6UgvN-&3?fA0Ts1DD}W5acC6}Ye?(NCJ1 z8{4)*<=}_6gqH^H-14R~p=xKXm0Q0!u9~ks@Vutp-8MMY8C{Z6UFs#$cYc^(P9EKH zlrD^d6;j{oJAONVz&`lEO7gk8E0Uk)Z<}a6DBQ9|37ZGiY~AD?hue>bl{a1EFi#$N zC_x^gXAc$HDo3XO+poW^B;Mb>Q+rF1z7mfNXU-^R=z%%!?%9W?u0G#9rQP)7=Q>)vfA6vi2hjIu_#|4P@w=v~ zl)F;qLE)v2#@>`k{>>WBEuYkX)pgUp#=&Fa)9R(?=eIAOjNMh&zxpqsm%Qdnk-G@} zdGWY~_Njh#*u1v*ReNVYT7aGGx{Q8J{ocPd zZ@O*bwSiOi=$kIxp6mLy{pLiRf4%FRy~ys}nmb8WSUmfBSF$-}-n(_QA%RXp_QYti zxyx*Mb~JhFKC@=N|GE2&XW7mLR`YWF>AZb(v14cVtn?%Ep(*?_&=J`;yVX7#?xFj% zN-4gC#h_8Ce;a*8lWrX)zie8Vzx62H(!Hzc=;%>eQ|P%mh+j?h!_v_%QM|=ooJnk} z+-Ys?3b!28&f0~q)>YB3SlehXNS)$~kCNu8#-uyqw@Q1BmYLr z8{_62-QGyexxIWxuKC8NS3Yv{ALiHQW@-I&+KicZWH@1xnam&^q={jx!2#_u^~rt5 zXqYKz4nclJk&ZFjBpJ4p;5F$GLpjITdG4k#L()o_6c@{x8naUFc-M$I6fsLlxxkcQ z1}y@}IfYJDP@|xQgwx_meaOE<>msv?)WOtRtBE_!=zKmcE=bej1dVFgofI_aHfA|( zbTUIzW{bS2SPm1(1*%QTLyr0=vJV@i#8ha$jiEXdy0p3HLFn$IcG}byEM(V>dYq2N zOb1)p29$J3bpaihv_zN=*`aFwqMPUC0(pjK+GbYgV#%+dqq~AVzynBT%nil}{R92n zJv+*udVOscU<0GQ`qBqpK*38y$jFXaJv<-*bXGk4GN(|yLcd85^ds7Fz+3>yr%_h` z9Y_6G$I`JV|nb@8=+Te$RZfPZRl-(+H2(Dq}Q`%Ml6)h zS|Uqi-fClzdQ5ksJ21*<*R+D=N7QsC`hs+tIeESg)j?2hv6SH5B@!IPyC{g2#P_AQ1|h$g za@@@lsP!XKH@>9&x$A#_cF*!5y(m|1V{JDPP}}Qa>Q|lZY{>j2ar=(ND>4fbaL4-0 zPEAHZZyH9`vw7nfZRu&5Fk%+g;#hXOOV`tFQU^K4v|}{1EFI0;#fzOdT+k}WNS^$L znh9yNc4-KAzftNL>c})o{78H=mLbe2 zNW)oA-}lFlFFF-hiuZevTwzssdfc)1^z{Gs^uMEGoRq9R{dCVz@0qrDekQ+Ow<`Gm zm!F_v{(m09^#7+n{K>g@zA3-`H-G)^$$o@YIzlGdOL_9l0A{3(e_%t>MH7He5qe=M z(EHRg0oc%j)KT20Kg|Ww_|xL2Dn7xdUOHRRN+8TrkIAW!>Bb;XU-7~CCvq+qo#rWk)Qu@`5Re0p?AcZR9_dG z2nRwBBRobvhrQmy2Iq}ZyVRM3ZVpSfkgQ;+aiAdYXCU1tuVccTa+H83w`TEBHfyCc zWDqxxa9oL_D%z}UyOWMU(z8uOjTq7W$vPBuYp639YP}k7mV$Dm!79bXHd!Q4fEaUv zl5>9ElIPD;w4cMW0Gj*p*tBX8*b>#&gS9LtiE%Tg2Ncz{Dlw_eZYnCNy^P8ou;=r3bLW*HiC3p!>2fD4&I@@Kxj_V`{M zyjIyUpznrl6*NiM#tzfaY1Ri{Q%(F*wqa=I7#TNAmy=8)sOwlNuAnA@4`L`~oSFzH zqK$l@2Gc^lY&p^0LbaAV&y~3g5>Y18m#O2Q+G6So_8}(TM;B@t-i%sx0!_k?B~-qa z;Rd;lQm4jjSKZ7+zMEY~>kSl%assVxvtnbc<)VX7L2z$P85gM#`XCf+6)uFSh(6E_rRED)mwP_tR1 zi9lcQJe$=fY9v+La2rS|%VAvrbu31WC^WS%<4vQpQq}~ZLCu1sV(|_Q4a#OzmHQ^> zypDaHsE0InjEZek^SSyNQex(}|LPYj&ph(`*V3!PS?4%QWO^T~Q*87jU)9_dln^ZGX-H`SM6UfH`c$p9fJrUCPj!e7O@Sy1d$g`~R2H%5^KBWe*osOg2BDB39o+*)-(Dy3G=s*DwsbS@Ma6DM~Om&$OstI|^1*reM8vzU{xtmo(LDyD5E zXn7Z=Wrk?QW1T>Vr4%}P2>Zy9e4#|dJ{R?7M`+CCccX^AkmI3mBC6DjT}nx}@!wD>mSoKya?~?n0*XbE8F8;^@u+u@?-F(HY#YXg<*C$&e{>&cFn*Pc+fKIK>D|0#EWnPU15JSw`LHa|;DYY*XbWBBJ3U zN(pVRTG`Qs2kPL$Y~QhL#(m9m=1Vq z4hXTiMV@9=EUF4!$jcuKsKDj3S{nr*@%q9l$tH4^dPP(*6RpamPc%cWg=LulJ%7P) z0_Xh=ETtD}3^H#jb_k2GxsZi1R_FB-X+Sv4z67Gun4YVVl$2f_@FI{x4N?$eI!zwN zFEg$RQ<2hV7}L+#fjCvMiZ7?ExCJ192N+b+QOrPCT0uh(TtFJ*xA*;4+5h(6{o|@2 z);Zi0bccgy;j!^#qD-L&Jv+8jGAkt{!H9>6V>cLDzLq9;F>zd*9JPEheF|W!2#KgV zK5baOS}7+eUD75(&F>2P7(^2Ga-1;lRdEb?Qhn?gQ$wVj!qUPgPdJ_*lpq=h=t!sq zI!c%o{Je1_sig%JO0+k2%a>sc2dlk! zXnd2lj#BvIT!o6&sa)t1HZC}y68>xOAK$o~es>0L;uWAJRNbj`n2myV;WgEPe$r(c zqA@*=I2W@()g1?MnWC36MVvfuLU*2-ASp{%sR;Wt!)hHRFODH(qSui_dFEvn8r015 zn0AI7XriVNJfDC{Eipc?82XeV)KM&?m`=v!?7noM%iP3_KsrF<>^j3K3P=CG0ayxh!Sg;5d?x$ z@aFDzm6VcmEDA6+fw={3awF(1%`5s;9Lu7$bR8+1Hd5FE5Qh_tHdY5b`K)SF0|M&Y z%hcb-W=Ek;3({sL`$H>gH^nGUl-4Qu*r?W24^_cWMnr1x^PSq)scQ+S79WKDfj${x zx3SndAW)-c$Pq$R<2$vyDe(MmP#G{t%-RbmzyVktBxT8&!!SXonVAztaaTO6rLBCE zF$1b0*4cttq6}7GQLv!MiyAaYID-6gKeh}ovmS*`J;9YpB1&-6lp;h z?)|&5{covjO0^CH^HMDXk_TT9(D6AA&tM)OmAqucQ}L6&BQXvgS+Llp1HM4NEm6#?=|S*0t4D(51C` z*6M4l)U<^>oRX!UM$79HjmAX@*30*VMtN@t9_2wb~n;FyCMkN%RAa7jz&`0-{f4O%}l2|9Y8}HbSqLvfFz*(%7$6ulVF`RuH-iYJ4W3_|h8=5^@}jCEw0cj9NtiPH zsfSlVgIS|+m$hVRg4PMKu4$?8G1^y@B=J9?4acbxtz)hOEQZE6d|MHV5jPtVO(PqE ziJLbcrKdA_u}Royu1XVC8RzEy(d|v z%UH5JJGTTgmsAf+pq2`|i0!o)1~ltLwW=YxHoyJz{HMd^7e?O`E_?MoY$m#0l9Z5y z-Bdz!1U}GZI~6g5DXqq5juRJUfz_lOBpKqUh21Ozb1eld^~m(9T*Hjs*1;P2)6AGx zBCtOftOaQ3Ma=A#302{V1-kEJbk+JnMQH&yAG6NS$WYON%9tujFn|$ZCM{(}tI?b{ z1>6sGv=ftbRs@uoJZb=NL}hFSm*Pt&As>J;c+yNNdyPYEP*si-dkLJ!nw~#u$0e@XKRS_E$%?v`iLmx-;cfwu+8O(0Y_ zPNh`~>B+N-0`mrmQ>~=-AzFZu^zf+;aMb5bcfs7DK%muG6LxaagS|(+87^!k$E<<^ z_&f_mizmH7hOvaInw~_p2@l5|X7$D`PMe7~oSsbW637&wZdF+wz~ng@{ho?q?M4p^ z#Zy-Tqglh3Vt(_TGp;5Npx`s?<}IvIGILvEX1w4@SKL7b_~H!OUeBz_S3m%}MEIyD z;A51Bgke0R*TX4z?6Rt02S%)o66lbf3$3Gvq=QzXQh>dL4aFnFcZcD9h9*d~37`S* zuL3(zp+icWYrON+hs?*y?+&j?Sx`3fX_ebVA0{ai{7!I>2^WOu3%re2qFUmc%vifr zq>Z|{RG0Cx(a3Kiz)B<3H8z1eO0Q=Ml1G6F%Ng>+<^ZRiG6i*iUQZj;6CUL}awJQRsBp6vcFNRLs z0}dr+S>PJ@IJKO76=O}{R?R_K^h@cDlC>iPD$Fk$by>vcu44_L*UnEr^p)4kKli(L zg>{LR^K2H7jH4q&H3A@U4>9p7h5GbOpyV0m>98~=fLfQlFolyO+J^wK#v0r$>{&n( zr5tUtA+-e_;x)&s1KaW;aLaC;F(*gWMn?@Eg-7fmaO1lmxfB+1s5?S~-308In2OWM zQAc%1K}*{S*%RH1yIF&s>O|;F=zLo~Yq|2(ywm`zrK-XGsR;z9ty|snB{N@bDTC@E z*6(-~)LXPLje?H%LJ4(@mQ1h93`m_!0rr^0UqgUQOraFm8-lotbjP>3K3Z$4U|kq; zR9$>~K~wY+XJ%TgbvE(kYy$jRz9NrD5}(jv932bw>NP9Hwo-Yv-r2wm1yWpM2y6#s zXA|PinbD+#l@ccrrx?1^n9695;iimzOoVfW4h{%$W@k#r+$98|l@gcd4-p?=^Agco zD;20=g)Y2#7REX(DqRN4|#6kDFY)Eaw30dq;-Kp^CZow>m9N@;> zpcVzFy80lqAJ>Qn#0y`YR ztcI$;EZeZAWKbEErjJoidgPfya0!Q{W3-yhn`tRPlzp^jJ{7ME)EJ6S1G4Uh5l+#t zGT2zXiCiX|c*|qsnuPq8ZdFkX>wgfIzzn&JUh0`K<1D4KJDALjI@rj478b1r>rAL3 zjO1w+U&qgCyJ3J86u^fw7&j8qAu7&_xG86az|W}maeG8E0dfwK zmWY_#VHOvMXlrPSv`>@Rkkkp7f-6@;vqy-)#KG~oScf1+CR!_Ppbd!uUQ$;`3P;J4 zMyqLP-h}aH8!K@@)}d`39QQbO=w=EL0_@^%%i4JfMn7au9v=|j`00QCaCS|c;S;;* zw(JC~%_Ly!K^VdXp0LtWa;HXeTfcO+B)r>dFOa)jnEk z%xv@wWWV-)S^=mS(L59QI4J2h=O8IG*~xlGtj;5DVXo2c&dWas;dEg&@R&p(dZHdI z-4h*5#lDSWw2C4YM0@Io5uKh<29;WKP$liPSkLsR5j|z@B9r@Qr5c5{f(Ue%1->3W z@W1~3?|*duo&WyGs)T*_DNE#S#CT93z^(!wi5aKaAd^pM2B<&fC`q#gBic-*X`|7I zLB_CaGze#$LHi;2^9tr!(8=#%1`9>}Uf4yZYcTHP)d&pp$tHfV*_+p?ZUHbjxknpY zK(T@aUhrBRj!^7t8>XQAMyU3(DYSxKKZs;gFZ?M56SoOT@5rILOou!-1PUZk%RsNr zO0wsN2)w!KF@flA`KFX%%YjTMQAn6D~0Ta@>+Mx)RHs;BP< zw9+%JU=}@bKMGCkZ=*MYItAoH`WR6Ug0Ots{p7$zdF=97FM&M?1^g%ONNC* zc%m^;Snx>XRA(aF?lMSR>VZMVw@yxgHnfl<45uPq5}@4hE1rO1mFgh9>2`+#!=qJX zyRjka>2{(@P{$26=<$m`ph5%n7#;YRBII9HZcxHa3=9WQ!L``&$lsOU^KON4i29pY zfgefu5Ny9I>Iuic8;*xy#RGPMy75ZgL;2VXd;8VStK>sFqW@Ee?1uj)Cn2^O>u(M`#Yd{8=8<^;Y&>f+h6T&;ZLk(0ids zMS9+Epn?{y3OS%)W)#{TFp@uj8iwg0B23Us3)L`7w?WTq(Dn?XUlu~1n*Z*f|M2LU z@*l7@HAj;4uOvSb(bX5RVj=wo;awPW8_eW<2yH_>0GmdiGboCQ3LIpvTd6K! zSl9#DoF;%n0KqxH3{|kWFggCGn~u$q#!_0vZhFYABt0iVd40Kb=l?YEn{W zUZ^VUb$CMSteLdK6zeTj9(3C>lQqT|_?qfKy}hXoDn2g*&uSSOM00phXO+&B`#2UN zIyI~^D9D9_-%`B=g+WB~WrkUFpUy~vIRauMpjtc|bx$aCRQg@^*lqu~GW}<3*13U} zXBq4n7f`XNvpFAv(TjCZ(Kff*tXahzAC_E!fe$FCJ>a3ps6_?%gJ-??39uG|G^4w1 zCbC_m7P>X8g3HNo%ZuH-YC6$c!5Q=dXGpTo)iJh7WM$Gv&cZyOzaI~F^@l%CCJ_o2Lc2jFcB9Gb^+mX6JLz#?xQ1Hhdev*6eY zph;pxZLP+3P&O`Mic!V-Ish(v9w>JZy=~-cqQaFD9^02hHtRPi<$wsS(t$8tvWyl@O%#kkfgr@XV4{Y(k7)pELWU7>p*A7xQu$S= zmKV%=b5N_nqKy*atqa{w6xR1_J_nLBW<64noxuh=$((fmeTIkAerm#1I!kO5L9nGk zKk?vksbw3}b}L;DNwvWWpoas}zh-O6I+EXJh~P!-*QBCM4Vc{k3;^WJ5I@7}{ynXx zu&xK8qIvKyDs(heW5n(yIq7k@)eV}o-l=qoI6k(oMb#V`fEQD+g7&*Coi)5R!dy{D z>jjHpz60BB_AG6*nfEX+Lr4yTysDutZJE>0@!YF{_8g*-Qx507!U*7EuEn8NX^+ zXRw%6glSR0G>;Dyq}|rb6wBJrq=AP|90uHb4M5Fu7S&u0JXvg?IYE@9yyy(@qcE}6 zlpyX#q|s*s6>s;z+`UD#hDADgs!_UZIFPt=))RPC+X^!~)uDEZ`~|lZD{dL=sX5Ks zigq@l!mYWyrhzD7U-v>ZV;)hGuE4$Jw<0vIpfNOJjsD?ss{AKj zB9nK7mE86$!(SDVu?On6NJfT~DNE!a8xYfLGA}~o-6on`I>#BR-(vtRl~1b ztlOZ{q>5f=(;j~a;NltL&!}b9h$xGKU!!LC3DS;(>7hEdS-bCn6JG>yL=T^WU`G!-RdHW@Z?`Iy+nj%S{wkFdW-;2gf{}_F(-r( zsGdmH6-NNMg{l`JJOT0xV6GZy*_(g>%NPI;EJF+lf*~jx>75doeu%+C%dJJU47seL z<=FSh(O>?T9a{d-f36O}I;OBIe*~5>e@?S#h5*5BXP$jQeBwIW)Q_{>bc;*(#X|>H z>08yU_c&gq8#Wvz$-OLzCUx+=A%KmkgpFC_a3QpJImcSEf-$HQ#An>yanY)GU}pwZ zcNe|SSd~9qqFi1?aj@PIN)!({w@WQy>b&I*Ko$VwL1;plY`~m&*V-7ufY3&ZHhjIO z`?ovkN&7Ig9F5!CV@t3$TMQ4yMaLzf$m{M+M#K^5NeoUtSDOXCqZj=l&Q$!|sgGjZzz8CCI-DtJFpFUKBq@AAB!N^kHe-xa#q}PM@7w z#n5gMLnnZt|5*N234rL&OWnltnj`d}yGo)1M&}DkOC5U#^C=$6Bh?in@JCZXdl^8Q zTVddRJ!6k$GEdjcJ`YGYCp_ch5giXgr96ATIs^w{@M&=fFbRqVAJNM+Iu5~&MptJ* z0@2G^FI=p!itI09ov%~7X!7430;JolB54r7;@~l~EXNSQDeay;%Sb zcrAw6;f0JIl*SOk^2026P`Xh6o+a|I^jge_S#W%+>Tj+uPknD~6ugAU|AzzVl-|Ky zFGZTehGB;PQE*Q8m}Bo9909rbPgH*QD#cU%Y41OL5~T0GDyCVlz2)Ur<5;_XF~bzl zzI^sCzjLkpgWvW(!aWb|+|!+fN=Bv4d@srsfK)Nh+uP zoO{7kS_M(8#9rMO(l^R86El7}akEsTafL&6@F{Uzd7r3DH4>)$SbWBnoV0z0mz`nykpGxUkuU-;l}Kd}GIzk6a>Jp!u*Ga>an>OOKP!&i{s{RG#< zOYf&IJ!j$VKzb&Pch(M+M39Rw=XK6u)NdihOXgJDS%(x_f7;=Evcq{9wD=i7*#Pg{ zpzi%FI;#y{qOEsev<%LN=ktEy%J10wou}7-^Gn5Fxbpt+f8Buwv;TA8bZ$A^?6tH# z_1wMaUiw@Ftwx_e_1e_R^UVt)x|lxpELz$=|18>~M!Meay7kFx3*YJL_nN2n7u(r( zQFK`CpStQ@?Ajf#WKW{e>)DeF)62Jg;f}j@-T#n)Yc8H$$u+-*ZnZt5IBU88mF%+% zPhPv@`;k+T`+qU!^|Ylp;qj>zwfXV0zq@ze$>vG4SUvvOb8k&GpL}k4>bb~w?sy!n zTkn`$s(*Vg`n965ZT{?X|MADCe%+7j+;@sR_u7-oQ^&otj`zQKvEJ)^E8xd#&*M6e z=YHLPwf~j-@3??zxtXX{9+IPp#SniwRwb#Bl_58K`7waP{+2;Dl;*+m^YbDZqZ`YfoS9tBe zth?@*e4F-)CtrX4O4nV_MV9-wb=_4@R!*L}yMKlB-rx27)SJ6{pFjC#pA(eC}jTruI-V|<=4L2_2JvT*hL@edgtz5ddI~SPC{E-{HQVNyb zyCBZ{d*f5D52E`m{o)PJy~R%5FpEq6pUxZq^q+5T)mPPO-Q+i)4c~K$y|64eJJ>0P zCaMe5OJrs0So|-@SEjqj6>D#*muP34W$`$x8==?Y@6XgvCq7_)mA;=G7uir%R~zhS z@;K@G7TnzO!k-M1zpQU|=`X+)UA?cDN>{1z3ekSjy~Nb&b}3EN92G zl^dE#_pl&biFBE#m#{E!@P<#5bN20rm8#SDwEj7AEb&DPZf8+By<=qY;M3Z{y9cT! z|DvsmJxf-|sfe_6%s(`A?&7ZRQ(v`*?q`Gp^B0r!SqXO>E`oK6&!w zmF^BrD26M2}TZ*JY-<$L>g^4-H%=-%qyndiV4Z2>aqdYFt1pRrczcDA3&pY6J0 z#9IzFoo36@7o+TlHK#q9hO0(z_}&T;dix(+nmMRGFD>_fRA{gM+REGVZ4n(e4J>(U#>$CAIuYK{R|0sXv2dhKQP02xxcXXFSGZ8N# z-><{0VK;p!tNV3SBgL))&n^kbS~O9?(%Y!R`C-!1-oGM#DtITL;01A!Gfq)u#II}K z=XuZ01;J^^Ncs2dr#+LlQEbI4P=ab2MnKRx&OlS8;2s~`92#j55gsq(lQ|e%<_1E2 zX%4@b(_ZyNSip)mci;T`zukjRarpEcPvtCX_;E;O%^?@fKV}cgTtE*xabio%FXML9 zbR&{?3|EH@E=%ff7P$v%xfn`V}32xDItUIKN&3 zZyNHx8VuGtq*ggpAZT~S9ngYO^PQ0^$G`N>k^MLw2eRJl{MzFv(0GqAr(2d0*r{Ms zkeHRV>rRBbcx0Icssx@YC>9nXFOH-K_A-Qc!x|^fk4sI)8O@wZUp5WbXqhl?k=Wl$ zbVA`4wZX21 z88(aM-@UxqLs5lYnpOB+QvKb?oH5K6LHAD(2fI8vgJN5Yo7A;Q+r%{#73FNmfDux8 z5etO8Pg86$o=-H25)C^;GPi9`NGo^Nwx#M!Ziy@+F)%1Nt~}7FxCbFQB-R9&(fgyn z|LxI#dHcDw5r-CW{Z3 zqWhZT!e_&&mSXn?^vX?pzr5>gapl!MF8&y%K$`QMbI`5yK33H-)BxhVZ_=`A2p^v#2f^wsHVU+IRQiryOdD6E zj_8*jHs5DXsP5HxMok>IwH0lY9gvn64y$8!!$G@*P3`16>D9W>uZZs>o%^D$ov1V} zi`|KOI{S&SKc(Aky8hYwn?_0Wc#XQ;-)J3d{o#@&-q0%vO*5YuHa?(@noHGPk4`9a z;^+CJL<$S%8b4M4>zxBOKTi6Nm`88#5J$&HuUPkLpE91VB#ZpjfsborWO(k=CThVQ zpl44^EL+c*n~(I0_Q-AYmb)s@8_ei7&el(+UsauOHf@&IScM9wa_Uay#+y#GbPZCX z&9Ih_#r=2CRySVx{W0Zh>T**`+tO-%*lb8WN$Q>d#@?##>#(IBLGEuBUe=yQ^QE>G zUS;9vRa1S|p1IGy8zpYZC+<6b)0y%gEv|~6yADMht%jER!GU9H4gKy-^i|t`Br!Sx zzRIV5NezUCc_%iYG#JuSia45*dGyoC@RW@(ZJwgHBDOfNm5fk z8UMeSdKb7R&V2uWf)VjnlVN}XBV;BMm~d?nZE$VPJPa@dBumP+(Y0%dSgCdE0cro} zy1SWS5{4K^iK(ToYa5I$y?UUuYj?Y6OByY;wjL;T-96n4h+TTw?FmE|+iriqFSY0V zfAe~ggk+MLd7gQ0-^=?mghHG_`J@j-f(_k@japry-MQ%cC){h#`fvYPL#>vaGd}9y(V-o*iSucc* zl-<8Xlq)|_R*P;J+Rl-qgsrknzl@k(D@3*AFKRMKYpKF4EJdzt5Z%BYcaf;+BEMD?PFTq>z$!8GXFAZWp;o+~raMV>;X4TK?+ zGMOcW_7y6b#I*`UpA1Skp(C(X2q4loczKK)aAVC~5W36Ek*rZ%CTmpI0q()V(g|4U z%NghhqnEb3F<2SNB&bEMN7)3iHQkz0Nu?l&(Rrpy?3rpAw2H1l5EK)a#>N575&nLY zHJNSDF$eR%Ouc>Kd;j^}eU?NDZ=RLZdW8&G+r7&! z&XOouO6~+fbD)wZ0YFMc=Mg5;GJ4Q`8_H+KndJlm&1W&h;C?MNO?6VBH08qetHoM^ z@~>6t+xS66$2qL=QA-`;6Cq_w-{Ruj>g=jqZpJ~1UPvMiNoiC#;$~dn8d>=encqMU z^DR_GrO)Xuh8gj5tOXH!xZa&auNn*3!^wzQw9$c@6fc!tBMXBtd{Y zWGPEbi&}#W7z;^R&r4W1%D>5`5Q0XKkh6|O>Mg967tmMl{`}#>We~xBYvX`mwaXwV zs3FZVTfA(q#zo|cpR&$u$N@Vtn4v}8z1m3&x{?)UkC6IOB9C3i>94R;EFdtMsg7wm zs4vf_QjBzp#f0NbN*Cvnk$ZZSmwc-fZcf(wqM3A)a9&og72Q-R(}`3<8JlC|qpvkq z90yh=?l98W_I0?&$<}auLouaU&6S9mc)!N*lwRRPMwLz`!`Sq6k@eR@|0!)9j9m`V!-qd#V#`ttBz#NY*ZzJQO2Kkil@6mP#m-L1X-jS%N) zlL;gea$RJiuoAIOC zHCZpjrN?d*e|JMIiXDgyrY1P6x|?AX%*CWq7Pl1DD@!C5fYtk%=4>F=tQzA|AQ}tq zWKt!$S;EINDmOWpDkYDq^q8cU9BvDZThKO`kTHx~r2sqVw0BUWI!9vd(kg(cVRXz! zMNuIS0jIaSSlWtlyr=?BwYpl^91>YEsC_ypLcx&aYFeo$kQZh_ZmsCFE)S--v&1Eq zWle4^1ipx(uN%WU`bjh7p3r5ehod0SBa0uaWR%CPwWs(aj1?wHI;_mv%E(zj;e`n% zUdS}(YyTxZ{!p?HyR~gBp7wE%%AetrE!Q|*<;5a;au&k*fv?KM!4)S^GN6{RU zk6nehkrSbJ>PmGZhm1@3E3+G(krqC!W1T&MaV+FFkud>qSFh}L9n&6aY?qV!r78c0 z?t%_{sHQ;-zU?&e!}6Gc-q3TQk_|FB&GLvGBT{9<)Dtu0T=NBfz$>&96Z}NvEO~%j zU=8E_T|4~LzQ>#%ZxqZ@)Y?~{1JMK5Q-_I&Zo^X9@@%@;Yx3&))-lD*y3zoly-v*( zkLtALySVe!Y1OQubJj2=>^h+93tL;#E(%dEdIZ1wT}r4FLp9WRFjTBnP@dN78c56N zE7eSeq&CxXdRwlc@If~wUBTOB0&58txP9WfY!0prvsi-iGq| zAYDy%vdpN)(uo=ni?5~f6$_Zu#bNOI8_1?|9RsYd>%yAI<@!9u1dbSu~P630YH&TPUD(6>uuTw&McF9 zp9kx{%Cpj7hC&!m(6p=GHZm&#$IJZfv2F5O#_+o^t< zU7h(@ToR3GOO2>ZxP)NNp}qfI;un;WSBA6`iq7$Fz56w`zmAbZ`IUVRTw7zzpk5=*5XnDr9RK!-{Ndj|4ep=!jX1OK5 z4^m#DZbocDPjagu3O7lqqgPYUlcVnOZnea7M+i4qP1|V^0wt!X6v|vjLOA4ynFA## zUsh3!eo(N|OsT-@9gj70Rj^NkyN~oyQ7;Q|RL^rY%JdX5(nGZr$_*q7DPI*5HOkrr z2NR*wykuXx2pOTl6M(kl8d%LYPwwv_*33P5t8lPcS{m?D112`8(0To$yTLmMAuVju z3pKK?Y7HVeY?UF!&e8)h@nxEJuVgd*mdBd>Z*84q)m0)nt?*GgmD>*9f3f8OvFXPK zx{_9oT336HK!cF0`__t`Tz9Rx3!*ORkit|^DjM?rCs+ojKQJLR;|@}9HdxhL#q*63 zcQP%{5(=|IR4HVi_Q7>nDX|NuDAh}Zco8LlTCEeWg7@#uVXiaEvx0tkZODix6$m=8 zGVgOI<@ppHy|j>Fm$B|9L++ztDA4jF$}U#TZbCC!KILv5zd_KDQmUzqW*zQPhE}W! z7b0Zn7rAz+v4va*u5zyQEoMj=d(7D>9mS*TeihjFhc!CoyYiC!${Yd zI93JAN4QHyAlu5p8;`HxRiZn^Dn~ghH`E8W!u>5zM>VN!I92~&&X;aof;Qh1Sx67 zChJO^XmU@B>ay zS}(+82FM82&pZ#IH_%_xkw$k1nOqa}nv(ACOK*bdL5l2VIWE1iECXEk97u~K6V!6k zko>$>;_`^VlXuQv__qbHynMT@QYdZYhl(KANhxV~u(MU7g1cGI2Gt;ohe!-6lsjuY zyi6$*MOo<_3`+jNbb1MIT2U9~>O`*WB3BAXJGF-qZOumM6kpXQ;1iSo^#A8q$Dh7c zjLYckD(k9rPSpjeQ^?V*ti^A4;)2y_Q>bCmEFis8azmqIN;NA@KD3mOiH15hMOUUW zFoX4sb(emaAjh(JI_XrEPI6frwNe@XeaZjhOkK>%c;rYbO47F~va=`Lg$osQpPxx# z!>2E#_%oNv&7w(8Ip&!Yx}-WvtBxLdUJoSQnoy-_fwbu;m2x|+!nM9M73a}mICrQJ z-^EItUdqIi@&#_Z3NI?KlDf5!U^*&Cm`s@^ zQyZ*!sYXz{gx!nKXITv2PP6L!F+qj&LJCf5B6^G_R`Da!fUkm0;uPYUG1dJxN(AtO za?wCc8L!|x*>VC>ggJsJbsHvGP?_R1?20lBDn|vdQVb`tApIEDtORbttpwlb@gDV6k$nPgZ1AY2JaTYBPpiEx{Py|G8Gb;WK9O53G9i$ z{6zrO)#6s^G0LpxzzCAW^|W8WKG?TxUUzg4WvX<{H2%yhL${eno{~+6j6V8Um3Z6|Gj>B&JnA zrWV}{Md3WJZrbD>xmP8GN6X^WOuIw{1nrlsssoWMa5dyFIW zAb6Y-GRi;zh3|7zi(Y}3f%Rw%EMqujXFH(b}2^V znkeFBYmzAIlPN+a;IbZDK@q4Q;b|~|jgwZjhZ!e$Vg(7LYPfepY&v)t_SCS=tY&F5 zk2Tf^G?03=aNA*6Bky+M)@LMriYRH8Xr&ZF;Z1nbF(7J_w~=qk@E&5~*+#xI%)~NJ?Gz5$p#9?iUns`jrCsA%prdr%sljvrX z4*H5bWJ6iC90BGOjN>A*Y>nZvTc)8K!-19|EM+8h6%IWMfVsg5g!ItmnJW~G zhnX24bkpiu#^k~ftwPAHRmR}7Q}mc^xfcBXHuZWUDXOtyOSwkLEk~k6J+g9Gzf}Y` z0~Qq(AA*YndA=lQtZFxgVJfXvt`N0?T&Xs@*H?gAp;qJttb*4v7-}t4-w(Ga14;Q9 z-VaxxR|SIXPo*^%g7DREw>FAk&N3LIeiKF;QfzW3`2}2M^#S}2Qp5q?&5=CbAPmD* zsBwyOga3?N!jtTBVtKd;S@|TZXomb*R&jG+>N8WCB%XRRW+l5*G`uMC(5k@eprluv z<3L$~Ptg-8iqI*7BqqcWK)1=U9+DA3kC#*W^rD)RWSe;M$LKO%PLq zR8UVr&;t)Os*smVM_wwB2-I|1F0rH1r|Iw6JQSe)MjMi6P#suiJ= z!zja2LR2kUNXI1i@=}ZhM;zwVsG+}AHRe)0y9&ky$S0QES`3kDS+gi6;l{9QOh*>R zMy<^ZrKdq{4ThN&M6(sbM8dM>x6l5c&+h;FPq5p!o5Z=S0wbH&9>&AWL&8wXRs>PoNs?B9a3?I8N?Gdy zp9JWHzzw^K7HhC%r1pbtZj}I4LaajkPMkD#FvcK4Dw0z4oI)b7A{JJ` z0Ig;Yf*TV$R3i3flN(0(TnBVI);k(sb`)2U~5(MeRT_A{4pUY;5Kd$mCWj5_s0+{B@UO$iX z19pmW^*_P+5jsFw_AA6Mx~8RGDsTc3jqe7E*=%~PlJ6i7_03gotsDr{NXL(?4*)sl ztkPG%<342bNOQv5p^Mt#pu|~TAT=Z89Oot31X)y8?b#uX5}VP5Dssj&J}?m)#>-05 z{m$MxpVmRvk~vvQA}Hsyuo3t88;_W|4*yVZVuOx4?2C2Mll^U;M&oF+Tr?%u$x~hI zbYxyZ?yDlc`A(zQZ=5s-NV2c04Ihy|KCSI9$tr*_M0;72L+arQx3TNja!0f!-;}NN zK7FfRR*`c|ofvzDoED`yiYquOl0Qn@=bH`vzDzvizbNFI<~bizweewYZEk-C9V(HI zL&DhSp6%5&)s5Aog|-A~RMObh`CjVox3xugcQTVqhY~i1=KOvK5vt_RvcX!aKCeQD z?qz-<_7YD}z4bXkZTJnsb@HUI;pY+jQS@gbVR=a@_VhhV-66j04Xg)U&3D8}y7a#0 zz2+hLy7Fw;H1Q$RSM9fpo#Z3hHuULPdic)Vy}HLgFh*?RCnMAM_SvMmDP@E_JK+eV zYWuIrakeIPigjjy=E5He^B4SGslI6Q83TVvSf5)pqfG>haF1wEu3dYn9G`nK%XZ@}zjl4#9?4aZX*@DkOs^NrJM$F? z$Ihd&=eipB>il;aN8OK?`1mQ2Yc}r7s+tk6$ghr7uU*DoY`nbdS9t5%!76TFFwgHE zk!SEf@R3)Erl)>Sx4WvS+e}*y6T6=%dYON_%*)L=J?Spd2pIKEH$L6pa_^cy{q9zE zVWGlx!*86`9Rh;1n5j(lF<<5u71!dYA&7CBpQE$b(;sEL&T@0QdS`E1x-iR53GW1F8COno?B zvrek3xF&?YC)f#g^e@-PVqH?E5?B0HGY6R8OY=>Y6gf@}^rouo(`dndlWs>1K~`EsL?a)a_5fp3hTIn zjYV5CCtr~txp3p+&tHFS%}&pEwb&9c;m;eJTe`&O2OL%Yj;srn4C{PRo@5fN@xoZW z!|$4yc&FacgNls#__e{<79%~xUK?C(EWiUcd8ut^Ffl0f5N8N@=6i^jiD#dyQ^svm zwnKz4juxNp^Aq8&@NV*&@a@x-aQca`k(?4nh(n-Rc!aACQ{b!MDkXfJA0eDZG~PTC za-P2X!^Nk_&U@sMNqBO|Be5ONW^R&~E#gh$> zQxQ&loH90wPvIWp4;CK>e&B3;D$6r)ufxM#AKY$i@Nkpi0eFnPOu^$!WKP?i*_dss zqu`9VLSKfhM%AVyLR3Gjl=p~&5>&4 z5eDyHDoItCYAY&~C2ShMg0O!548*X6-S5-`Jc6W0m2N=$3yQ@#z-u9gVN2qayU zWQqyPob?JHR*-cmB;Y4Q!U}Gyz<2_U@_Hu&z)Nu`G@`|pDHUWX4nV;fu@LJM_zQxd z5_yHw&oIOMav*y)bKvOXTj~s08$KZ1PEd`d-Lyc`HFH|Gnvror)2OYZcv5ga$NDkG z;^WDsF!%BD*iy1;z=}~orrJE34Kq3gQ)jolAWK^>nX+X2HU39pYl8xzp z2|RdA*k45~t(4^`2Vutl)YsX=#}59`&7Q(2WBCWli< zSV}53AhEJp!cu9pf)UjBAR8=SQXsLzI*MdBuV&p48?TpDg#;^%@mBaN6jV%sV8xfn zLcc~>Eo&my<%A66Q#Rj+;e(7jh1aK8vF4|-W=68gh=Ms`ezXe;o3;s}nz2UQ7?!1$ z-C@PrtREp(F|Y%Ji4lU+$b9Kya1f>fU$&GcloC&4Gb{wu@tX)YuiXoF3KVoBED6r6 zlnCtq>6cCa{U;vwU)&;vGUT>y>bWWxT@?#kvMvwef+#%4)Z@4J*X20~>n@ly^s$+E zVH|CYeu4yL|5_={67til3>}gfYRQm(q}!D{6{GjmO6fB(jURoOt`hXkqj@sxof*t~ zpfHA-)2R<}Vi$RL=TvM+ipL6-=6X5z{5PT>&Af$1j=7FJ_;;zoJ2Y$ABb!8s%9QY} zUd`7P>D)@oR@74_u$$Ono=bx6uCu+k(#h6@&^OUaePy*%VuQV9qSn&2H>Jaq zD+qg?mLH*Jni5C{%+aTt9>Xko6`Q2evQG2lZ0Wl96+4oo8Meuam8V0RHJ3i6-J^q| zK!=3YfYZJ*lhT}}?(q~xwdcs>vZMKAUp{dYm4V{Gz5*%Z6~AnkUG4+2r6os@*p9rqaqm=B zX-3c|?HAs>n2pvUo2AuD;8W4mDL-w~y7r1~Kzogwm3pqbedw*YkM7mg`Gx-U>d!%$ zKp88jY56bq673)IIH` z5Qfw8guI1}%v2;t2e(ua7xT20WNUA%w5u@6>BA*Fr0oc1I&mPUk}L%va%8-V{A=M# zGA~+Iv3}5Co}t(0#K>4S>J~gSAz1eYu5V1on3R$ zT;FGkc40IRWk`XaW_%D{bN1Q)sesP0`mt;|kL?-t8$Pn&HzJi#4P^!%kIS_LVaK(q zbCxTnd&KH857}_U!e*9+FNG*Nju^k(v_&@kgl*{F$IV%41rrh4OFG+(-q8!v0ro8Q zGZqpQ<^n^vN5RJ<_}6kJ*Q$45{P<)lzhc?1^Q2wY6urR=hVNWNr@DvVj+)!dE~n zFYZkrm<6!Jm|J1E!PbcrW&K^`{)&qhd#${N zq?t{5(QLV-&OD0}hK$xgwfRcNlK07}J1vG-^oVZ1Zv8A8GVpEX2}5W3=exL(AU9*G z8S*aic8t$P+jqZH$<6*=yO8R49neKLQgLOhZmr^`vkmn>Lw=hcO$Sq&~X=m2u zW%vE}t;$OAq8YJ&kqzeB>hWYvGPki1*3nXVh-QUOrpCDQCY5_g zEHj|+X|cr_EVL=d-Q_jP(KC3+QZ0uK3~>H|))E`+@em&7xQC1@HH_r3Y-OpEMp@X4 z8~MFe)B-LBP)s!-TE(~x=Y4o-+Q1Ta4I)%N0dKX1JBew6nL>1nwViblz_8A&qquM{ z=?&rSj5A$qrgJ?&q(~IP<>CppLOv)Y4N`fKZ6%IXJC0GV9FgT*eR=5vo$@vViX)A= zST>kus9iZSE6-4%T?c(!yGJn2+O&JWzu=JbD9G@ARhG6rPo_;s!r6#+17nxj`91Id z$D7~${q|ese4CIZD$T%05sShn5tlAEyo5NfY%YMvVx?5mM*PuPdW=b%BRu4&iDtsb z``a9|=%$VEQeT1)N&4xA97>45*&@+&mg#T|8cw&^#09YX?Y&PtM$9ngYJvr&!(Ri! z@OXa~GiH+@PwUFIGOv*;6YKFTiFrNpI`sJp?L6u@?qz09E5R2?^b2sTpN%NPwm)#R zvr8@#gT$bREU%_&2b9#v@fsF}q6yz>IrL0;kP0Aqv3Me#kumKHI1b!kt&8yCD>-Ya zbcC)X$suOIZh|_X_EyPLHc%yKXHTc&93;v;$dhSeOG6&25(go%4H6neR&sIGp&qKl zAqyMygD(p@$PuK0p+Y`lGA<)dldi|54fK)zTCNywjPNq5R_a10QKNRS9ucXyrPhaj zBo_9bK=DK_?IOAz3+1?I$nf-gHwf)uXeWwHXFaPLV|K9UwJcSee9ekVc zif_jFPc~P$zQ4pTzyA9#@c?9|Ob2N4o1-uDB?U4hoUjM^Yo?z>8|}8se~>$1mm^Q^ z{G6RmT{v*2lbt*^`Rgh3fvS1RUQrdbqq{%3P_`w^-V}cHQjzhOzLG9-`{^%-`s1%2 zG23xrlD}3o@7q(CVGH`;L?tjo16_CU4@-bNz|pVp|UBI&6kSpSoAS#Cz}kt>=mL8RO5Q zlWQ-BHvdgI<2au%l@PJG=?=lJ`xRsX5wE|vCAa*B;aNwvu(JlecHCljcz+U#3;V0C zzs?^X|7s#Yguko~7_|!@l<_rab2}N@S@Kd#_{_5{6AcSo z$-_^6>HO=Bz2Ds;DK_zQaqupeZtBbRJ#TsNbDpe3M9h`&xVM_p$)C_;)rN}$3#s=# zHgE8^WhfnP3I(%aAu1gi> z1xHV3Z^b&U%UsQT!X(OCQY$|3mleN3W%oAQ75rZJ0n!{uMNimMosXk{g&!I{3}e|H zg??ud?Ux?b4EG*=SJL4rCM=@e^4Y2P zEe~5dD?Yi{!L_^$N&5ir@o^2k4PqC$hsY!Qs4cn~=N&z{owF4)oqVFal1wxS7pcu} zlb>%B!p&WB-dm7tV;-|}@KeI|5t%~dTu=D#g0-7U;XBdPyfwfizra+L&D=F6<_sbvM0(GktX6 z*xcA9;_lh#S@RzU*9Sf$$%(Q_QcB2dhX4LM9`;vv{jC2XG5aFQ_HF}b4Y37P5!ac& zmK6hn_%pw<@HaN#cPwyn(c50?fWs3fs9MrqSfyoP9CnR$#fsm*{Yw~AU+et?Gja^Z zXJDeu_x2F!GGqjo#LAm<&Sz2yvp;ub`MZ|d%|5o17KRN=O=E9YdZTURO#TdoVySX0 z)d#4OP6n!}7|%f20$It*kpDz>GYR;c%g(aQ`?D=?%h|`z%X?o$fb}8vLn|azUE~>7 zYVAFUQd_uOf6GQLvRS^|OeP+z<60H5)i)vtj1achwelTiK5_R6xs{sXg1xDs9MlUU z^Fwmm(Pc0nZ>K&I+Rc||R|xVAHY{Wi*7C-#nrw16_rTrSAMe=Z5R7FLEaXr9TI@I- z7xI+iNCmag!?iiS{O$h7e|g)Ur@yNpw>+qc(K-r`(Q-FVtKCU;@)%(t#;m8ozlyIS zgbfg8gs9`98#@gPMX{9c@)U+v*(g~2s@FNV3M8sK(K}X`W=!BIH`a<&5I#Y8q%kW} zTSsISc$x(qTQ08PV6e*P7FT>MsbS7i7#I^lLmQTTsGDBG2!OW^r+{mAg+MUj&akwi zU+umP7O_6(YU*iL0@7y-(nmB=>j(jSTG_4kLI!C>(`riLIUu267G#C-8efKV0yQD5 z5K<^>N`{S)G};*>#UqCRtMTRe;AUS!wOIKZN4C@stPb3Ns~nOk1*0xxDl#!0%ChiK z6n(Zzeu%ma5tTJ4Xk*AW0%yYR4hKwzVdz(Z{-X)F{YZ?6)t+Lah|o|=IRrQk*IGtd z>x5#NLZ#p5!2?>vC<0$X*}}^A%Ss3)t)`i&6op4v6!VE$t^f*+fvelXi<%Zxxorin zkf*b_kwOSRi>t3X+OeF%h>UZK?uTTP|W+1O+(0Fnde^?A2PPi1m@lFuw>U zQ@jEzUp??XvOEHF8}YIY01xyCp-c!#J|?JW7(5J=fC`EZ)j{bcSpm=+mL#DQh|t+E zn~EunX2ui**`y?!w8>$GfjZsDsq?w4rA!dj%LQ3gU;v>s3%}fd;m<3d)88t++tAPC z^nokFLTQgDAa(qi%$`3{QGes&B@1$HC5^GO2iBCDd9WcGO6aw*n!>paHTkc#$H{ym zEM3aJdkWqB%SLH|%C5{FG6E)2=v5KYydjlQ!#Y&K|P^O^g8S=EEiS;_-# zwvc_d(7Ev^S=CiP7wATv7g|HrjW$1;5FlN&)i%f4OZSC4-b)fBeD& z^0f>2Y{kBvwso`IBs&f4;7^;cS%Q0oVArwzESkzM%d0MkmE-{$S;w5bF6 z{`em^E9-OKrw&kGM9DV;+fO&N9DdprIwvNXMm*A$Y;*2 z`)gS{`S#88cHJ|d*K217N^>qUUq6{+5!Y0`b*omf83P}CUW8>!=M~GJEe&5BjAj4L zKQ1{drE&S|Tur=5vp|n`Q73i>FBx`3bJkD3B887YrQW=_F&h73WAqAjh9H$fJD!;8mDaUQzv^frn0>{bm7OScenCG z_IWZ`?Yl$H4VD>bSG9E4MIDL&zlfbyiEh;~tRox{Bo7IvPglq^&+Sq)9C#HJlAsV=%$ zjqi{fj@-v}mH&f0qN^nf$P(RE<n`wD zELSWG=AO62FScE5_{ZM2WuxpYB5MiFIJLCs?jGq6!UQpQ=S6CM-MbccttFql`Hzk0 z@YQ`q-t3CB2g%FiWdag}E%v4;)F-i*?0CC*kN?psZGsRpu0dULx>ydUn`0g#?S{I6 z&OP&0hTZeMaKgqsl;d|q??nE*5psC!8L01Pj_4Mvv?89pRmrFCNE?Xsl+tOdPeFZAYugK%vCUj{%VK zRJK23>Lk?b#~m}&1zp3iH++N*WS%N3A;TaxS98=YqPy+eU*EFo1CddPFgPpR+ z<$|&=$Y@!1`l*Vky$Di<26OI)QG3P$V2U@)op)T?Eydrhw{4PUuEJEbr!!!X zKVn!IMxtwd=ys-boJyBa(~wF*d^U5 z<H_b1WDoSu%xoKDO6JG2)KyKg7z$k>w-@49$Ze4FzJTnk zFiH3GCv9uV`zRoL)Jl&_ak#4=wBw;q(Ojt4(Mxp0?B=HJK2yMk+9Q9gIfO6nFzIWP zry&zl40609 zC4>=Dxx*zP`Hu~4=sH!S97M28V2rJ33IKN#!Gy7x=rMW2H-)Xn46ztL5l+bY&UR|T z7k*0iI7<*d6h0_SN)|h1nh6idrEpo-*mmlmkYKmUaF*9hjn(qYz#WK8WX2Xj^lgrz zP^-!LGX6_r8aYM9(K-|SN;@<0hn5f)VjCX5WZHaKcZ$evEO!S6Jeq_IS z_}}8gPv5HY#pxIyD-!*ps#=!8y#wKLeLT=TVbne%X@a$koP&pg`mm2Ygqll2qPmFb zk&lI=>!@zQVmG0rPJj#1mV0E7pPxD100`3(L=2-vgHZ(vpE3^cb+We25#1)Z0H9jy ztjGIlD7YbUE~H;7b~q$76CTW@<7_=z7gpA0S%+<-R-w$;;7SiGTw5ps^(R_tm{!{q zTFn}OcTeplm0F>S`XhO|Q|7?pfma6mC;*8{Vypn{76Fi<;yj>zTLN|qk$C1?vxQ4T zUNc40NHW|*8A;KZibM6lku_kz`K8!PG1OKQ*e!5ba8h)y?OVG=g4*ioay+&)tHBGX zIKY?4hj1ls)3|aXY`aP3CbGcUdJsXjpxm-O2m(}VM6g;gaFk_KWiae>1}mlKo&%c= zSS{R#(5o3=mcRMW(06TZ)dhZrYR;}$H|l@u3fZuK`^AR++s2?5s0P@|6^dWT)m$+K z1Cnu~WrBF+qY0wzqgN#H6^V_1zA<|9^U@;kFPR?i@uthrqrTVV-*p45s&gj{a>u!; zD(G07a%@g*Vna_wph7okNtiFWs$P+AFb`QO_RPO;*fR|SyX#12Z{k;>tyMEi*L)j1dC(`H7 zo`bFx$~JEr#?N{)jGxAjoQVVXb$Q6^MRG>@evr=eF7~nZ>{pcd3zn{?r)Jnk%U?D^ z-|AT$Lr*+J?(r9pdji@0d)~`F0ppR_(ym=__^DmGrUSa`{RI~LHeYAlw`F8tyz}Py zT(po2%F+1?17EbKu9^DzcjIX6Wf`#MvGUo^*F&8@>^zr#{SA*a+CvtRb_wRWxdc2# z?VZL6c7m8+x0QOk=v|l=!R#oI{Xuid$+zeQZrz?P*Hbikeyz~c6fpQd_J;!|m>}JG z2=AzF*`vb?~VfK<6e{LDr__MO690_lPp>(1nR-BiE$oawoOa1!) zYJm#Bli8eOp1vZQi~Q;GG&Pt$HJIZ%_7!;vdH+a4mFL28*L#jDE7GOQi4UNC{~wDqA6iQqJ3o29gBvVHSz;`Y>#cZ_O<3d{tu%yM zyBok#@r?71w~K9e$#=nD2^ty8-u3#J^hzxF#rG6^N_OTcc~`TfM=2Oa@4m0Ar}|Il zJmi7n4ZV*4A`Q}FHCI1x2|!J^mw$s`wlodZoOzo3xcnfLS)4hWTUXIZ#!Pi{pr~jstzT@@ z60~U>_-?2iFFqm2mtp!cUzAVIZ!Taf&dmoaxcOk7q;V4Uw!0)9JxkqS_a9Xf?2p6m zl8fGxhe|8K<}}KD{lQ5&=aLyFQs!RoIxg^9|0{A__byvc)$8>0(e>yMwDwu<;dQ8I z<~*_dmFlQxAdL-t1sd$ zqxrf7wBWo`*>X|kpGE_fcYf3Xv!g5A_40>c{=3+UWARTd0i04CIWz3~oPV$lfnEI# zfu`WLy<{2P)tjy4)j<M4WpMmUR+AhLntCo|q4bU2#x z5Q*!UkWxnlkBo630?w?1x5HGH0N!y*0i8;Yz0lYTgpnIOeg0<-AR;A>&Mza}Dt zM5`2WPn7lXlR%Y!GZj%jE2M_Q5I{Mqd8b`r*CHlWlDelFWivTqU zcVytz;@`KQ{?CgP$@=a87{smqzZ*mq-`XTh4MCFn#mE0=WB>PclKy{RLPX_vuT!iN zwq}U>dC!`x&ELI6VY}%8lgy|UJz^mao~&T7{4)8xCk55bd&fW4@v z!BV7B*a|@{gLdn$;dn==)>H7$Vlgj9NkC?Y8y*+SFG&w? z!Y;rISo|1%cyXs&o3bbnyo6AkdTkQvR%y2DF-k!yptC`EFeazCL9JJ`%rgj70r%4a>tOoVf#R`<5%2kU;!`AGp{kq~m<6pVqUD(z*j(z2<-B$8y z;ms=Wl-vYbn(*bs$@-ly)y#ww8_rCCzXYIaS504S4*&wqb}4jfI`r)-<)sH_o?W`BT^Pw}_s}i0qA^EzoBoz7A zLw1j4q1Jwy{L%rtEI6KeX#3oWGw3p~!W_pEV$q5E?K?w}n-A_ZvI`I0=MP+CZ-mcy zOmN+%`VX0d_1CF~ZBK<_*NgTOk()L4B6gvo^2%IWpmzJ>gA;&YFu@)P;Gx7z`w8!> zfJfU;oSwVfOT1Kg#%MX@oWq~8ZBGzqQem&fhob#u^7W!U+wD5f+~sF4*o$mHguCFF zn}8M4jmOX6@(uIz+Y+XSUwvVl{j@(`zmwey#5I%cr>37de)`}d2pf{W`>o)*D|S?G)SI1UeK{OLedpWq{Qd zR+|u$2P8Gp9tO161R&8eB$afE$iQL&vVe!oEK1qzt}m2pXK| zZ#EvEAlvZE{SZwG#MkR^2s`O*E+DHM?Z$(`BJ^yL=()`c>uvZ5!4Y7ci-sb*!J8vL zgE|~h2(BpzAR=Kb$h12^y(P}>aa72yi|c6AoabRqqMRBuLmh&TCYi6C)oeB1DL0%U zj2&p0>OeJc@)20l+j0mwmS+P@D@jabP1_a$br~{%?hwG7fdXj5+as&o1K|Qt{LBF`T zK^EcSCc&qRzlo_eDvhvIQRxu|UG4wB;Z%yux)=Y4-6HTa(lY0zx-ZuJ^wsb75tk!4 zlc>U5F)rm>?3Ehn7mo(VfWzYuIEJktmr5#aE~SDFH%U*ZXpNK6gA6FOG*hM_s|r); zX^7BwtK2LJBW$A+W-cVftKAr+8t|a+k6gVj> zMhh;o&yA(vP&%q~8IC4mV?2WVHFpvs-53ep8zKq7P8te+8N}FGDe;>ve|lj}TLX4$ zOQx2}X3H-KA2mv>art1YEhTrsmUVG%U3?8@7( zfUJziLNujjGV*dctPmt`?cgC7F1Wgh!N3RO~xS}AVOvs z!UT{sG;M=xHFGkAA^a*STJ(0;5>p#>s~4nQ+S||F%rJxj0x2}L)OA~f(V}(hLTT6S z-Q8Paq-a}vW!U})!f8RVF5E8SH?RBD}3 zB@2pItCHZ%g#uHf+OZIf!HZOyfC|*L@Q=k59UMxRBx7R|C~q_l1_UlTIFFO6_pQ0L z_R!!*synwyg&qVO^oB0~er2w{x(|&rOE73&s64q|TJ7J#ltsmgUCN?pT{sRR`kg_f zNw;qn0y0}Sri0RSg8Gq5@7FfSt91SYORo+=Csx?Nt3HNmZX^$175QaypN(b%~+) zK2OmO`yfLNNh<4qLI!}9x0H2{xRqU>P(qU&ZIiXCbuW=g{E-mZS7>hc+sp7w?Q28< z={1{QEg*{4(t!(93Nn{0Pm>#hax)brwXPWPAA^eAZ_sg&2hxKMlx^fD#J6Q}MyL&g z{FEao`TKz#TD6#id$Sd?3C9b1#}i}5B_oG=Izl~0dpB1aUrl|*a-%PykzLj)-O7Pa zfABvm&sgs^?FF>h;16%1$otn~0d}2!EBUoVOn*u!sxAxFDZe5I9Rn3LU2Y#2=q0OL z3zF!zb4Ng|7@B%iCI+fZCcaLoAWd!Dlrpjk5k(5Eour}C2wZyM9B6=oeuWQlwW85h z$wTSAs*h(@qjp4(rKYK1zE~+Br6o`23xa%xh&#l?ri3p<+N3U7t9u*I2wZ!Sbjev; zRZz&I4or#aT3rcw)+um9G|;M$z>{crVBmYM9GPU|j%7@v0G39+%}TK&;)t!S2=E|x zqeybmS3M;%j9RXq&d1Y)NN9i)5+hHJt+dL%5|*;P!ME_~Nee#LP~4@?DR_aN(j{A42u>>V25LHqs=W7~G1Go1w`F89wP?&^ z03GLaYMeAaR6&uxRY0uh=5-m2qu4<-gRTk-9R8i(`hc)poaDz=^V)a03G&HoHb&Hv9yw{r`pErX+MhI&OW6k2TLRch zM>4}k zAu0{xSZ!bvQ(ZdwP0V=x^xalWd0$>iD)eH60Q#Y8Q$XS*#4@*lD+t}{l}$XxtUo}3 zt5SLiF_tiHW^!blxKCKAi%_ka2&OaGz&ertX>&bboBJyiMFLz)aKC_EBEG<1Yc(juNv+=OD?qv+cg+h9_}k?u`e zZ-o$BQzTX+rT@iZ2kQxuV@!)M@*?Cazyl#5EUH~Z2+{s5Lb6Kt#f`;!r9R&3^oO{9 z*%Y@5YYiV6W=6^oxFK}nQkuAAzeRv5M0QU2U51lGNj{cs1csd@5!y@ zkJBR-rYwG_C|iDq84@tvQ_3C0DW$}ZxYe}8S12j16$!H^vYPmmoFH@}xzr~v3Drs3 z2$CIs6Kfx({NICOD?ga$7}jxzT|Tm6g|hG zmp1v#YOyxGM$;uQTI`0^aDS=nFl&S|yx6-~aW^4#1y3(B;QIR*Mq?5e=}7t>j2?j~ zPe@YChSL~yvRMK@^XY%j{`ti_O|az2^<|{nv(_i&r*Q?%kAg2wwV?QFTA3xQ1DmV3 zMt$nLN7*6&;;kBgi=nMq?(K~H^l>5_as48c7dhjeJ~OF{RuN&@vj%6jA zvW2*bH1ruG7VhvQH#KsDDyM(O(^);PSwP1_tdAVeZ}xXRy3Rb(N#%rMhZb|wiK5IF z{(-n#ZGUVa?nJ$g=EP*}SBSBdGu?Cx-&p(TdY^|yDt6zQ|}y?Ys-rC*30F! zdu;Nqf;n!mjmg^3b?^SbogPRDH0eaTxWc*|7j;_w>DW0)fi*R!XjM=8T2Sj$+CVF{ zMA3B-OqG5EFDrQx)u_b5?=_RiU?p&AE}&TQq9pK$94jqW-h$e29s9X5P}v_4l>2!z zu$nq5M@*8XZgIjlo zyjVY=jrA6^gL=HyECE6;p{yc@i3Sca@~@Rin(U4nqsBUacF(EsfoaqDs*VaeC|t zm2b3O{^FeU2rJi`n%)v(;6HaQ`Y|oPAa&EO!sZDWF?Y)@IN0I*Gs%9ZM(r z&EzST@$+Vza3)L72b;J>q5tx1!>29xk({zP%^<(j&oUePGTdrdOLFT{YX1W z3e4r4_=Cz+Y^Y*cmEYBmF^soz;M#wle4SqTXz)%8s$}3yVmBz+If+;#vNZd0XskzZ zV`avvuuL9-8wsX}B#k;qGQJexm+EApU$&Ekfwl= zj>!egDIGc+um)yMDeivuR8(v-EVe;vQh1`(%I*!~ON`at-DOPly(Rga)V)eztE2?R zb}B_7)TjCYk;RC~q3i#=wk7o>0btjMbA?M9UWxZkQQzU93o$ zHnH_po(@H===tP`wPb{dXwmV21a50>F`T1gM-EXwl75n+#Cym`l52)?V|>R&R9k|Y zqX^DhtHJ^A7VT$~Y!RR}>04w|7aQvWO$Z6Y zh$B)2hd@S1r@(sMr+E}5d18bxu1F2k2Ruxzl1HAkP}C&k9k5E-QXs1x%v_=6Q@rxX z$9Eg&J^gY<`a16;5Xnj6<4zsj>|fV9K;O>J>Z#LBkfO#-_39(KsaU^Qy3^SgKT1ga zVA?Fv<|G~Uav&;+7MhvcYEY&`jjM^0C+S8~(!8ciCC@qOftEAxDfct^)Z0RXZraw| z)7Nq?QaW&+J5Clc?UJvzO{YiCvI;Qg>Gj^0AP)kgBZpaThF%qU*QLye?(C=( z=x?DIxL6wjv7AJ`RZ}4A4gfsYXPks;)HC8Zcx)xE5Q zkyOFXQZ+d&Gc?lsf%H1_+CJ%A(B9lj``k2Rp2LBnu56NU*;tYl2l;`K@>4m?J^UKR zvg^pZ+gJSUi92n)*p6!oakoI|mHu_$c#cO9?F~rN^;E1N$_ayP;;Dx7~`Qgm_nx7@|qyXg0Y#oo~n)>*pjFnG}+)az(koPWnVAV5)^dgkNNhV|p@9M=8O0 z0Y(#w#)>~k@5~gl}Bwf=TmLuq*orWgnH` zs6*;3RvstD+Iq$ip>2Pug`71zl0>^6FDEEHMX=}u7E6J$MeECsnyF!$8IqBm&*T~R zxF4B37r>8T#dtN@JWiyTQu~a_lvL!v)C39D!dU1i`jqYogY#s8SohEfSJ4^^NXwk} zP+&Jl;pL4mL^Z zP>7TcS{+pUdbWlSs1~z5hm<8EEl8OX>_OFFhbBm6iz~{Ws{3KVwR>N=+X5<;0$DO0 zBD{=|3#M=_B<&~DQ3^$Zt;O&v6*u5WF>;Wb21DdEI>?~aQx0oDSwuBpq$xwDWg-W@ z;WegIRUD;XqI=$7A!Nu!eUt-QwcpVf2r+a6V2AybsiMRSF+S z`ADMJWCTOE&J1arI?AZg83>wI(IJmRR82~%g}q`(N_!-LUAfv4veiLMm(IyB(A$tQ6YG-=j)A|}VHP5R} zStVc{R)2)ZS{lqr>bZc1C3LBR&u9yA!gANW|Md_1<&|&$zi*pDrD`By#3H`p&~lNM zR4iV&l-#eFq+Nco2{v^}mCx7@XgCev7gZt!sSqvjB*c29m6myRK&8p?^%4M9OmQr0XPXqT zl>i~k{rWWT8uRQ05E-pWW_2PS=Tdl99AFY zhZ8FDo@}Fvm6)x@u}1`(7)Xe$)&|}ZC`rp$jpE;Dw*Bh)m9I?Q{n(c{UIM=tZ>q$+ zTO*v}A}$s&bW8!S0odd52UMPJ`H2>FCU{T0d{)ON%Sj}h0s({4a)BP;v>GJC#AJ2Q zOND0Ei=;B$NI`!RLkAYq>a}bh#Rv(Kk&H`eb%2p$L7IV~m{qeOY~#294{kflb*q-3 z01--|@ADaBiX4Q*K~kv%Gvu_Ka3uB9*)0;OAw+{A=UzI16|$eFDHl>xkaQ|yjY}CQ zibxo;)l3O978oZ~PNA-a0m>vr0%QVHYAj0(I%|kH9@VNj&}XXo0IO)+jdMEEK_n#0 zyzd+GuQR(HcbfzDuTnbuMnX&y$YxaPG{mdWawyEz2v3NR=YpZ#>R5~i&goXv|CrYq zS(VyMQ{dH-H`iyB?ol4OfD=I9r!nE+VlXMb08B-)-_cWvNk@#)g(NVZlc070pk#DP z(4c~328vhk@Xrxk3z{7EOd5uhPp&tvWE2&MRC$|(#XOvs1hJ~XpHQe};&6{7@v_%J zb1GR!BuQFG^m%b0Tdjzc5ye=oCX)sd*K(>>>97%OjDQaUhX-=3;~r@jF=7M=VqoRI z=dRWNbS@7DnQw3E`%IeqmW!nt;EZbhyv~s#wkhkg`dh_DTKcLlrS7i z62RV!j8%qDp`r!=85W5XVU{kgO3|jgNb+Lz2~~|&UH!*Bw}17|Grx1(`MgO%S*3ny zVRnEjBG@&g+BF!;O-}K!X$GPu*2SyhfhmX=1Qos)^I8pHC+$ctCIzTOfL6=8M|cPZ zB@-1=J24bT!38lUIc3#SE+x=I@%_sC_70gJ+JO@ zzzL&G5sX-hz{n+mDH3K_S|SA&@Un{~fVx6&Lw(CKe#Dr9UnKZtGR1SMkr!3!R1|lV zkhU_kOb56}t1g#0G>RAn2DjzP-#e;9WA{9K-<^-CmN`D1mNc@KRR9Lrz;G$Gq*m>~ zl9~YzT74O{Txmd>R$c>mL0V1Li4>nY9XF030>T7&!ahbioGqeidHMiBIsny5)klmf zF2!-dn?e?HV6#hd7Zg^Ch_sHTWd?q?96`UNNXF$}jYHtA5I_Q0cVR9slLS?ah9s&n znO3i6i2wnZz2%GsPFOOiAQ^g9t>q#{9b3SHV$4$#TLycDoN5tP)zKm&nMsuuw%F7G zf!72yjIlmjK~W+rzw~SS6Mz3aaOVT7ru4Jy-c$1QK(pLXba-!+oS^2;8K|quCAQ(w zcnLZAptobo1!f@FGgG_SIsxJR(TowY*D;}gjL$nBsT3;;@F3+Q!co2^N8G^+VVS&0 zye-`LeYIFC-m|9S)H%6u)vCN^eC{&(%Ek?%(e*j&-RIsO^?ls_W3ljod{@2YWE-&%e@4_6FC_Ql;6k1N@_brtHB} zbD+p9?r5zOj|>08pU%Qc6JmuPJ(q_%?|_1a6J3D0#USTMz{e~ryh$WKVu#CK-OtLlH$ zyO!xZyLDu!sP2=VhR?UOU*nuO@m9tL&qKtuarN5$Ni^*;4QW{Ni(=AZ*7mo z+1YHH-Ml7MI+}VtbN$HMUV65z@Z#$Jw}{7sw>ZUsX4$NIa-!qb1FV%oc9Qs?iOWCdhDa8&}bn$URSes zUCuVrx zoG5oP-Px7(=b5&1rA&rS`4c5|m;9vSVcbbqDP3!~o%kq*$h~ac&n|kLuEDyA{&Dhj zmd`pzztvu?s~YwXJb*7%%}doDU88gYLjnkTaUBJ&P-uL-J4s>0{fq`lGL#hR)5>$)|ln$4@t z6B@{-{I@>l)BM!@iE1MHb3Rf!A+BC)VLHBvm)`$LZ#*h?3TxfDPi|uv*7rvLhTWTv zjl2hVvm12f&T?|Y{x{j|#Gvihy*qYSmHXRtxm49Z743|jSsVSporU}JXUolzEn&|A zg_*llO`n_dyNS~;o91giIJ25HQ@@YQ^k=iX+VRY$4tYkd=Veq*JZGBO}!( z=$X_=+UArds4YeoiURp-#YE zX=+aToKP*uL!O#{b8iz8U=VYXKl1#=<5{VBbMD8EfTJir$>%)JTf^i)q%^i2U3a(h z&%4l!05rK+j&SFm3fG2)Q=iAR-`+QWdmq`R;G%G?9NE?m_asn%+Oydip^U%OThxiT zlJTZvgAwx5_%mj>o3G9F{3xwNp0BlV=9%^q`56q3nC&j{8TYVxMsbOo-o(FEaO&1M z`nMs+rGFYOXinVQ`fs>eNT5qwo@M4_uB-JGZ?)fr<~=Xx?5_{Y=El?^J-Us5ENr6) zTR5>cvRaodT&$FzBWzuKU1?8V?BbL1e9tlZ;**i;_VnIddJ7K^v*+Oc(r2q0bM)Vh zt_IM=+PuEhoO^TnbB?Uc$)mS!rv7v5!uQYMI|!4u($DkWHAjXlZk`&?n4;%mIQ82* zCu(S4c=!~+6JEo)u_u-Jy55IkIm*1SKl^z+|MkY-4&5vN$DNK;mnj+t@);Qr5b=^~ z5Ox;TY&LtznUalp+<@stG6fMp4&p}?Sb)Id(YD}OKsU`?}p75VS35S(ml_SVDO5y_% zCj=`bvl!8SKAT>OQy9u|$!RTvJ%3$IHd0X<Bzq?SnnHKvOkiX$-1 zVbl0Ugh=`U)>`G}Nv+&~Qyxh{gkubwS~(z^$593+7)QXJ%|{XZBe8U`#z>B7MN-hw z$?_&`jN~&3RMDD(OeCE`J7Q#kEK_)_&LMHhvXqo5ID7?I)>OlLWs@AeQVZqRreH1X zHmfv%pjW#fcbJSZfc-VE(y@R*07Y31q&b<*fyIKf49NM@m=^E=&9JP|+rgxG0zRX# zgb28$ngB$0ffbR?bP^Vk{_3Zno|_H4J8-ul)OMHiYTak{F~i}Sg1nlwd6<_fk(=j- zIbZ%rCDT?z3I)`-VI29-l6I;}wsk97>%mUzkB^7y$8Ev5Wv5Gg*CpEa7&u=Eca`LS zf693gE$6P2Y(}lI$4!oeZJ_y+>*#sDkV^-@R_(T;|rEp6W~(>2L``cd5r^wguV z%tX4_p#KfZRP-}abWfV74<0iG=XBb7!oYoP4RNr4aF(gBj&XkXI>voy(Xk*P42Hnu3Mla*%eV;1_r7bN=yD#EH|Czo6VJbL&Dm~uMgEf)DOyhUz`4o$| z=2HR1irxF);H zw}$81LEX*Gq21)0bw(SmHcaj)ve8UIw(C>2*J2*CzxlXmL5Ut9e{taY%t!Q8gMD*g zXbe<*hWzz(H;RY#Ed!>`>3E}Vn&zj+mzjGz+5B2M+`!v+r)HAd^%LRFbWzo9V9 z1L!(Au;KZ$ww`rpFnYR`<8{ybuVwxL?1tAoa;In1>sn$DI=^W?JJ9fpbE~??*JsY2 z`jBKg$Qi}GpC7EJFBRP2!XKo4UN7B9tEA3Tuc31Y*e3&~__X5BHh)DmnQzsH7W$}) zUSsyBHHtGbkYwaNksbKzZ+s7lp=bh@>(vdor<%a`7}EP@f`(xL5H#0jw7qqj0QjCa zYdd)~RCEJ5TZZ_ajSOf(^`$RRO^u1?x%0ck$>QIT#V5Qyd(zDM8RX=e@i8FEby}5q zYap@l?Y@iT*VH+5!O${8?v=+q+JBR{0F=SUVy9#je;b&s7ZKqH?kjS!x@`z>#r^cxtuvBd#W_3)Os5GkPtQ zJvyV%AC#HmGoeC-+4Dgzm)QvmrvD!5yH4&#<&S$?YQKyR>L)P$tUr7HS=m~~;_EiE z?K)x~M(gc^*%P5!qJ*op?0M;nqLo>j?+$LXkFEnT^OCh?1HC^XxJs&>SjH?PXlAJb z7z(PIVWD)rjF}{&oyZkgc0kjci}y#D$K*y*C94~b}>NwkJVb`d$o8;Av6 z@}L+G%F_Z!lA$L6k+((;BnqhhD%^<>sEbQPYhlFXP0(v%s0zQz_MF5diF)oI_Ls`+ zi(c(C;fLzBtaNz^dAyDU^4;%v;Q@NB3>Es+d#w6D&=IjT!e)`80BUPvPBNAwoSeRe zw1Iq>Y{1J7EFl>uTuNTj5q*(6(yptC0@_7a7sND^jFZ{V>Xwr1_*hRqfpI0NJ!+6^ zK!HG&dO)ela;3y^#5MzTURcscS5)mz+6h*!t|$u zvSS^=ul(D)U$6h`t*8Ea_rgFej1T1I2cU^D*&sj@I|b(D{B&GfPGAcr#|4l!_f}C{ zx94SrX^qO=a(*8l_)I7YGE%QnYOY{K(e9E5%p@}a{5WXlK3QumXY$Yl+v}2#bskv5 z7RW{7YOz4pn5cfo6dPX!NAJ@W$|fvW*T$48H?hin9dzFZsh;c@=-G}L{iTd0%6c>1 zjt1;;*GSP8MzOsWVOp?fZ7hTzp!0dCdWoj@9}z1=0$_oqk+p=G+Ev=hX25Sq(U(_8 z0)H~SR0d%kD>ZtS!RMHuMoMuv@gmO*ip`k2!s?nm#02CFh{UCj_CVl;~m(lq@D9$GI9dLvYFu6h`t1UIhBx zB=+;9j>(O%X|*h$%P z?H3;?f60D)hO}&*o5{)Vs3sc*W5<3;g&%wM1{IbJH?EvKvi6IvNqKV33eO+XGv)JR zVL7JU8t+X1*wjgP9X;uzCXd{RSm=YV?Da%$4DO9EbFsY#`5AfdPXrLZ44|(by`B1| z^vcVYWB7`0rr@ojtbOBvXWQEFqx{UQpLcu>5%Iduw#>JjOr$-(b^e{awCe+5DsrQ^ zs@39Ib!v1*c!>PsTs8IlEvQoc*RQh1Jaf;0$VWJE(;lXKuWzmP^nMM@?*7ZYKlja& zC#juN^6j6sF_sUvf6Z2xp=;E6XIAvoWon+^OGdAe)g(RMH^2E!&eH1sMbWhP`mSmL z-O8)N;Wt8`r_FEOmKxn+S#x+Ma#HuFcRc^E{L87~RnK2cgoDGwk$vPl$~=C(_Skd$ zOw||4;m_acZD6mn1$f3>?eaYP3s}4`=vnd^>U!Rfg~HVTc`m#2NETIoy>Kt+OFK)m zEpn_W@)hCRI6*!8>ZOjRH-CGuE^Pm7h74~duH^Ks1<8nfeeZXVv=vv5WO9}+;+eJA z`${99=n~BxF!JmgpBMI`@#feIpMr&Vnf|4wwA(Ef-VFLDLD>JNioG~8SG+e8yKdTh zkl9%MOE!A)u>Z;2-jkHM8BYygAg}lBEh4W!uy;7;`myK9!BP-?kw8Pp*^ndTXEJIym1(f*f7rDnWy%ugLXbF2T%na$vAHpx@5>UiCKuJLqIX2Q++0 ztTWDpELg{1*)fgx*)G*h5x1!>s#_;kaQ@Tr*-$p`e=TIm{58$LU~kmjZfW-iBXC~d z0Itq%K3m?nBR*LFrHh^)2i=w_Y%_jFH(EYYv18ouv&W@LvR`s3 zwyIF%yj&~(xL@pgOW6a-yxHOpeY;WhPFIifS@FR=hAP=GGRW{N-&!;?R;FCBS~Px>$v%}cF1^KGgR4P-LWScJy*p>>qmdV=$mzbXn5{p zs?0Q!iY7hHxPOQI0(a%g{h98%>QT^q&rzLzuG8O&-ag^~kaZiDT%q(=WS_m1Ypdti zc*^_}hEF3IER#?8Kc}aii9Paz+2?c3W$aML4Ol^ zjbh=(q(>9tF4n#m^$eJo0E|uSc@%3n0@Hci09jWK?t?XX=w24KDfKINhRj?MsbDS-ZOfqb; ztl?>`?1hr;Io2^r!b&rsDUfNkA*NPxNl8**8WX(VlW8c-I>pMYB*ml-fT6D-X(mu# zfIVd(mnY;D2vq<9_a&S%u-_l9`pNaxj&I(%drE8iNlb^tTM#;}U@NIZ0F+B3ckvLa zQ1ME!H*JA_lAvfe>cM1nWPuIWN*M%rQjUcwEXs@Uu>z7&racSzeNh!DjnGOO;O1Zl zdJI_6!20`kNnSxMBT^aAn-LX9RtTt-z$8#8%p~cDgC@%>TPbfQCiyjln^Q!QgjEcs zkp#sBN=1JJ~ag?Hq*fr5!&ut|YM z*cSvG48PC_A-uR3iiiS2k5S`DsbHGG-7esLaT&6^C_Yc{YKvqh4H^*apjaWA1AbVD z-=(dAA`rV{MI=9cleAPR(zpJRn!Wzhe^7Tv^A%N9GV=!RmQQ@~tNHT}q+brrB1?hL zG7*Ya8~B-+@mfrer>R+IxHMyu>pg#e7xkBV`_2!^GGcvouwf+pk&-D49mraj0>8@_>d;QGxC4XeBkPD}|-j!#jj6IK&5T?W_``mX;tP=(hLQ4YdTCVWr;Un2W{VP z$phhsL-7ps7jwtV!9NXf4+-9KB{=1K@bJLH*_Ea?TbH+s%C#RM=IZiol#nXTc}=+A z1t_lIzFF=_^AfZ8RK`(cud+*RXAR_YgUQrmuMl0ULI54F;I5f}KuTd9Z+|HMy@OwT z_!bs-F zE@Fc_uVpB3{n%3mnya%iYyHU?{b=tGpa67yLy>-lBWZR_hk1Zcayal=h(V0{%j zNB)ijlM5{n!cSOZG`poH3jvqqf4~ z7CcXNpUche1~|vn?@Up%I`)U4Pd+3SI3NM)>Bp$Dy zEk1*8u*Vs&LLi;N7Y4syZLobg3MjLNAoq0bYU(4(8ZNHrsmg$~K*odlncM7it-drl zcRuv`*|K|nV7$|hD*zi~I?PY{KSkfLhHMBK+e7cM3 zs2n%UrI|wd%L+MjRv(`T`%PS8laP3r>Z<=f^=@R691LEAn9E{#U^+4J;RIil*}d60 ze?7F_`Z3pnz8D5xpVCu_pj!tg7SMpZno3VwHoSb~zJF}LJIpRS5Z~q|eja&`nv)Ch zkLVCPLCw3fSYd|B?{kq;(PJkmb}BX_2w}Hp!ra>?!#sNn14NAg3Y)(Q|I>jr=8*eT z>=rD$VX^rYo|AJan19(SB1?|Vg0MWD0C0jEpJFCG4}iFR0lC&wPQMa)i+EiISe?l{ zC~kp+`_@vgP#}&!!p_AC3Go&Ac->c?Nx8ThqJ6N1_@|Ca^N)A{+SLsMJ#Jip#esPi zcd>7Z%{5obt0Ns{v-q(HfYoGx2hQcdUKHe@A9I%gNRTb@S7UP4lf}Bd zugPx#<%^hb&ywBlH4&Gm(Gxv*WJ;c(W{K62Jl#D09#uu8=@wdRD^c^3XF`}HUfXMa zbmg;K?+(aQ(E(7^K%VA!0cK|-?eql(B9e^sDtE2Bm-eoqlpxhxNd>N(c}d7X{9AH9 z(&AefaQ0BfSISng4$)pJ(=6!N=RHB3)7y%$6>vT56x&-W=XIT9%BbX zCW>i_0lkhiVaWWfWdc)Cs`s!wWsav2>u@K?D`@D-29`<;ENr-W4N=HY3HeMR8H4x> z3=DwVFVC6rY69GGE2#7tw_c9FO4RC)__d1}3h4S`B?WAsH{=2{yClX)-B?fYP3#r( zy*cf|U@I&X(<9vKRw|qE1EE*_>cBIsx-D`9k&wPv74tqW&tL-l3*;vYaT;UGfizha z>xBG;e>0>nRGV6bn1QN^hA{~vKRo+5;PDvhl0ffzoPsK($%xnraxUEv=HYW6v9x^t ztBWgNX#3y!Z@oMPbhU5HUE8}&zw~ypmhX(&Pv-M5`%OhlyQ}}uK>yDh{Cvafxd__!C*v_VG`9_3-anlE1-`^u| zY_0Qc{J43XZ9wO~!-d`F4u}6G4j`)T4F?Zj{*%O6I)#rUwwadgHrR!!dLM?bNupw=D6SV6XE%BNVBeo;q&Hmisf40MaXjh13+!z z8zHCDR{v0|3v%5f1G)bcs(+^M5^Cs}3zed_gU89{&!HuEKL((>g#q*jwvT>T%G|E$ zqCVk1h0*ijx9lyv|FmnO%WpAU_ENN63a-&U}50 z{3P;i7vIQkg;*Bt^(v5oICxXemama?XR2wm+53H%7=JVEy!~kx9p=zy_F==#6K3Y- z@InTn|10<4<*zZ7`qe=0>61{tfc{|jgo_}|`K=u?5NBpLXN!}W^KpF%FhmN^+q?Is zbDy%a=#%XIzaa;u*~~Xl{`x(AJLCze$Wo4|xwpF|y>-^!W(^O9pp(xX?y&s{AR-g+ z)nuQ(JYU~^E|0sh+lg*}ryH<@RaV%-x%OEWa0$0&qS6+F`vu*UFP7=d8=2c4hCUA0 zEc7$m9G`%Gu3OzzsF)P!=u8Zgq?HUpXYV%raOda@`Uj{`9tv{$u3d!wwDL^fYi${! zZ0P3oCn;rLLTnxt*X{K*@N=b*;;|*Fp_8qxhT+e8tMMN$hip4w-fFM-*9&xQxB~VN zoT#RK7oZ-C|DsC_2K&oVwPEhd;0qJST+XL~BH#8n)c{!*>U_r7#^)+}$_?MMTM+j) z);-@0+x?Am|G78!sg>b{vhjtRdm1PHEL$e~Ml*xIsGmi-eXZ%&4!X(agy{d|Kn*={ zgumVJT27{vnZ4%QEBG>dSQ=Gq)dzbj=AR~8sUbVy)!^zie?;9V?)2p$V0jKU`kjpn z*X|k4mwCot$QfFO(e>Cd=K3(O5mC$Zxxw69@jPjM3g4A>zn1iS7@@;3eP96$=fqM6*E?l%Q4ws4sq1l>XtDOa~ z9@$s}I~Y2Jo9S%}txYQpF+rtn^*|o?tUY0>zXm=(4WtB@Ec@*xn=cb14=TIXwd~P5 z_nnd&BA>xIchV_;h4xj6%|{3EIxsFNbUg^y>&51|#;Q?WwevM{?%_gvOPpQ*RJ-2D{4 z#Fv=f>hF>zJ#|hyM^{jg$FP5ocF=YD)@jUNi7Mv=?zrIIAr+LpwNCa5<%Qfsqqx9{#gdT4gap64*JnCLf$S2Y8NVYX!~VDc zClIWkHZNlT@%z7j{nwix{q=4GFWhMyP`YVc_yNODsubgbGUosHw(wVXZr}cGO5lGV z{-664Y+QIm|DUJ+&yO0TN;Ug*>BXO3|KPL~T?D;0+})Ig$z-l)2=XkL9&>1%#|R zUBXLhho9G$#k5JRR$_-(bt&*CdbOa1Q5&E%df9(waaViiDvAHNJ3c zmB$E&(>REQV?pmp@OJtF3%@`(&IeTRF#gEGL%8+IP;w179)wG(mCtUtmHWsycMh_E zrPKdyYkA$?cTRj=wax7R8Uvedo_MWd+p)5#BS2;Y0NOj@NaQ9}{mzM>MQ&~VBmxAq za14;pxAd>MHy(2XyzWSNfq)jiI6PMjTsqj-I1>6=_-^sHubKq{+BS3fmFR7Gfk~HR zftN0T>3)82*7M0bN8niRtlbi(cF!Ei-T&{N@wqDtuX@rFiTvGMc_zFcqWEx+49vBh zweStLMUGj_W!HbQNBCi6cq$hlajwXGV>mMWS@W%}+jhEV2>{PsgRPNIVJ{~A)43xX zVP_);0Ch8futQ((-gbnY_iW1t4%{DiZk;u}xn-}}4Y;{F&(~ws9uI6V5iies!u#L( z?9w-$ETGqUUN74^8~GEwtm>WpKyiyq!K))vga03K?;hXAb)Ab2Ac%q}O2iC@)Fwqq zvxh@!NKukWGYRavDQ0sB4k3y%8OEXQI1ynuh2%UcRcV>r^b|AP1cwkrnh4|2ZeE~a zhfbV_R3%mV6k*t*T_vp;CDlpOHdNSDIj1ivQX)IA`wb|kz4xB~FMj@+A^_sC=G$w1 zYwf+hOyw^0Tjh=S$i> zH}lw0fmYn(v0L?rGQJ(zHry$9erpJ)pda3XLxa6sOArp6wS~phe_(zV#w?Dgo=&i3}+ba*Xv|D-) zc?u6V=aYw9v1R3Dyk#l17#@r_^1t9Wq?xXLE9CWuKF;^GLc$I@Yfl!=oGUb<63%ZW zk*}U?$HN2+mp>mUuX-5DxU>TEA8TY|7ZY@?`)l9A3rw{zDf5PX$m2FzJ@KV>voi# zLB8$@wSSO)IQI5*^Mg3f(v2*SeT&ZyKp0-T`oPKjSnPu+mA!qMJ@P25R{IRpQ4h`^ zBm-WadPBwrPg?ssz6XPM-hn`Kv-#9itPiQSgs%k{QfnCMau0lbV$bk2>*d+vO>KtH zq56r>zd|mVH{`S@QTM=hJR!@pm-b^f@!VSLZPtg=G2T-i+r#%f`SA(0e<&H%*P3s4 z53oAyT*4%Bc5tS)^#(t%W9N^5c>kG~)-Slg{jPH7pDNtBVtF!|zKHiv!SYKAJQ_S3 zd>x*&9O=Z5Alz9nbw|8S@Nt~|FW+)p@qQv65rIoS?-x_xMVWeW!mpalQiuQzQ7_PG z>wZ-Tsu9|?5OTf0Gb2e>_LM;d9WQ#Q0)%q&V)eJba%)I={U_hYQ*iS!J?6TW?HHTw zfHIhp2UB_=<+mc028#Q#107IZpoU;-9M66V%|$*%2#Q?s8~9p^KOzLVNDZ_g#X}PO zyy3H`;%gJ(hFg~{=#J?EL-<86mxw=5LqbSiX> zLj!{@TM>IfV&V6?8~J@-q_uJdgof>^MeZMIl>KHJU+XiiuH6hUuuF!-t*<5BcA961_Yo_)!9&G1#ht|{)$PCy* zINBtQq`PM?v@DK`_Bc)xve|BN!!n(H#d5D%mE;mJoRYqe9A%5P9>WNt#OFr)ue)Zb z)B=@$)n2Y|;F&HGwdVC5a*sa9&Akp~E(r}&xnI6SbcoYLCAC`QSO4Vf|E~V+$I2!v z6B@tQcNB;$h)s?VP;fy4W#o8IaQzXC0Ct^}V0sV`Jefr?sHePtqemnlEBJ{J>>(KH zdLHx~70p}_HCnK*a z$BvMV(#dP2c(D0EN4+&zujOsF+tJ2IR=&;me9rp%(hhA;KW}QxZP#}g+y7cmYgZB@ z@q^}4cegfQQkGwqwAN3(V)3OtR#wu-wBZTsEbr)L&lpcPn?2VVIiM1)6S6Q?+lV^y zs`j~lW_El^dw-w1hjhnI)Xy~^=7XpN=&!si%1h1WY@>F3wv-jJ33F zX+O9&;M}7fCm$yIfdA!Vqjl(AWYM-J!}n)><0>@e3iQbZ!#)P(hHle@76r5YqyK%WLxtOJ4-Z&AC=C<$B z{VgKDz;iKkwqmJK1WwOIx)n#OSH3#@2zq|HSY7@WXe^SqR;*7deS6?_qa!B=!{N_KMD=Q>QLgaAB=Z5x)M%)M%MWbNcwfuk) zk31@x6s}Y)r0q^s!RQq?A9snT5;UuXlRi+ytnUi)UhjN;+LD4s|`lO%Z> z=ZD&cif-D-7E_0OnQ^k%m1@~Wo?tY|n(b|3zZu4OIF6}pG3Q}NB2QA+3^cpLns zF!Q8TF=}2;$6E@4M#-8mO6F&-!X_0Rsl-%CD5Ge*)m-FB3-c3ALb3az9d6V|To=Us_ka1NBVYf|JEl<=C+^#ty=(ZQ zDs-Gyo72fOy}dZP{HE5J@k}kn4$R27Om4as!`h|xm9Gsq-*bs2camxMFZI1mZF2k*=xj~&E~>qGt&a|#qVnM9O~>vvvZJQI z!KFe*&^KJvhWeoo(cQU04IdcNmb%pyHuOn{{sm4Y%%v(WZDbpzjZE&6_n15D%o=Mj zQ$yao$2K0ddj46eXfXLmgJn*2kH0gj zK4dn9^-ETG&-|BvkIzwu9v;-jsQ*i(^gyxK8tjE0;`r>bhPq3A8&vB(5Ab^9Tyjtq zr^(R_bB1vKWI85 zQx*gn>WSn$tJYgpQlL@wDW+IV;iSmKw;_wrZU`v$5@dZzyP zPhUJ3-Wi{CI-g@*lXSHIO*h{FO5%;x3#Nxe{b;EC)DHO(ah1Ubpyr5r2D~= zKPKk~j^*0;7z)#hPj8Qn|J(J~e)Gb)m+sg*%=?XNjczNUbvGsVnU?b0(u7$xd)_&#-H_cT z>87dvF8fa1f@Jn~Jl?lF-DPgKBF<|g5+i;2Qj6+b5?|U=ciwF`3-v1D{mZ9X*#Qzw zj5{w)$~wdqZ#68f<+$|OUW3QftFmksriPjO)p4gX z(UBE{#b-;icWrz6Zt*sCV|xeLGA5@i#!SocOEpg=YYV#nAL#ygWNT92%ie1SYsFYn zS&gqzHh#{|d`R6qT3nXK^UyEQPlc6_{OPTqUby||UtYIvKn-nBzo(z_qDjd_W zuaAsGlN*>%S2J|@^_}B$>QErV4r@PGVQ=Sufiut z?^=#p&WPU3sHGNS5Lj~8Sh~e2hD&5xe^vI+(6}%3+kNEs`l|o&2Y-Q5L0W3pHz!J*wVJ^OV}eq<Nsm`eDsEy)_4#tSIyn=c1Eh2 zxw8oppxseN7*`=FQAM{%Id@l4S60MP&1}}4QH9tijktmDDk}kPO0JO7{z;QX7V7lU zgvIY^v2VmeM(~J5Egz5ghhpZ69!_o4&dM8pNbLeNW*rETjJnF%7r3Q%DyXsAV`kNU zh`_75p6|E(+9~R)pCEtsFE<|e&wu#0J7y7wOnT62A02GB!|Arc`=B0PqYiVI?IBh~ zUTtt~x1NuXgWA*1ReO^&1plx@A57SUhRaEZL$q>mymc zv&HRPRMcW!i_PtlMzl}p_Z}kMX=_wDLwL_-k{Rr3rtYX3DQ>TIqsO9FYfc=~(AnqvQJ@BD!L zSoO7U-Ld39=l#SE1tYK8>9(LlNWU##;ie;VdX>^grT?W@>Qqogr}Lsp?{QFH#sNn4 zo}s;}(%D9-|2~sj7QG}d?yDJaUt#LaxMQS<$=phrs|`OfpKEgCUy)?UXLVSFDg~6Z zjjm`ZsB5mfLu@sL@vvd1Mp=ZLbL}(`HS&tSRc;s;&UB*z*~mCG#?{0~Mp|xpNHyFl zi!ldc@7qYkuD4g}p?0AObT;BsD#MIJWnw5&lyo^Bc~*)nG|09uBy{#^=7Jbj3QB=0 zsJ=j7!jw;tTxpCJJ`RvY_b1Pf__=$C+Y_n>#6*d^V#=}opxrVQed?KUA zr=@r5r7znXXOq45$fOu*!g+|3d?p5M$OZNSS*$t91 z)G;8nYJq`AAJ&o~R@HE*pxj)@PHd|=f2TwTqQS?^v(`(+}{H)-lPl|HHrhk~@3H++|0a{TfkG$wXWT;mCK zdyU>xZ;5lgYPNAiCGtU8spz#A4?KVM^{FRb|MvZN5Jx*g^4ai}D6=+Ey{?poz{@cc z!|!C@l;v#H9nsw%#({}eCb=~g;x!sG78;1~CgpCD;jyAN#ELg>TE5HFXndcUvR4|nYbHI>q|Qdp zK%@POrV_7%;^B{nP`MaarNPK;IkItZBPSem-j{??+owFdFcd^B`6 z9Z(E%Mk+RH{`BC{6!Z>T=d`%9->FM}i^MU7YxN5crEcH4ux_skcLS8JcuMn`TFTor zK?NqCT2@n^Gq_@~;~GObM`gMu2v=bAjnGl`w>y3{72PN^8jskL8t)eyMxB&uJT;)F zAV^}q;)eWum#wRfBC$XEemg!rdfL1ndMRMUHJj{|Ak9u+Y z7BG&yP1FJrv65P3No^|%S_i7OKGul3hcv6alcWkoCfjBm)lF?9wj>Zlm=Mm10Vra=4#&^;I#KHDiW+WE?Hd`M(p4W!Y>q6a0+fq*O>K?A)R?TWK$JQ|3)oJGqYPYO zTi-hH`iDX{-}J`1Ml*FKg93PJ6SI&fn))0L@o#Z8&K06oXwFi`5ngQ9JLEPC=ejdz zEetr})0U!H_0gb`wBKc>Md777DS&ZnTuotbQE?xO{4*N2{gD%Dh2>K(WLRkwHV^tw zq0Xw9a;Fv2{Vjb>8@ON~S!~`YS2hPjUHnMi(XhEmF;JZcl(@94YoUD9s50OeO{Y4X z{&_*UIs1Ljgx)O}Ryw!|o!OG&qAIWJg6+yaP0=BUt_!5j)%@l@RLXT#C@M?(X95X5 z;yPS#FR2Jn)^f^s|7FO;9$>2Am;raE;n=2ie;@+ocqf9^6;8!X`UL}Tw9CTk_oeKD zBH-*GP#73c~1>rEfCNCd0RyHXa` zGZ7$?)Z^=x@IkR5P)g;E&q%^%QK;^H%TMn8{?8v!@a=H($(riaTV{p3Wv~YmZ-li) zTcyDW)0Y}GNE)m%uAB0<2_D&GhgR`grFJzKVjEn4o7(R;Qu?Z!XctLQ3832V8)4D3 zsJHyC8+Rj1k~5*2xeI#WRU;6EtQ|z4+)llrfoP>p#Xlgnn>sw&Y}V~kp2^1i_^KqJ zo?@W|mo;0#Ju0(8_RA5g<4D4#mZZpwtP#~_>~^qxNYpNyY;z%C#AL}bw6N&NcL{5r zHkjHOZ4TF3OJ*o%MVl8wN2g>VXs0x$I^u=}HPPQxc(WNaB3e~ZHkQ_0BdPMEe`?Sr3(_HF0i)?U4j10)2&pyO@N`x^CPNGP;TT)+OSe<#%oXT0x#+Y(O&v5XpBp{7FTLeaJr?DSYUl8RQM zMi;ygP^vUc#Nojwxa|~EK6yNYu!H=~}$3=APlv}~{=tjxcVhU<;>4ISRBFwJ@dZ^&b zw)#>&E``n-g0aM!Wnm-t?Xy#bC>MT@1nRin;l9nOBG3KXT;#zTR~kxHr0rlN@I0iq zLvq!8yiGI(Fd41*#AYIfc~-T|4X!10I-wH$#q5;WZUkj#Lbew6d{nZulx~nmLc%7| zmd5qbW;uA5m`gbep=7Of$%K#beVs^Y$E$%=KL;e&&T77t7BCGy#m$_ZvIO#1KfR^j z^~+~3l)t**PYr+SM$Gk_sY1ln&qK?Ekyki$^0X@8&ec+4@rWR3@DNqblBrBdustr{ zcrZel|AJ{LMh>p%?$DjrXg*Sr-v~AAvlUxaRkZ}5j8xH z4l2uFC2Vtozsn>dtZAwc+1S2cJN2=p3G8S`_>;`zEbto^6!Fhdd+&ch33sKCf%84oTHDa zV&>Wlb2AB^OqKQz%abm#?&Ec(DQcrn)cw(Xjt`_~YBYV!*+LrEPH48i^({L(Cvw}= z(`~1=cjHaw8qS)?mF|S$jX`IrypV)&1?N9?4KJmsKO_ACdQjL483>8 zUc5w-)9$BFH05R%7D^Y5it&ptPA%*^$+wfb!yR?~*R6hQReJLz{T!9Qw*2E`Z`GUo z-`jtzx`B`BiO|A~Dc=(RhxB-D6Hm0u?|r)axY={=$*uP|`*nBEVCQeiy1g<{NIZ-K z*6Q(Z9Ve^K!k$KqSigngsx|Zse~dq9{q{HHSh4ezbh^D?y+oWY(rbP4&E0I9IA`BW z&Ph+DrTG7hooKwe>+3t}q}`^wG_ZGJiY=cUaNjK*eS0?A-Kl4X6Y{?6r%(LHzkQ?n zR||KphrIX!e*WLx+eeR`#~^{Mlica-cHBqhFUaP;SJ-~3USHUso2fCc>Wi8ArG@s~ za?7HBfbXqSCk9U>ovVxdbK~#WT719sO8QveC##G5HYa?hIv^h=MzOE&ntmyHb>S9O zuD0nz`|U5)OU2)^`?e@P+-8f(V}-h4`*9z1Qx?;aapI8-_^)Fxin$H|9j{?nFpqvup(dg(-ieaXI- zJ^A2uWBSO`ZBdFpf2eiYVi)|Uq^?6ip($0_k zpm6!}&#lw!!f|@5G@;#UbapN5p?jQZ@z~;-zE$Z;S|4f|xjjuL+x~sfeOQ}(>cb~j z;m)-90c*DSu1jwaDJJck=&9RbI{@{`U?o%_L$E$(g$pFBUhcEFQ>1Kef(dZd+9ga zQ;$#6_lfT+?Vt_kM|j!p*6J+CKZEg`e1c>Xmx+rhQbsE#(eab-BGx?oaUB`PRZ` z?KbWF7YYY-X`$Ep2Wz)JwD8;3gU0I<>Tg?b!;fdw;rn0z*fVP9(BXVTXH)aSH}cQ4 z)wX~4p-%fD?e(E&7Hby{J^NW}_F?V7vu!8sk%yc2o%yV_+nP8#^xcNx-Jbs3-#1iS zr5h8c^Iyi4o{8^1%y04m{gH|Dhb=Sl?un^$^jvgIy7J&T+Vz-o<-yJx_Rf8!kK)kj zYiqwHe!TkgZ%AHq9OGOW$wxLiV$?CDFqEx3#a?O3_R(h1lXTg@gIN(x*jJ3D)I5lr zv_xLyl`f$;buT7UI4)bmenc)CvM)xS3z5#+v@dd%BC&y%T5y-zATgg33~eEi&0>Xn zmZ%UePut1V7Qz~#tG!w8jHJ&pf48|s>gLTZghp`JF5RTcIjKum`iZQ62LN0_7V{=76C1oCazN zjv@HtiiFKR3K|d+@=OJ@Sd{~xXtc<=QzYU@;2~V8Vr;peVI&^@wkS5ykv&`wvEVcd`OvAgkETT2(?(G2SOb9~ z;XZwZ@QpaUf>Z9GNR2$9$hp(hwVD_{z!*a&+sIE+0j* zXZd@rkh~r8?zEB-@6P2&7|KW)5=3JeW)*W~8I)-AZD(V~0FQf)DhBXPS?vv^tOjnVK?-GOAJvp0-N&Xw+Dd-rmr zMEk;}8tzEfiG?QLjmFZ1zHDXL7X5V5#_s2t?80OTA9~CQmQ1B_ZIrK&Eu=4jCRMvO zUF9SdldLr@@9S`Jea5@baApYaedc#N?m&<2~1YIt@OAn0|t(y#1%?`e5@LcLl z={vHNhk{|gH!kB-Qu3VKOnCUcP2Q*Ed&7IW>v%P9+Gf-l5FZ$zjmCBF>OfD6-sajQ z3w5NKTL=|*vQz8dcf0pVEqZd)e2z?z(xd4W;=NRQZ8%&3uZZnYgJPS|I0-YK3V?=vpctE)tx0dN;fu^jub=r)1!xvm44bC`sIi2 z1dMfC|Nrs-O+Wja+mBZd{_5B7jpQ2Z4athnTWwfkA!MV1fIJ)d22xz_QI4gCHHpQE zC*V;O0OEKK)?pweu=R)i5&VnYc_D@qmRQt+fC|9+D`DOLpWX+r^#6LFYU!Sv|8(T_ zU);f?&x~LvOc${7e2|2Y$E*0foC?UAiE7soQflV`Hx!^s71y8#j>5Fxq_W%ZNOm|v zeR2waRLU4GvM3?^M37lxiX)Fho_#?aO6fT#WNJ3X8WW~cI4x$PWwOJmC=$#A#FwCu z+@7k^Q2oT2qsVeZz@8j>G&H-4RjPb3%^-MLK}}7lDh9|Qo=UO_3{=#Z6?3)HkwK@C zo5_g3AFq^^1fw7`EoG=Y;K)bd4oy`sUjOS)cO9z!gHD*iyV)k6nwK*;DY`~)J95Uz z+wPKe7mm*g%b{Uxsp6`zWuuPgQR>9h9PXGpimi_IysI7wWDEvH3QLumasE-YLM4Zc zv%EX6Y?u<#nvH2|38dwOnu8H>h&w6D%}O$4r0~5uQnnG$4)*K2xag!AVH@2pedlP# zpgz@Ub}^*rCR}z5hsb##;;6$;Mm0(_6t`r;rZxSb+sh=?P6fMX_vx>9{m0bDs-KFl z8$}32?D~DIvX(>0w-~)Y`dwbOyawEtBvt9tVURla+IH!BU&D%u|bTG zpy-Jq1)L2|NlP?qK|cvt@HgQgSd{1OR1lTPR9-XKAzrUndNMvG%_7^?K3(^Dx+tg# zF14_8EI;0nv!lR;08OwcrD`P!rV`D>SI6gk7li>F z+P~7^f1yKVk;POgSxX>z%HWu_lA;wFOOmicXhKy2IAb12(+BYVT&DQ4^nZNmFZXQv z>4kM$1m(ySY+>7czpJtaLN0pdAXy#^T_H@gI@}b?+h}@Y^Qh?HB&YrZ>ry-GE5~uQ$|lWALMgt#4AA#nDufIz4n^vGsZ2S zG{AP2jCN@=TBlpsCStBzETK6eqabOa;cw8H(Xaig@o!(htgKsY3wC!K+-od(j5tO~ zPX#e)DArl&F1DbG&Jrq?YZta0OFF8e0z0m1Y?+yQy*JlG(Km68ra8M7AMucch6d4c zeTtEM)iB4HZTsb342_(da5fTLcWoP=(*1PV*r_@ZD9w4kU}xHJQUr=BNh#vQW#v9y z3hQ+^9-&2f8BF=Pe&CC`+cZqr605M~(O~G;SVt2FqQE#JKvt<$Qn_xn2$jZ3szJ8r zA|{=(E0&pLso)_^GTX`?XBun-9?c&!M1P|>($W+*u&DgzPRg?kJ@>3Oq4^GZuNklo z^gj3Pw^k2)Vcka9k2lvS-WEp6fgLPr8N(iNUy+|t5;(JEg^UW8#JP-d_?Up6hN>VZ zfjv?Sk2knlC2^>`pk-Vq=^2Y&ivvSvV68f=LDvzCwQO8Q_dI#ZQp@(@Bg$aL1l}Xp zERugSgGXA?yOauA(x*v`TuBhy6y~?vU}we}l#>oyMf;io8mE##6x2zdOVib3{Ic&dN- zE_hxHj>Cix+nv^O>$tN7hcfkCG4I?1iu+!gg;N6XBCB?y-2fCJ4?HQu~!Ipvb5501suVWUqm=^c?u1>wKxV^ zF}jKHcJQLXR6$huMaIH%Xi>5BL5GDbgiy7HV-;3PNrWpY4_?uL!B}>&k!_f=sz#Ij zgaji&X@Ugp3!SxL{}hImCtCPMZO|q*SNGUy@D;jBJ%4$3BdsVpRP6$GQuz7 zXv!dyW1v-5NrC2f!bt(e2URIDIQhOWJ#=o<2QRD}Bq+7RAgTOmngSmHCm5&A zQm029DKykZ#1Us&Q{o}5$J1S@Bu~cLDfr7CFaqus|cSF7|#JsU?b`igB}A@kwVVg_tNdR zr>?tq-9b=7YoA5N*-uf=O@nMK?jZdgkjl++Yt|Hj%n)%aj7`L29v+V3;$2m6)A4)c zBB+rcW7xGg#MaAD8boVv3lE4bl&rahhVd?CBPpp(Qd?PnhNTXPsMkk_HdJfu&)pgQ=O6*VM=v0-{8pklpAKvq+^_VW^*>n zAZT)+Pc{Ndl3CI&Rxf)T4U>#WE=~J2?jK-MieIrRxa^D|b}%LZUbDT#kxgc`>aY@` zs)sQLOP&hg`3gcsZ34*&SDlSkad*q(KQBFT)43B2g3`Lp4pDoWH8@F+tzAQr|HV2w z53||Eyd#Fd*Jyr{09^`JzTp>T{F6H!J%n9jPV(TqDaC6=kFwG5A(~Q0TR4JGg=e{V z!+4TSA*tqN)>gKi(~mzJfg+%>+GGx{+b_w!LDRIP!hUIWaD3Jz03Ao_Uq{Q_4U}Id zyRu4&-a*|uc^jutI6*LnS>eh~H#zlkqJ`!n<{54n+~2FUk)tR}Fb(uAB%`}UE@ccD zv4$^zzcGByz^4GeEr#QG{4M8fFVp`qi+93{f$w5yfVzi;j&pCd!jgF0d7=^yY-9fl z`HK9LmqI`L`1jZ0S4r^J%e#0>+I#Z?Ng1}aSTFxT;^#dM2S*F{1dmf{o9}8FwU4_D zJGtKKj&GM#Q)AI48yISER1ZraG2$xt9PnNP>-{T2hNQVGq=tH&E&+){ySR&ir98>i z%LF_nwMI8_b((V`(dBNs62=P0+ApaPC*7LvA>oim?mE&qa2AI$&sfoJDv~-Umu17A zMQtA6Mdq^z5%s9_EhzDtG9(Q^VNu=#r?zzG>(H?Oc$Pc7y{)T07TntyI0haZU%Jpg9 z!aXd)wjh5}Dt<4#5?$P@${AQO+2UC@zKd&)R}xDz_(tnAwIz9%^-Wf1z;;jdQ)(Wc z($=l(vA{hrZ)t+8HX-(IpvAW!G+@Q6*SP{B*da&K=V`|sCKxKa$s*z^;V>^&02C$H z%`2WF7)@AS@osH+3sU+WdN-{kp2bXU$TKfIeF9f~SYmdTmRgEcur9!&?OC^NQEo7b zk$8>A2x~Y{P@{Q_aLihxXFW<7fq6l3LPgdKx>lw$>x{5fE;b;=O&Wdr2Y)p7-RcM5 zcgJt$r?oP2)yLsGK}kuP8_>?iV)}s;-{E?;1bXp+r1t2bHSa;#Nqf}LOGW+Lar6L5 zLi{(#AuL2ph0q`n1^f<5Wp?PfglWekjeWc*z8SA?73;dk7&6HgM z;)J9B-0G)xg1!o!^PLpG!!As{%MelV-?!TS0)=VO$EW z+R%wXJn~=+HUk{P3m0}Y#+Z^VaeIl~7h2vqy|XagC^*!k&>7JA!y!`cpjTPBh52JDh~)`su(yy|h! zxVrUgd!MD?lCXFS9Yja)wYWv$KbH6N76}$#AtIDS*0QCR?sY#5vmKxEY>p`FQA)49 zz1g#RPZ`;b+HKZ|s&4zBBYVzxI^9g}k&R5^JRO6ZL1Zs8OSt1kH2d*Z-Q(K-omqC4 z0ZyHD|M4~)nP)BZEbk1#DdII*Z`tk{19sofM7WSJ=$c0_OGtVOC|j1+VIH=$rvdYu zblk)F4qyux1wijA1Ksn^JIylEqw5UQge&n9l0ES#k{K_>uH%ilnUA&p@?Bs4>B@yW zwil)rwUpz;QH|ZG`mH5PYv+rKjZ(&qXdMr7ZbVA^xvJQXa8}iV4nrJWY=LW;3~FrRay?Cg|wjiJ(q5r*~)D1PwP_T(X68?Kad98A7V zwy$W0Z4NpyCt1U6)CDE8kUB3K^0gxPhB%V4K@5^1a4j=+HM*5eGC5=Bd7EJetrmuo zQAtHvuhOVg3%l$|CL#OZ1}yg*fy`1BE$rr5oN}Y!;M>_an zXMDldTIEU{4f3U(HR@-LT*xDKn20*n&nJGoGxgotJGQa|`X4zmtVM4ZW!~xZ7iFi^ zhL~knO|6yf1^y}o+7PhvIHI>#Rf0vPPU#3?S6TA#EFW}CeLu1K;UN#AO@su%S7uX1v_%sKF?; zuuIN53{%BM)eWFbg4Mg0)o&Y+YlIIaR7hHlquWRh<%%@h;92kl84kgKMqvkXX%B{8 zT@ia)XHixxL0Uv>Gp>^!1CbzyfixZrYsyaW86|AUr$`SmXp44^fTBoHW^EO z#NwlB%viIeC0G)D28y1WqcrL_Q-22wGPD1l7PBTiz7Rr z)z}+DMp_KVR5qV+f}!O!y&6qgR+@v9WSN^#W-X-}ml4c^%|_x-ZPacPb34~sbuiP# za^0uWcJs;=(-={T(l;UC$n_obD7+fx4I&-p8myFo+u6XXIU*5Dw6>RKIPKOnQfi^* z8D?KeKsz}!=!F-nON9;Ca?G+9yXxg-ueOrd!yT*9V5?ImLi$PS8_UFbTDWkC6GwE!^DTpvAk=>=3%3U)EHsSIcOzI{&B1-5u%!%4| zj8vF~TB*_~*e&o2Iehk9$SBsqhQ$W7bVWRlQ_><8R(1caGg5Wk-FFssKE=E`B*m~Q zwhZ=L!Lyz%Pp&)2!Y=JS+{;4E{J6`Oqs;r1!VO77x~_qAAf0nPFKMHIj3~N_*pn%H zNqt&`L5p&#SeHfRnA=~25RV+?s2m?*( zr)TUyoi;Bcz-KAqHC&~;n~T^;wUA)QCUW@{OuB4Yb z&VaOo7~f>%wC1(&qqf{2^I^|jBKN4GuY(_!hb!l~Q;-i+8+@mqVv`v#b-UD=5_gugK5t*4Tl30ZC9O}YO8*+9Nl|t8 zGg)J=lJgydFjE#4)I<~JxkXXw^$L}fO!Sy3r9bEnXzD@3N%NAm3+{0z_t3h@gwRQ$!9t?5 zj#e>HuaE2pX|v%TfY$PLOV~3s8{p)C^hn zDgp@=nPFf*`4s=PSKUPKn6{zp0{+XiNNcxfZ~}2C<}%N;@T*ny4rI~DS8C$pym1&z zl=PKaq5!R(^a%avG^^*P_Kdry1k$YW!W)nc4TnZgBL^wF0&gRP=8=$T{Zvb&Ui*W8 z>-zq^%DQz06-oV~=D50Y4U?J`EeopTF-t6Z%i~ID1o)U^+5xa~&l3oxR#oF!O`WyW z1}C9S&t_4v&S4A6!Kt2YMX6Ox_Ve7txb0YRf_0pk$6`^>PT^i>q{R(JRCq}{D_vtb z=Mdl7G~sADuC=gLzSW6TfqBK8F+^9Cl^KCai>GYh<604Jzf&?ZP?q6l}8iJVZd00y;g>aS84SSx8$v)5|cxW}Uh}dJ6 zX_9Pe3vNqkjSeEtmu!zDG1-uCf@z&;T6UfT{|8l^z$X9mk+0mW)@JS)&@1vkpK<)I zt|BYXcI%pZhizgdEKjrD8Y;MKY6M)p4FZ#>E2yI_u#Rl_=QG64I(XXK@yq`pF zt9^Rwat~S4+$8E5@S9~j&hQSe_=)u@c5zTj&yHJ}UTcubmN#$-pNlBe(W;qn=!Q{J zwjkw+&U&m9Rg+PG*E2AJ{hFww93JcB;cldG*dct{xXRw3ooC$=g>%r!M=-aGQjc|d z;Xc>THX7QfJ;__BqtPn~D_`cF=5cE2aZK849DwC7bToDLE;1E znMV8sNyQET;-k7(f0DzNBWj9QVjqrV=_=Y!oPNxSMlx9@pIGqA)ZsluTWoeQOdIt^ z(OknRc@ZL=Z(!5O(rx5vXAXO0)MiJ@6co@bs`b#!!cb6Lc%h6UOwrGtnSYxE90OU+`X@pB7%v$L3s*-f>r)MHN}Y%`cis{!F2 z9?Y>46XciCnUUJ+({KGXM*pAo1Q{LY2v(?*dLaF^K^6SwSG;AunF@>CY4V~y$S5G% zPg@uye>6o0ZnG*9_A96(0--sFgDjMd$+6BYFHurcRUXaK{~Q)xZ8DL2AL5NoWpnb9 z$4B*RYR;MKAvpcSozw7^cA1cNm)E+%z0I=h9YTt*WFyqWo*_h~Y!f|GDnryWXc?j? zln(8r91SO1Fsa;AA7*d)eEe9ig(F3W$%OE`mXltd%Vb&78LrISVqq|EGoNdLz z)q0r}C3jeEbYNJPO-|fIXsaaOfuKnMqay7z7#&bF-RH z&+@Vd0GA5Qf;ASlJL~+^h;<@wLOKtZS`PZ_3{~uQOUim!${6sd4VJX(#rz^1sAM+M za?sBMv~a7ZX%?00v{n5m{a|#<2SV#+MMe6*+qLA8)MbxK-L^7F%5&MWWj((-hRnp{ zsRJCY6@h;Rf!~Bj@M!7{CePS_poNY=nIT)!$whreq+82rR25BnFRObrH6FS_kj)>W zMzkS;{RDF$;9b7I*#^R~_E~kl?8+i2VCKR+YgH zdbEb$AUMZ@P?wu5A;y&5thEh%wGSJY)f&}C-DW3_N|)7RdXBazD@COD)pJ9C|D)4KJzXT@F!6Hp_*R;B;{a;eId~?15=i~Ejlm9-pJSv#Rx)$m<$DWP zKuOE=YI$@V#U?Me>Oj0h*;10D+5D;TJLJl%64h9iS8`gND%VU;QqRZ+60JMb)pHVi z!@Xq`V@t!Un!-;s7{D=xzpf{)2zT4D_Pj)^n?KVAZh$`rE5AcuQNHUpA?}XL3Hlm# zki6o+(>Y$oGAKCwuK^GE27$$rDudZ`z^PlGpLxXG_J_Yt46d^OvNKz^vkN;P;Qu#! zZyy-9S>+2qBaiK|8JDYJLfsikpuMD$WW5S?l-}wov=zw7z|Vy@Ly()sxG4? zK>CcNIwY1~&*JhdZy5SW+ydX}mJG8ggno(Hk|N z|H=8%zeyz~p$3U%{4hI-KXW=*EL}Kiq39aD8m7JTmtn|wgG`bY3W7)omkj>Ag4M7= z6sv~{D%yIIJ)sAf&=>{T?HZv^uxj;UK{`+6>tURg3nIOOHl@WWsB(tf#Y~+su(Qqz zXz?|Yf~%Ma)sa)$b1WM#r;I{M69S}wKNrpKQjKN2hQgB@||o zTqkdi9f4%nv{(Y;M*g63LdcU@dSE$W(d$T>hqTkoV0oS@lc-KFCQJB;Dzr+n8ineU zJ^?@7g$e6fOCs^;D93ML1^iMF%6cx<{d3K!D?dGqk_+4{1QlNiz~IKICW_H88y>tN z6i?Wt?r_VT`)3xAP)G}Pv&djkfefF4qIpq9d8}PS>r~PP7!<={BZ4wgB(e5p&c2s$ zguOt`p1r^qCRX5!6%97PG*Ya>u-*Z9U4FSuzK|; zUqAY0_umGu9DVkVZv{iYj=Wmi9z1a87T)^Z_Pd2cb_ePG-QTPDME!jiVtdG6-*@Hc zhMycd1CPi#aOZ7D5B&Ad;Ym8%e^{$tCZDbNxe$CgcCvde0>?b@cXy2cOntj!%TeKX z@|K8tsR9n#9enkhTZBz7AG8u}j_k&DX{c)dpYw z=Dy(EH(xb3z%%c@E(9&8?^EF!Fx@{jpC!K<`nT~z+u9vJ^~ddoL=L1*7@3XNY2(st|V(B;RU?f%*(I1qYMe35M*B($Gx$huzT2+kR{g%rw|i8+rKN z3(?DG;HXX8-->JrZhxb8iwwP_;VAgS+g-Y;*qMpTKlyI;XYYfjCLaCP3n$^v6XS5d zjvm`H{$}WhH^D)VRo`8Eh`smaqnBTRrr*Ktw%n92MGgGJ z>gDq91%EpDz3rRTPdvdx$LT@p)!r=w0vr>%6#QYY`bsz4jn}D<)O@^@?Ma9a>b|jI z>QzB$@7%;gF7e*=N2!61*fx6R(?L1mFwkS zbkV4?;-Q)ds~OD4BW=n(T{M^Vd-Lvb#c$7-rJ&wsv*ib*KuKd z^k8sHtsMPg^)2*S80CAbcg?{2!HMkezMFl_Jh-Xdf@cr0a&%yF&N>e9`>)v9pTY*= zY}c8IpQ#sa0zS05wvN7H?y7{Lk9GQHvk#u9w6^wPbsJPFu>S|14^>B>g;X@zb5Y(q z@MhauxoqM@!#=h30{cJdPp*z^AV3-~(H+!($fsw{cQ>vaAJ8wtFtJfK_G|VvwhH=2 zud}8fxM^+YN6CIR(!t)Z{F_XD^^O}_$mh&|XWvj;%-ursXW5|@5vlS1+6%xlyVuCj zWqYpSs=7sjoxAxT!!zY0x3M>ypABAs!NONL`v4Gv$IMp#GCXJ)a@BJck#?ZtaH%tE zFVt{XSZL*bq4o#Peik}q1B-d>-##r*l^(21-dHd$oB{^&u!=ziIanL$p8-?c?O8jv zR|!~9>sLHsDDWP-l^`e*wz9OK((Y*aSs|q66E|@?JQ+x&lAM6kU zILt@Z;L~8BRIq)P=yQ4(fzJVh2wh41qJqgVxj?pP1}a?@_%c7^*fm7LcOle;Uv2ne zbmM>ioBakBKjhnyZ@{u!{?amq*(b|k<*L7q#z+m*NQ@~6Ht`J2Vi2~#g~UiEtV>We zT|^nESV6o1XMu@hrUtX71wuTE`!$4M0(iax?L}l5r6izx)Ce^7fT>FXyWMe=tcP+Q z9ex`s$0VTI5^w`i0vqfDdk+q`+A_I-svCr)jVg*J@o+u5MvZBJ63=q>v~3M$Z^H-> ztWr`y*Gkyetrwmved%G8SfUX9tEj$+GA(76fi7b7B(HGLe~IR1Ym5M)YXxK9MmV9`^sSMZnQ6H{uImcTH{pnm+`+c3 z%u@uIk3%V@sjg)WU_ItODC&#*YXrrlJ^kzYt70?RYZG%H^}|g4k_I9fH{f7lQ8oM zN>&2IObz>}G>%i##4&DM>t(30Ay##Ad|#^o13^%Uw&kz;{{6e9pWl;&1zvc2mPtTo zB#6B_qAc*R&>Y&Fwr8yc7{8))^6-&D4pP##P6Q-@qF^Y%BJ5rl z;G-3!3x3Ftm_-y_`t<8pKYHqSdwvUhV9D1z=Aze0?S9CvBR zl%cnVs)q;RQBt7)w+WqWTXD1AEm$yftA9m7V_`*IQ&^C-FntGY?iyjIsd8hog$-+K-Vw_g;B>l5ibl@rZGCL^jC7a9+>nv~FtZH+ymY3lX zwcDCMpjdy)7Q}wc&GmHEa$#wg31e6l*4(=A+PWhT>i4zN}!=5N?(2}0V)t6vuQo8bMK!JQDoyS&~5#^Nvm;< zD^ZIiOSw~*G-Dd3->_vmU%;7A-UWT1>Zy~Gwr5brSenTb=n^Wdw3l&f=<)uxIw2z6 z(#@$o+DYAK4XaV+HPs^Y$}&u5bgO~WZ?Q(@+DZ-^VwXL+<4RLs3(bW{m|HWlu7E30 zR91(!Mw;-HIdG?+{-RRBqXuY>V|>5U+HINy_6*O44ZM#O$h8wAoKehni_%${nu)Ed z=RdOXgl@J@;tlO4Z)oSM3stM1kO=n9*;<-4P=TqlV4X{Z^9^yboTnN;K=X?KlU+@U zvW6AA2WMj&*;2Lnv#Uz~vLXr2S=IMM-0)Ret$wmh&4Y?+)B2b?OplM4bih(ptjOb9 z_Kp+?lE8(@55C{3Vkc>GtqN^stk7qKnSSEDNud7AI(?%cPwwY5GJGVD3fIHY2aVOV z{2kts1!0*06$8qB!(kW~y2of38QRIQ%%n)hsn1cZ!Jz`>t`URqZ=DM_bP{Y2+d>u` z%Ug9FZAny3n?$xiYNLwQVX{%9HjGY_2j(af^#+A*o~75WVxb}Wjg*eBEnSE zVwwyhG!0e10nt?bk9QP+2UHMC#2_Vii8Br5MkrJ@WdrYQ6j3v+^^z2E3T$EAl(+h8 zh^Bi;1)4XFQex^_F?|eOZ>0Q=-LOmSpJ4oE8Kgo^a zsQ29TQqC)Fzpi^qlVh6(&tKyYYN(67FYWCBO%F2P;X(N6;Tge($NFAcgF13nA z24PK3X|pTz34^R^C}uB8>hmiM#aCY&Yn$_vulsf3Nigh1A8?!3OnS zXqhp#iBR`fi{n=H6xMh!s8v%B#q-s?VWShQb=>F__Ox>M%nme7Z6Tkrjw;>Uii-RZ zV=tvBrJuj)jc=TK>*0+F^ztax*QTDQd@9~xK;u^WJVBQbev>?+V}@Nle>l(-G+?UD zAgHiURW!qt5ZrEUvWdX+1YlbJk*+vLt{MxAdkr03CIm?gFc_}HahaARkf1ItE=%xS z3*}3c7C781q8bBHklaQ-RrKL=1`rjPXBQPXNDuajTmiVYaT&6k&?XD{hQUXgXu8FO zE>u0F7*H8x0Zpk9>_$*PSDHkOg;7W}8dGo*av|{#P>TJGj^F?M=f5l~-7}h$k&9e` zQLOe1N=5ZQfoENlQD7fHBlfNeuWM29upVTO%RXkb67=A_1bwQ<`z^k}%9r_lwZCic@6PFgA3uen?n{Gn8cyaUn8#P3A=FL z{$6v1%j3l4yH3K{YHS*jL*)&4rvCpX-nkA_kD!}wUwtBe9Ah;Ts4xz{= zETLN?j;knCu7DO{tE(R~4d#SW2`%BM0L7rG3a(_1(*50HR6{x@tc4tf6jTaLpv~0& zPUA*hEtuxiZmoMneJaROzSVk5jpY|Re^-6u`#*8r)vXC|0?KC7Y-d%bfaZ+YQNzc@ zj>PInxp6=>jT3aBQxKqSHnf9Bh(6IQaU>?|BEk8FbeP+LNQo-6cv3@{h@dL&R5^!9 z_!PHQmgI1ct{N6A>KyMQ2B{}Cfx>iM1RiPf&>0;GyGD(I7i$s)_PvD8$x${GrNl;#;sUMY~c)nxHF%&Wjw zkyg~86iV%6d&?;_T|uejUr+?}Tw~z*MiYbA{VQCFy#%zYtU=cO@}A~69x+#v_3&VT z54Q%%QCyJ!l!amHxp7N1{7u2Bi^}W=*)^OVl;bL2C!dfC`3gweR_|vY8030zV)lN* zY~tI9qR=xNXlp$?JS??zYj-dYn})>gBM=)=foS6J+zKvU)41onxo@&CULU8%GSgXLHR7h;Oqsaf z7-^go24sw4;gPT+R0|6Jm(`gmd9@&#<}7U}&}OoB8jto2l9+NzQ80E8j+sJlaM?1%4HKr?C+R zcXd%IFdjFD_JM$7R9sj0hre%4YGZ535o@MZpZt;ebsU{Hmcvv6G0ySY@#~e)j@60^ zEmqXga;q_Djj7f8Sz{lm2ikS5MVcAZKPL8c^3nx99@-}J6YT0{F(~)Lpy0(OuAOT# z#fouwMuu>Sh8|y7!(g}6Mc)X_L)tB1ovgK!*(*gmq|!3M;)YK@X{uibOJlxISX)lB zb?oQ_hqo0FeQPdr=^UQz>*xJt+( z;vn#cJhd5xhlj9q9_Lp&RE}*H{bO2Ck^?p1gJ4c;BX01`X0)i5IY7k2QfV~oa-4G>mxOo93<(} zx`x!VvjIf}4=3pbhSVs|@pKegL=dQu!u>j4hiwdxiyRpjF%5-+Bu1!sujuDVSz+#H zxXA%wTpKrQWIHumpm&*@O>!ln<X+96@QUKfalwt0dLOX%HkB8n%*P{^j{g2X_2pX95GXT)<6rQY%u2 zg}NAy8U{ImRcU2fVRS{#p9Pn%(kFRZ26-`j8e)4@xGk)Y*YPfnDQG~xIu6{10zKwf z9`Sy0rzpt&7q~!`D*HjRC=sC!g|?l_>?*=9BDILd#4=R0$_{!>#6gX5sbujr2)qr8 zeR8}I?he#?$>=#6EU*Q!v>g<)I%HrlNrOhNDBK3~+!2D{5KfLYng|9->?7o;R$5*8 z*5}06`j5aj+Y4sOnsAe5uR^j2z6IPQ@@b74XRpEn+oJGcv>d(ywgqq+9v*0m^P_O4 z7%9S=-SF{@?$)&4Xroftf;$X>ymld;!ealQ%JO)p@x>et{5-3y!z zDuJHKBHsf{#<@iMtn5!=qW#xCQ+t8S0xazXei@bm39ijfOZYY9ECKt~XF!t7UNG_1 z#&4~AqV%3C&fDxi_6 z?SWVBwS6D|@u}a(e&N~%tIlP(HW6?W6#G5E1q*aEPn=Hj_S;)A5xJHGz=^YQ|6b|@ z?L&b~r4}a{ZtSnJgHFas&ys!lhCm<@R?w_rN~za?7Cy*OyM4$q(7mH?1Y#e{WNS%FC~fl;*5I2M(6w! zZ|pC1q{;bq7nCdJeXB@&+^WV$0U)SQbe>9?5kg7u?fxo*~S~Tf4B7K@EnZ90&iYF?R9%`kh6c%{w6qEI6{O!a3;1S_>!mVhfxiX zEI1A}0i6a1KtTdnOy1iD@E3=^`^z`!t#3Cna11Qih=2;AY|mDkh$taA*3{riVbVXY z`VA5Jw?b$RlOB8wboi0|r=agSKu^Kda?tGzvh)h30YplVMi;55i1On-T93hM2-@vp zDve_-NWN_bg*xQdz_EcJggXpROhbO2fp8WCN4v_c_oGsSv-`@ycO15t# zpl|=aA7&vaKFyE&58d}qmp=aOSAKQ`ISW<}<%fz!Hh0PvRt?oI;IL(Bh7*gZFs0&r z{CWd7NIG0*0AH^#q8iyX01tmdv2s&4DQULF4CqD;RLuC-K{>nZ8Y?17?WcApkcOj zl7$*&3VvFb`DIX$Zukb+P&JJv$sipgm>y^-pe%j<1RjTuTuYq)IjLDJqG9f%K=`X< zVTO72t@}RuqgVd+w+VEvQ&tXbTBSTqU08WminIq;6CKorGU4UpXQNbjt=VEevz?CD zaQlKMStu&uhN$qzbkws=sysf<$t74 z60j<-tJq8)kXBfa=#4iBW*^hMKYA~Hp)SUpmG;GskMA0yt`N5=D`POZm>Pwe#6z2? zvr#@=9c$h)SsAqeq3)CH_Q@gPxUz@3z;0jHTE6YL0xdUZ6o5$XUitiOf*3heOYI>q zK0Z@B967`a&7Fe$TwUy=<2B=P9U!{fhDd7f{-U{=t*EYk_{BFK`R(g3CdC+CD%UQx zX_vaS)1iXyQbH)D1PH;dE7Nu|mq=&{p0kUBhphnTIqn>nd=q8n$&`M{7$ZY%xI4r?Pp*|TQ|R3;P(prgF=4D-bEViveDkHJ!tVeC)+EG_F(qAczgG$ z2S@k^WBl$Ze(y2jUTvUPNokj!Iu6pSJN2q`y((R=rrE0i_v+=n z*#(|Y^k%MjGgrKMKHjV-Zw{F^nP>*-}1VZ*=^sv-fmteJg*a8s*9i(Cwg(B7bkjg zq8BH6aiSL|dU2u`Cwg(B7bkjgqSrCq>zMBDHt)rWUYzK~iC&!O#fe^==*5X%oan`g zUYzK~iC&!O#fe^==*5ZNQ`@{}+<8v~%rw0AYHYk38?VO3tFiH(Wa>Tl)q6@V;hv`N zJr^TG6TE(>UcXbX->KK{)a!TZ^*c>Z%<%f1di_qley3i)Q?K8t*YDKpck1;!_4=K9 z{Z74pr(VBPuivTH@6?@0_2NV?PW0kLFHZF0L@!SC;zTb_^x{M>PW0kLFHZF0L@!SC z;zaMc7~XR+ygB>coPBT3zBgyzo3roD+4tt`dvo@^Is4w6eQ(abH)r3Qv+vE>_vY+- zbN0PC``(=W%w#-o&b~Ki-PW0kLCrb3mKB|{%PqkF+vUlwk5?wS3Lq=PL^R%8RIIxgTy*tJ~SYH^5w^zvRwmC~i zIk(6yQ<>hBb+UY-x^=MdJhe{{EmUM-sM5L68lsdTVbm2l5q;SdJ zC2ONvACvPyEgPq6N2$Zc$A!|J+MO`8m@=-H~^+m0$jE)h7uib z-=0Bf&j=57G<#ey?Q+3%ix^JB^o$MDi%-sjDYpTv3#KwaCMj9A{1nIw2$OD0)m)C= z=_t`YN=L}AfgGvMi!DW9qW~+(nL|xyOOVo?5Uf!CIUAWV$D(&@fJ}E3eV)O!eah)rn9h?B9gwiF%39wo~=_WEt=V(@1=_cGt zmjzmm(xp`}sdOzd{+1*zAWd012fNnsdr$HYH5Jy1dtEyB3=czz2R5L<+!hlz(a+IcF8Q>N^X&Tg%hLdKD$G=dB2oFh$3+NKmvYC`#JfELj@8=Qp-?#T1UH4+nuX*eUk|@oiO1p zE^6m@6b__mA!nCu#?yMYEm5ay#W_(eGnXPm-#dLFm0?@j)uOwk|Yn{t<=Q_XcBuA%&qAV437?Vv3-UCcaDP0J> zT9S!x1N17_2I=2b8 zr70b;xX2U4by?PEUc|D5GyxJR7623zSU@^painPpPxqVW-%XfOK}n8j%JOt}?2Vkn<)LfG;IjV8C5| zE|h$ea&s=46tT^B+AcXEUP_Yoj2G6qQUp#W<*u=L80DC6m&RqpDm#yI4V)=S2Hq|9 zbw?;Jlw5`>mkng2lmaHj1`<{(>E*KRk~@(C#@wxNg}ZWKTK4~JO~Q0Mm2b*Tq-1%y zbnamBpl-oTO4=|bpH2Zk>W7Sqt!hqChbK}gE^cWvr3KyGnFNJO$q(2pj&C&DW!tgM zqn10fGAX;4%B0+qHca!xsS1_ewUgXK|xH$M# zi0c5RL~8CmXq}V5%udb8X`p~8C6i1^*jy8DyPkWES3aC^mu2!0g)0zNtEl#JqCt9b%OkO2xL$^}$5zXYJN zHP3Cs!CYl&p4&hOy=U*W${EX9d4!?5Z${^?S(ehwJ4K!gdD9__U$Z%rO`hOinqwxz-|S?HD`s&>Ksq0un@q`2C$LAEBmwAPmIVhw zkal#yDHj;jOeF~lgPD{Qguh^&etBp~ak7Vd)3TL$lN0{B2Q{yS59BD_yE!_WY`UZ= zTj>^;CQ!P?BD*D}$>sy;#9RWUwdOpOV9+4x&Js2}^9F`E-_6l2otR6T@El=E1}xbX z7inR-+dT;7x zPZbl`Q2p((cZDh|S&%YaIk^HCdHOHORQAB8OQxK06qkX@c(~jVmYngr#rz$pp4;C! z_A2e~oN>*K`S=7Llrh_0jCry`~^#%FLjM~}Rg0$*6 zY2W`rFCbAaWy={i&oZ%Ho=?I>QBVx~A-SifdjoOxJ42=fjkURZ{jTO%0ZUDUlw}R=Yh5Qv(+? zy%|n!r|&W%l+G(8Xu=;vqLu*4rkJU5gsc$H8Aot?Jef-u`vPs+=@86RBwUd$QOQnb z=48hKHdpqk)~-=;8}g0l)&Ink^0Zje*PR`Q0V`MSg$pPbg<87!B8Qgedb~v`l*>NN z_jnmT<>2H*ze%#`GUQ;NZjqN5MsNW&j~PH}<+XXSaJG}nvQ`JPVcK=yvUDR(d=;%yUP>jtr&Kz6qw_@_2Pw9`a|&Tx#hml||&q*1z1sdKZJrN@zOcoGu3CW(i9(O;-kLK7n$HlT!$t);lK}&fk_) zyG%mUO)aw#3#ENu_Gqv>IsTp^mz3JQ3rtzjurqwOI83fFb2?}C0l<{D<3es~#g+F> z47Q~0xRZj~`{29alrl)k>}JZ0JJ7h^{DFZ>O6k&pOL|bsJsg~qTgeJsvIg(c417K- z2xX+ArQ8s>UBX>EhAe}K-B<;JDvs)Y`u;{KT723}FriBSm zT9k_~BusCwO_`=e!r&Z>zKGiWcNiyE)Z!Q?ncwHk`f-dCs^8+gd5vi>|(Pf>R0*s)lV6sluV7MiRYqR zGmApKmxNuCYrdQMWkKa+S6m~)PFRzYF#snKt|YT7KonA}d`YtD$e0T$cR_TbPVCjs zCWXqK+f0!o2dS*W443|8M|n;N{O;v+??Mii3Wihq=LEwpF`AdKOJv8B_PjT1Dw*%3@?4Ae;i1DOhD zLOp=Soh^4*L)uKuQ@$#+{6n8fDxV7|mz65Znive#J}#MZ0rf{S=!+t?r0>g6bvxF} zm?@C3Y%>LEV7E-|%BpF#>G={eb?Mn7^Px&5Q$YP}0du*&RL&)P=7u|r`Gc|tsW4^{ z?Q_DIEIS1PmU4EEooaNKYuXhn89wFme6EpnAW&|O03wyk5pqWLUYb9TI$QZNrpd8d zDeFFOsOpas$Xts^<&410Gw8YLgM<)435!G0U2Mv&e83Uva@dqRFb2=}=%uASpUX1M zAFE1fX)^2bo(eXTWe3-2(zAqWehR@w89?E(t5||Lx!`n8YQCYGFI8Csp!}DTSS{lH z789{-{maUl+ww)j#B)~*1Di%U6U^R~Pc2eC<^;rvcqVsnAuHLEZPC;37BJ~K`u8qU z1tl6Es9yl7l;O@bRGDx&8>s&lL$z4sw`9J>vFNtK%{Nrp!!S8OEoi7RA_YKwWFd;4 zF70tby&TvUrU{ub(Em~b&u!9eq!d16cgDy{;5kUS6z%58rz>0%NnzV^FPJ^gu9>#2`od6U zPlZhs|NJ0T)v|=8N)v?)YnY!scOqk_^TU57o#66+&UlR6YT3P4a#B=|-Exu7-147A z6>xE+5(=0lR5?uHQ!Vc%RB2|ONW7%kXKv0j4b>ks7Mji{ya%jOJPI^$390JKM9GQF zOPYQ<=(Kad#*&?CZ2Fm=otHJZ?xa00J(*(anJiTnk;+I?(#9`rtg_}Y{&%Thih(W| z|D~iX!PS=%tfj$Pf~)_&`BYM}vcPiJ++|tz94_TbrvLW});XvjOGkk7NoclUWyLkw zf|Xs~;x<mr${Zsb$ z!z+QHRRa7;oQ=KPS(3kQ_nv|UP8xi%vugi=6GjNCQ+PyMut(Q05%~-e!5d7pchdF= z)Aohaa7OTlgacr^{UMZRe~8PocVjZG{jTNHFTGHDrVjR8+u}={)3qJ^?nfoh(Eifp zZ~y&M_?4f0JNY3U6O(Jnm&I>G_Hi~^xm(iji%nR?MEK)g=6!YP7r(muV(CBJ<37ld zrtA&wcW#MsZ@1Ue=;!WOaF<_89G1G}&;9wEFKXYr-St_)weDWcJ_kpdQeWae%vn!f zGbS#yhlP!{>^LIhoPFx3<==m2_2Z=v{A=p{yw@ebw~w{YCne_dKD)rJNgb2EMVOe} z#G_^Z{E2Okm9BX?bxh)v6UyamTLgiu3rc@DwStMMwd8TO+AOfZmt$gjJ81iA@_a`r z*O1RsZMTWDkUA@DBoQ|Uu7_t(N3jDhR4e~3*;iHgu`Z{2w8&q~$*3ma2Q0B4ieY`1Jf zsb5~`<|RJ@W>j=m;ZmH}#GmA5I34(G`lMV3*sGX`?6KI?<-;kV%Wr?){PJ~=?0{8! z!Nh>*9ESobV_#uF^D(|tfLs7Ke42{ECgf*KM4@6CW56!Rj{EVe0*(wH4(#1F*s_mN z3^>x(XCD*b86;!4fr(Z?bKtOl*}h!+2;>tLgbGYYjr^M9sgRI12L7!Gk*M_M$ZN;8 zer~Pv0r&$#&hT(&|m%I8*7eyf`RXarGVv77?&iTlMtm-vLzQvbW8#8G}s%W6#Pzn zl0ik~`Bo8yR7~KQ<$@R$?-EBlr-0a3j9J&SS}|Qf3%byQtNtO{r^%cQIr~Oa2DW{# z)}eq7==S{-JN+XD3Rt*~{J07ZJ?kGE<$wdMhEGkE{o1T!g+3p$&PFAORMeoCD-~K;+XWymLFMkKN*~_@_ z_@EUJRhmU)9w{jt#Ru*tMQOB6ZW8$t-r`=m3H4l8KTpq`I@evYYx2e_(oplt zLnbHhpiPoda|7%{7@0p$-&}4kSKCY!6K54hvH}Ms-l&-h5DNc-+-cCUdfB`z4N>T>1GJv%Cr1D1P?`3h1WraJf zKABf6>MqiXDIbHIPK5jE2yIS%a=5sokT(W6pP`Q)l;v-hi+>&e{edIzeBd()c=G$z z(8kV(d4-B-qjD?FHXE&cAKNDHvwX8Rs}wcXs>=l8mrV}Iv7lnHAjzuVU{HiO}UP>4hM``^e$2w@**Q)k0Mvumq)0J~(XoRNxmWF1P zTcnCu8R0wp0WBJ2KUFVGlPe|l$J9WC88gbP2-E4)6va{s;(09My79h`hpK3E=Vlc* zKiN%+T~9WKCYn}_g9(eSut;@s<-`!zYA9nhu{~x)*(mr9mhF3tpp9c}6CQocs-?mM z9lOdpgyR=VX|s?Nceh$`S)?u-VY#=xai*r`aeJU$~S)W zmBUF;GHX=XH(<~KLgT>}TeQW~MZ)WM9oq0urFTD(xM*}- z84J?J^nOX4-XF&=^ez*AFnN+5*HxYlk(|1k=G&jg&o-N9KLs|PH3AK{4c{!!nSIs5 zlSb{76w}yWQbl}$)WmCfd%$7&X-PajIU!tcGN?decjEf@+4F|}eRKC92-dn9`h}i6 z%iK*$Mx=w|J2{=ZqT{=FnI$ZWzQhZ~W{4B-p-i!)n%<_eYiTLS^Oxu&`x>UC)w7zU z#MaWY)sewamAOonHjw0wn2dAVW-X?HQ6N@!@81u6%jNrh2x63w@d@vsA0+AkXwx%EF+uPbSZhp|u^WY3?w8vaZ+| z>{4zf*7!I6$v^-8H(MVrPl6VwZ)1h6>L?>mkqklmYiz!O9TpUd4v0D;DYQQCIO@mn zX-0;zfKw#*LD6Sa(Gv18emve{#<$9tg3~MsosC(a!SNDn&SW2 zKE+HiQm+_gdf9qwAVPN;4A&$!X;Fr!{R0L#MA2VP<(WK>ad1?(A(~RFG#VFuq=xbc zG@r_-`bOAYPl5CcQ%?&|e z&vZervM<_7&#Y3PZi}07%hc52qx2f;B2RBAXU#pm)TMfyEs(C`OleRi&FL<2|0_Q#`s;j7A+%vUrQ zllgL z&ywOD!g%C{#xH#DzS2KQ!BV_xs**a*FVn@-!-i_m4NAY#S3#d0m(-hv)RStshM=X% zVaX>~@(uM-BA!iZj6YcyA#hF>e;9x;R6!Z)lIp`mVy<>F;=p+t>$ zNIvVS;MCd?DK;SA2xgI?CkM$sanfj$r^G&TjfwB&xB4u*PqoWT zV>9EcpnLG>fN@FH=upijT|v665}~%inSr6!wSA&whY7nS-v`u&D!PH`YnA7O62Z94 zu8K~!s{ZQ*zD2i;XbmxE2tqG)=>OjSd-@lz+_*8Ji~fyB5ftP*Lh#+7+-x6BlbRLR zWOL4-)ZUM&_39p80iI57tg5GYN}4tCViQwu=Ehbwu4Q@6*ROVK0n%!i{59LpHBkEp z7?$$~jexF;iq&9(nqoY`G-;$UBj&TQm6L?jVyQG6t+J-e2j#u9a89LQMo+?~ zyeXCL7O4VhWNL%KO!SJEnu6Tz*7clrW(YOtWk5&H8NM&^waQ-UrTv}Oz^J-SQ(430 zy43YjE2(zvqPR$%S<^^AX5n2=NZmi_Wn-)q=A;0xR|*l4q-JIfhRP#VA-0*dh&Gd&Gt>g3 zml>n*_^0XF(^S(T&X}{-BkpuZ$S|rq;&E+^zd=>P{8z|B3a2VqeM-@-GZL z*ek&ELQfHatniZ|aR(Ya|4q0ui z9IEdetsZANy|+dUFoYfd0MTku5~iAc_0)BQwU)4I*+>WLLG%k>>Doc5%(!RP4Kr+%h;Ax z1JWvi7&Gg2+2V`K2`XMA$-IvpqFD@&OUzUC)2COBkfTGbal(9AJK^D_#o_ztl&DwZx1lXzG} z6o${@0g|_vkO8rdL2!Imvt-rsR*~RW@K6h9VS`2ktHimZ<%LEqiSa7a!=P0qBkHtV zCx8Ob6yGeI8llOOFx$&b;@uVlGS_I~mnj_iIC|?h%%__D94GQDwzjW|9FzLZK)rzY zD2_?oku$Vj*{f7pk}kK3{0_tO29p_{EnCp09BjgIuY*{xM zkOh3ULBo_9Im)$?eo?S|>jhI0QM1USJW4^>5rq6ADuxd<2nHhw0>X67$K#e?L;l$# zXcniWimlii|UTpq`P?xTQN%~1hOu7Ev$7LY=-q{`5K(VUYgx`5XM9W|&DA%dL6 z&zo$%DRC?aJVGq|`Z&W=5Cs&lKE_{H)u*2V(hll$J&gz89Ds&lw6TpvdbaEQK2s6@4#2X~I5if<1X z>m-h+#K0+pnxAQ+B-B$K2OX(U&Z_3*Dz1yM0xJwjnPjYH)BJW+oF3%D0&lKpR`p4t zLpH+_fAH%cI=1m&rX3s*DpDp4O@Tes-dpL3{_uPq>^%)7%ch&xA)nK;^@fj4n4bl&nu{&j}RE%6_KU zq`$xv^b184;QI9XYLc)FOX2ac4i@nSBD+;lMjVT2f(QbXO}TT>wcD zG|n6SBwt8F;AxJ>aWqNyv*tKlFeD*Wx{J}(hg&TH6X@H>8lllzp~|Iu+dkh?`YZ%8 z&Z3r(0UnQr`uT{(GnP?GQnLoj7#JQfg;k~iSq+Gou`)Waisq~d5m{if6xJ^WfSLIF z!Ity~HX^LEkBw`HzE2UfQ4qFD@V)X-M2h0P%J!*INM4F2#veXT8WtjZ_?p@x0vQD? z?H4r8UjysDAyRLuGlYB@byranqU3`#3P=ctBWPC(JgkkMp>%Fcujjb{MCwsiYYMWB zB*8KuXsE(>TRrzhqF$agNT!z(B^WYL}E4$m6pJ@jlIv1NA(_mi#_GP|l9=ZQ#mTWJ$8>IF_3q)31H=xM6R>J^J*h-a`W-e8UR^|BTyH~F0{Y%!rAKgViP zu}+|SH7c@#rj!ykU+!ai)M`so!X_?XkXRb)r}8G1<4OUEcnO&XkXPQs^P2mpRdn+a ziNjR>Rsx0qp8qn5by_HbPm2iU(}kSerZ0dU zNtU$oMwNXWxTi0jk^=n(NCDha`U4^h&T>jCCefyG%})%QQ5NJVpz)v@sEeUi&?rJG z!Vrh?A~#yEOXxWngh)3?0W&Oix{Z>!JWEjdUPb0L$Yv0jPy<SiK@h* zIRhz_bXGylgT!f&8`>x6jH;r%=HI{d&e~w<4?mW?OiXLR1bn8lr`XC@OCZUwASK2}&ER6m-MVWken<^n>k)W!iwcS=t@e3LsBPj~+1Mp;@WM2}3 zNdHSB@(=J4y&B|SpdSK&;{_#4BWIBNid*jT$3cikAaK}bT4kzNs9Hx?4i5AR(k0A z6Fce1g|+-zqJ5&Hj{X-xKVB35 z>Uh80s8m<}Y$(3YYT@U|Gj)?k-iZFyn)AD=pT4_)eMNQna@WLp{aEuHW|iO z(!O)Av2|5%RkaI;YfLr*8S`~wU%){9Qm?(y6_`(IlS{ExcNt|Gvw|eHoCfIt)lNV zcDAm0-1uHU{)M5M+E>&Yrki_}XZeG*Bq1{=%YRLtBql%3*DF0MS$?%X@Q)9-Re!y@ z{+ajn%lB=I&=2!tvjb;tKE~}M9~}PGzE`h&>azBV3^HLa*R_1FmKfpw>G{c(*3amV zKUw#t713+VKl`&y!@`9=LdI_R4{Is96o>I{>rL1_5Ibi zsg<#-qYtzwUmE{O$4#BmzeZaB`Q)Rwoq>3QkA&7ycgc5-Jau*Iz}XIqdxIXid0*Rw z75_;?koE;T(v_Fgb!BSXC0`!;lu-{tuy!RtK1{+?thM)uAowY|FWQ?0x(d|JLze`B3_a`t?PyF{!rFML^;t9Y*Ra_G}@ zPe$s zvSKSgO$wojlBTU@Scm}&6cp8^uA4+yBqVm?194iXr?)rA3MP&d*NW@9IX&%$kW|jj z-rdO9#g3btMeOY7-uv9)nUOSWe%JS{_5HtO1lQE485J7~U@3LDVJOp9AZ@Acf4Dhm zZ0eN!nn_}jaeB^EBd-*^xg{aML00chN6yLDlU4aIOnCUBBVl#d5_PPQ$212cqOVAp zCESjJ3xYH+f0Dms`Sy;xFArd~VR^~>85zPIJHQ=h{=IrB9=Or!syo-^^9N7J^QKIG z!}zhG;?3G6>>67~IGGdl(F3s$d2i#l`UvJ^^0+WD!zRlL+vwy2n#g_=Um_Rn8~HRc z0T#vgQE*3|++>(28hMy*)1UGDRP7$GK^wSt{OeBi>Aw$aiK2TxMbqVNGaKmFx=ZM) z_J5n{jm$X9DQ~M`U~tM+Sn)Uwr#IZ658tdfFh~{mHwGKcM-GgZZB$=>&|qwd6i4n7 z_(^V(o*iE5JtJL%wZ>GYq!ILSjmfH+8c=mEh}_N5T2eFm$m~Y)u|tW{a~nau+5YTn zE(5t(S1*ML`h$uXQ#wVQw9LUj38vy|cJ5km7v8fd))&0wE^aO6_K{=iv08!rp|fyo zGs?8Z4!RpMZ7+XktlF4qd$sK^?TIqryaMlQPZTr9Nd3p?bYbO!^E-mjUpdjch??Zd zstNG`-$#6tDs;^B5ry{zPyZZm(T8}z{A{-XGeo&@_**n|4I!dd((xDZ8UeJzC1<8_h*vbZ4PiOV9Dczl2(Pv|;CQkf?q9iK}P7cXjlc zn`12poDh@_IYlwz3b>YE8<)lNG}S{3k}MJyUAZ6T$|T*yVXu%Z0wT9=V2YZ8MdQN( zic;fLfH*0iaVSO_$Y7QP_DYIT)f#)YE(eTP6Ip<2!Z8g~Bd?OPT!2z5h&7z=tt@BV zjLdP(V7~-a7S>s3u|+utNIQN3ZKFUd*cI`7*n=WCWNak^9TM|%wWM1C=PATxQQBD3 zw!k_d^8;cx96rs7dN0P2{mBTlPlmRK{vo! z4W;&Rq#c3Dm{viZ=X?WLuO*yCNj^}J>unIs1?a|xvYI#ZMw)MSkiu6aDzFiR-$0;x zbC5X9%d%=+3{kd7U`nSDb0s8|hyeu`?IE%G1l#-73N9O;V9RP?a(fs_mK8DrphIu~ zX*Ed_iWP*c066AgyN%-NsBxX*1i-e5)d8s6C>z$>XzYvDZG|PGXh&Ix^(!D@)`-}n zqD7>V%tv_u#sW_fYbXHn5G!(&f}q9%CfXqY91}FMXf<6F4-f>aSK3xmZ2_rMpnk^D zwwS2H%CR~Z=zv6!Ry&bp19EmDt1vaOu>i@utP}XGg3&L9pB{7P^*np)_0v6cT1?x2 zH_|$k+)TB;%f5A$44gxv--{CyraqM}l7F?fmV6Y*Fv${+P)ypajs|%=6fzCIJ%qN7 zM}vjbq!w9iRu`G?b5T>{!Vp)ADhfu#23hMzkvb;J6^C1F@{-67A-b3w5aXr!JH31E z>$JKGcyic#vTK#dN+hk`gWw6IxlDa;mKX<>5}h{4>GE+6&~{O89=grSHIOrJDNdNZ zn~3o(V5u-sCLSWKmzd5Wb7w91#8M7o_d>4*%&WnC>$&Y*ifj#op;#EacKEG@jdU#$ z@s=Sg7`F&5L_Zt3t5qUiPgu%RmhuS5CJNbP2_4!)#ix#RkLM?dZ58+D)X{CdRJXB{ ziVSl7?A3xJ7P?p3ZWv{nsoUvEN}ZtU3y*S-ksps(s|AgpSS41#@^K>HH*HYQ+^@Y5 znLyJ=C|Gm6ye}577st5!YOnut!Eej_LZ#z>LstL-3T37sc{%v0M2yph$DT`+_H5xiWzwN8?S!`H^)st2X?g9@72D!v zvO8@zg+(o<$W%QwTTh*8`w>}Hvj>`s3ZACNp+*ho?T?|9_5({EJ2aWNT=d}fJR)JP z)ug;dB6!?-QmEa84(zwqsuPiKcYExZCT@=k(5d^9chDiwy8r3e5#JHAe2%bGyzQ;n zx2kJMU(nRr&(5nOXFCQaAnQ+9Ye=Skv^uo6rq}cqB(SP*Kkp zMjhbTL zmE;p{`6mx{QPg!11PgZzcGTZ7^BBsU*%Qo+R3r>J;!mmZ4V?p1JN{jG+j<;Lpv$3j z*;dausF7*I6VD~f`kwrZgQinp|DT0ot59rdIr`Qsfu)|;g5MBdgQmfrsc?^$>xXLlbGSoxWwcy3qCE}V9)M^sm4R(pR%Y=d- z`K8IeE967gDryhZ_~9LUJIX!89r`n|6#KsEh;NMewKR(~mU7SDZpSIF9_NpHO7(^8 z@tH`tBXT)Jp9+;rdo41VeDrN=SK*vHxj}uD+tIR9#N%k<*XiWMfeJ6?#wUC`dbcC@ z*(V3At_MSrMxrJD4=Nb@1fFoy-R>{O!;ZH^@w%B^8+cO3n>HJcw`6k zu4;4wBtf%?iW|7SWUL1X>rosXiY~!m4E(u$m66w)NKBi+g44}QFG69Qc)`>xijv6b za!`A1lv&}Hm*6x2W-&~l+nD|~ERpSWH_GYdJr!WQq+o;xy@ki4Y$nPU=iBgz*`l9u z^}ZY@*;u%pyp54}l5=Q%GTYo6$$Jl9Hwhnt^%&7n~+&5 zVCLLZ{1;3{^9q&6LQ6F%cqruL_LOaA5#(A2+Lgn#WZY+WkG}{#aDzJp-8@<9?q|L; zy1&TD;P*xJW?fsT_wQAC#pAd77LGrU71qBt*ZL2!uPV<;}HAFD-dvVxe4u40>vtI6)T;H@Rc7`dDER@Pml{6d!9ncWBN z@Df}BaUTaZgRme#V}^XWZGm;Ti3KMd!ud;HAl=hGk32>Ft^GXgX2ouX&5+}fa=~5O zYm963EcFJtW>h4l(H0cQpg0IYKV+%%IMpdYCbR&THlz-^E1<_tjK^ys3Cw-Cqa{mE zd=jRlS#||%uRI7Ke}Y{w3e|ece(Kx!AA}lMTNmtt+Z+J!wC4~=wa+i#mnr0N_no6@ zj}U|_nMe;CZ*642K*OjV&a%9xNSfkUI$`UF01vAHSlnBG~ZQgKwhD)mLL-$$1?-4~eIo=hz?p^<(wI_E%?JF!Fhk z3!%&3J&7`{LmO+Fp6J{^WjKFixc+!Q(|W1tW8-fhyykqU`X3{Fh8UVK!s}Ol*<0K9 zqc_N>oc|XRP4An_(8JeY{+Sa}idygW6F zstz_5x)}DOC%eJr;_vusEprpoub=wOflTy>^P#%(`JWII@r4IkVt0Lmx@z9A^H(n$ zcHPmTk6cy%ojeBVE4@|0y{9JPk1t*)hKM5_1rPsqpc`E6H=xr^+ru#O(ubidbsJ9C zcesq~!UNwm)>M?evu)8`^3d4^xTp>Zi=bxbFQwY=9@yfdetrFXn2gR<%VgDdzffM~Ym=t_%?lb^ZRQ*Z8-tJ72gZpoWUXbkF#m zbBDMajc4fALFXyT*?vx{%%i-QJZbo}dc3x$`bKA;sbo4ZTixnvZSw6WTc}2@bHBGH zlmbIzccPkx+ZRoB)YgEtd8-}yO%J=sWI8cZ=TE~MsgSe?-V^)O%|-ppcDb0`7zc(R z8y@nE8^j=31pVV6bH~O`SAOZV+NEdMh36cJhi~_`4T#?w5Xl2|+eS{J$w!83jloM2 z{c=a`ep9@xZ|mLyc0!9aiO`Csp2_4=Liee>s<$$+`6vX}i%(M5HziBJ_dzpgtnAph zv9lr}o9-kmRU9m{Z%?NBY@hS%)@R2C4Zdfi(&0fOnsrad3~b-VI3BZ$EF{akelxf&O^H z+MxOEoIjpEP5Zm@kok+VHN$UxQTDZlH&E1*K0IDC{Xc#;!sYp!TF(~A;)Hhvv#eTk zGp-)>jm}=n7oDig&F2yK-KK4#EY8rw6%APR`{Z@%KR=){>Z!0jDq4jdXraFpwUDLS z7F2VV3O5Tg_y6eeGDGlX|qvHgDpZl@4Q)T(?3*@SZ9sSt5imOOG z-GwvQDn&lqOT(4yv_>{U_^r^*9Ph@T*D!6gF46z?= zrRtJ}^n?`I)<)b3=wFL6kTad3J(DuB9`TN8-*6Cj8i{R&It|==FTCH-+S|lSnUa^Q z*%gVyFs!xHyDA``_(SGgh`VZ%>(xUz2XLoon5uw&a}L+-E5A4s+|fiorad1YuEWZ< zb?<39J;0lF-Ph(QCD3slbfQ*BV zUnA044LI_G^MI|kHIW>^p8)tEot5JPRz9FGRZ8n2Q9K%0H1Z_?a2zPtGwVs9(JM&< z;8o;0z~-Y-TTExsEkQ{|<&uST?+Tdxf?f%+ZGu>-2-|%`(3psA37|1RG zIx=FLh1;6g83&|=nRcj}Bcl$G$cNqW;qMrAUZz*d<>f#Zver?z5nO$AD2kuftN|V^ z;ub+YXhxD;$WX&L;TqzM5Dmn4DHB;MC+LgJcjE+2Goj+waBD>zX|e94GpLeU1(*Q| zcvL6YDMm%DBnWXO4rX}p3%MKE6qTe0fxf8M#{r$Et%+1J_cVAYJweTah%UQ#bOycv z?~!UC#i)RSBu&-P0v{|8a(W}ZRJIly$`W(QNh<51m=CWHA3MEi-Dm&xb)R+Jd3uv| zQQhnN;yZXiXfpCC?b%1qjAEr88CvQN^Y1!f-KUSGzJ1Xjah3C_(yP`prtLy`e1WSz zbZI0FP0ydDmRifItq1$m@32?ES>X&hKII~Juy3A8C*<=N`Sgbu1rxWQ3j=h(r4J6n z!R`B6TACg~6^R*F)5CL*MTdF|`Gw6J_q3G%xT8_~(~U1q?8{t#_|Vhzs_Bg~b%qFS zt+On!Wj!IQtI(ld`1$+jC8_$5Z%cW4_MzVgHKxxx9*h2K_O$QW9&|4B=eI3Y_o|lm z+}ZR*{o_6E@YHtg^$n-2&EVgy_0->kPtupGzh}-TzFP_4yn5~I0_fFBt;g8?m#He_ zk669*xEU;Ms}PsUrLv&a{ugTDO`+WW$D;O!PLxA`u-za}@5?#7X|Vjgz2WkU7ue3w z+Z)JNfB1@J6*9ou(yp17E%(BE!+*D?ep(+HvhS>TjQ)VA_Cu@TNXHh3o3~4)8koUR5_#FK#_;!J2+g@{*92X8UJcNS*1~FP4M{!G7)?UniN-a`hq3rJYVY zChietT>IHHhc7@ani^y(qcxI=Leg48?fHbCalANF4~JgT!nw|9coT7s%#?f|({Hyi zIB_cTSC0WP(}{g78lT?!g|*(;Jb9YBe1LPQTP{K2lEY_sOgsG$HqlJ)>@&HpY7g(X z46x6-MnvX+O|7!uDclv>@fnt!>XX!s{IhD5DxE}~q09UaGNBHGWG+a^@G~|1oh8H8 zX;1348Tm(St@YHTMC?W3APtr}g4|MhI6oQ*Z-@pbrY}?A$;TQ0uZgBd%oXK%25qvo zoVq}D40e88bd@|tL5&5}6m`{`;nLX|9f(1w)w=n1aX;x4Q<^<28qf zjX_82#UJ0(&s^Qy49@O3bhsxu7qT`-+0e*%mH7O>Q%l_QES@AfwJ8cKipJzJ4PDxL z?74b7Jw4;HQ!|ZygQD0hhV9D~v@BDR74LjdGvknE>J3ANVs4p=69+z6rl4t=f(cB) zLwgfK4syx+*i&1ZPdYFWozw!EE>nAF9m1ugut3!C* zLtZ%qY8#+t!THF@LxqoM_u7}tl6Jq{j&_8f9AK}WNE^vlj!|Q?PJXFA-q>^Or8oce zh3fM!RJSJ4=xabv|Dt*9mwXk~I67!N2BR12Qdo_t8o<&4mLV}i1Y^HwMnBhMBeU*F z;W+tWU8nG|@dQfA^ZIw1K}Z;Bj{khDs<1iMhmJuq)yj26M_P<6u#D`@M2DPQlfKLS zW;D`=YTe`#F+BPUlp$+~BDqso)UzcZ7{4aC0Q;1CYNNi;Ie})%SU5pm6LuS&i~34A zQ7Y8qz(OBmjMhafj2}`DG{YA38fbABM(4<6m}F8^7x9ZG{i42__)vHfUI8xbZx&{a z*I(SoxD z_vQpj?L05d8m|-NFS&YW8GvsP`Kg0VRk7O)rMhafdFc2If}>pj?0kXgPEeltD3QS>?ycETwGQ80jQ zIk59Z;{<+=3F#-?aC-`g4|+W6vD zzM6@NLKPE^ir7EGT;%3sen{int&h7hn#=jsoWHiQY+QDaVc=OL-iQYas3U6&TdZFbp7ve0J=bsTiAa5_A`t63ih; zPCBW17P|>z*bS71vx4BkmODQm<5Cow2Twv`Ea1n^kOe0%mG!b-Cay{{`XcsYR>F5Z zQq;^cF2v#}_~oZ-ul^%1+V!<`vyayV>DrGE{gwT~8uDbQV^1|@sOqQuaDvAx^Ui7M zyG7E)A5#~-9VOuGjx!P04t_2)!G9!A@Rxe&*{OBtxra=p|6T$H?eBrXJ!efx^70|> zt66a&c`urAo%YYT8ii%qHYg547dSnP*JtgC*&sWG>h-8THuO>{EH!KZVQ^vCr4D{T zPMlgegeOR>k@*}6u&;8eIcMu{L!Hq>PAYTYH1%W?o)|AVgdex^Pjt?6_J4BIrc2!6 z{mf~rB}|=UK0mVwE+y}D8j^PofbijJYRNocwYFgI)1!9;EwvjfEX@1Tw|Z)%?%IUK zQd;q=2TczWFONRb21zLcciD$V z!|DNcVc^l~(R0D;rz_yn$rs_$soK4tEPnjVl?^|*HATM94)l!Q^^q16vvqJT45U9* zw+{NxQt%v>bQ9ip9zh#JMbc91P*1^+yQs+79if!=3faP9>)zT(wv0qu4qcGc>4l5j zCq!g$Pcs=<`0%A^Urm(h z`%P(b!xQ9Q)0dpXw9qlYPBtALU_MWnT_;55=^dYqcH`9)KDnLxaQkQ<^@wQ@xQs!| z#ycK^O=-rT?^&iMys_yF9SP%H!?Tp(b(k6Wd^-~k&iU!?1n6Rcd{m4OS_JNt{jxQby?pa#EhNk)qw`dw_;2v$A&eLAFl<4-vD!8Rg5T?&3Fd2KT z3zU=kd~X4{uk4yy|tlTc-{6tU;fYU=IvOxH6%YfOn)|7{>9ESu^XnrJ$+QT z3y;W+=6Y01d6@;NDT+fo9W(WCLerm!5-2M);uvw@go2+<9hP*Xe@;y|#&nTXG zl0Cm2lhLUquY|ZpZ435M+&$BB)<4)R_czISLZmK{8R{LT`e_e)E`c3CLK^;rO1FZ! z&hu<$b2I14*Bodhe@e#jfZPQQ(-nzsGmL8_58{JpGNj<>C0zcIw7sr>&hhDAG`Id1McKvVv&x zY%*i=on&s34;k6W=i6(o$DU=v(rCNk5$vgvN+moJBk0E+;+}-%o9zZ<=;sU}$4BE8 zd&X-q;SAP6PakExvoqL-)ssqcOD&=H)|CDP>AUUszV9hy7OlqWu8X5~=`cK7n(`W6 zu8}MDd~rEgo_Q>U2`A$~G*&Yo-HCGEzJwhfm!P+F5E?FoI(7_}2SIY&Qe^eN`0!XT zeYzVgC0>M2KXv6_f4k>M-jyS_2sh3QIf*h+fN^asMf}l=z4yfgbf9u}{m&+R$=a@xHPCtkPVQ22|8Juj^%X?=XA zP5^M`?kBpyZRXKX6nm@xf;s{8XkX>#K}4Vf z(^7+ag=SojMHX1nh}cgd5fg~~HmD-*UPG%rswK^`#L7Z!ElY^lTo%$rS-KNG0GpyA zTmLp~34D;nCe!1oVf1_~fqe6*n_%Nj8 z1KBpE43`lb@UE-lkG}S1-s`7v)AB;zFIJkf0oO0a+y1b#CZb|ZFyJ+k+z8Rk5RC}w zrW}CH9-wA~XHr~8Gcel}JW{j{sApP8R033yN!S2$8VFo(;H@N9112BWqBB8fu4)Z+ zx&dc15L`7uS-ptU&Emj5g{wDY+AbIcR?K0&KsEBr9Q1JX2Gmckp*+v-UNihp@Wr8L2xGvYB7ZfJj+d5e^&)^D<941?B zzh;+Q4!F!mZ38IRu zW-ZE9jq*C&mpDyQk%e8&Kk8T>;``TNTeKS)GCbUWTNr zG0_00q;(?8DLAD~!4WJbO|B|y`GOd1Au&K+ZA1$1)?~pS_B;XX8U3$1>>o_SD0!1IK^je)IS>;F@KxJ>VK+mz=weEuRzr+jz|A zyfSD&WxF&_bzQU9zf^V56^ULqhtcut?r`r|CVDKk@xs9m4lW+Ww{_m@Z(VTKk5xr* zxa0bcaB%scxc=&Ke9+Z5ju}rczFHrxb>F)%hGUltuhvH6OI5pL>^1xDSJ}@XK4R8* z^^GvjRsxSc02hsq7P+rE>NmQg@rj>hQsJm&?%qsSS>vt@@qA-ZhCJ34&ETt%3uBK) zUz|AqKbeWK>lebL{)cR4N7z}EaX;_eg^#k6nd8UY(S?nV9%mLdKJ;6cwigFo$g#|Y zV|w;RY@`19)9%b0M|PgA+VwA^i_m<&3qSnlyH2(2Ha@uE+zr@F>Ujr&H_-jmt~a8O z?fTaPE;Bx$&**w1-u)831F26HW$u06Y0g}DUT{CdOajdrOINn@%1DpF}?8Kpr`|Rei%%Sk+YTT*D2S3IPaTiQI z?Y{Pq%jv$h$K@vf+I~EWJ9P8dWJ|{VzaMys4xen#yYc!hhQfxb+(P|G z*BmR*m}%A$x(wO_>Q{H)X0(p!Nt`~bK4HHQZLnI9`d@p&A$P1U8Sw9{7) zackRaut1vaIJz4l&#w^ItPjUfit<*V$x1(b((^I!yJsKhA};ctA!J0U_7Cq%Q47vK zWUO372J-jBvv8pS=T^bl4(y%6?Mv`I5Z23!sNA`T^hH}a9L>e9*0g8FMPf^B$^8!7 z))&`Pi~6eSBb04oxzSsSY7gMX>+V5gZ^LnSYGe_VW3zZ6R7Kg+aLJCdYF;(=!M^CA zA&e{LDbEIUgsMMUMcK(TY-@>EIG3V9=y#?H=K;1#!Bf}{^Xx#@mUwx54tfpf1wYPi zJBT}F9(Z;Ws4Ma&BIlsh1uA2EkvShOH~57!22-tsX2CU~k;UdYnW83QmMVHf+z`dl zT;WUwZfS8pkK*Kq6mEPSn{62ax1tba-mAf$gZRhBC0NINNEM{0Owk5Z3ygl)9&$g} zLWCSku*Llx(le2M?E1+R{T$WK)h~SW?x+77HHwr(nrE_YD+HK}ledbk z#d0n^E={Pw*b-PK;XUG43FZny6!EO9>t3EC6$Jqoi*GBsURW_mX*|5mW zra2o9Xeh-7R#2*N@I?^mY*n_6QgFERNwG#vFQe&Y*%&g1BzY;m988H9Q;^1$Y$z+B z0AxZnY|9QPB8cKSUAB``X4{W&`A+Gf!X)Py7kS11BBqV9CDob~o)X>Z@UGs_+))XX9p$h!psb--BVm#C18cc$H8d$Uv@1*X z1)`Ky4Tu#i?`8T4kJJQOyL6}hY} zONW18JqfenN{mH-n{aIzrc$m=ScXYNSU*zY$7AqL1=h}VU!f>;1eBQB6qu@tqdLV3 z23IiRFqi@t^x&OJ6{a^{R-$D=iwTQa88?pFBn&+vDzbH1It9L8wxC*8>XzH_mGO#s zUXg1<*YdwD>#l$L0^Wm{9D3#u?T`AZ6F7WgD&?x^;4iz;r{#|)o%w>tAy05h4fS!u zdSk#(-2kD{qa}17KQmCXL(s9aCT=z+pI2i{AwH*W1<%TG+yGPy4DUc@heVq~JrsQS z484*~HzAXqwHAwsVrhtNcj6Ep!J_Ku1fAjHPfJpJWz2b6cJOwdp73VW<&3n(&0T^i zf;HbhiB<{0dwTv-!E?Tbc=Z|%C3W87PCd29$WVt>b+d)D>bQESyu8Ch6-vT*Av=#A zrhsUmI`-Dd{sbi^1TB*p;PX2ZcUUc_$x|;*n&P9HcBxxhrw$Usx(vC&Ucr72>PJp- z%&T&pcDRqLV)ziLNoA6HEyumdj-pzw`<#qt zVA3am7LugPl60MaRLU{4{AeAS4y|LHajS0B78mxAtI4q~z>A@gU^6NwR)BXf%GXI- z;lB zeEU4Tb9$erknbW!%c<3s7w836ed0S^*LxKfdI)>Gdj~V z{uE8M*ZBkqM#xG^j;eOu?eA7r&(LRrfM*FN%P3{y&$0_L2(ge&Z2S&UA&i!A#1O4Q z2@Fk_6^QrB3dtSF4RBs|i2R+=x{d~NDr2X_}1Hl^N zf#m^B@@~=|+l+w#inVInsu_|;wj4Q|4u+&{IzCG$;8IqeMi4C!P3#{nlA^)K2LT7K zkYA30+th!!*-y8DK!yw8dVbqPbd6e(V98R9>yg{Y<1xxwwa7TrgjS<$9A18$TY*Ss z#*TQDpk?P~NsUYIXg0e*fbs-VtgNQhSx~iF<2y#o`Kiq&*564Y=%V<`=qIZDjDu$q*Vl@yUJ6!2ZbDvu

    Zme73o|NcO9hHBlun(c z%Hr${QUe7GPDv zIIr_=le#du){t};ApBrdhj>Z7TK%w0@v0coP|Re3*x`Wf9d+sj*qh+J1C)lc-o3?1 zZ-GD2w6HvF7m{y9AuZ8;@^czmR)-wJcM2F*N?A;emsCsAfSMm2z}>V($PuP^T6KaX zPpV%|h)aYxa5}{+?GaYC7WeSTz||+601k8eX&fb24wY{R4Na8-4471Ua09DB6JiWk zoS&0AYR!LMI{Lyj@)mPt1tM}Qfu4aYz1b8{p?DD?&Z}=DY<{&2rQCcP7n924v@Sa^ zA+^?_72ygDb0vY&*&3s?1tm4HYXfYJ%8c8CAv9I;;rMZ9yI+`qh!3oc#=p zE%$J*@`W`8*j^zLKi=iI=ej6|1r2T`n9|D6ka90v=n` z$`|mrY@o)FZVI(Jm%up3%L*D$;iuvh*pmM0@T}!e0y{~RHrxtCMK0!8+$`fM2SY(n z_yhY+@RF-r-YQ5LpPYk1tFWd0)v~Oej@WWA+N2=kqwq;saTrl8JXyPK)2d#4_2p#^ zHDAu<@uqgB5Jza{O+bul(70~lAiq@x=Yfxt!W2reiu2$^f)^%|2M{cS>TZl*vAwB7 z@d;@2(DiZ?FzY@67G0WdZwCFudV8THGJZZqtx?-ALIBd(W@6XTA;h-V%GjF7kteA% zM62G`MY#~Rj>viRG#q|wEiOWVXenqUVPp^tq3@;W@dqhlok^rMbefO#sJRcQ^0nMW zXsr|Tz(Abr0bXnaU6ecJ^FT50mg$%t-3o(Id)WP|)L zT2Br^i|V+ky;>Y@q*|crocg%QXUn7FYHA(SAZi@H@g$W!2sa=`*iSXEUMEh4*?@HC zc{Y*HulK0;!W=n4Fg2ch&swSd`#@GLZfcZR!hzp&je1WBx;>ANUw!o7-i+VuW^>=uDai1i8g_4U#c%-=0D_4`T9B zSP;5s3Uk3yh_QXm1y92)dvaO@J^@cV=vBA4U@54BUvWX6U44RHW`hr?><#LYpblT> z1B%U~sTtTSEn(|VR_@1CW^GSHYd^mplp^5d|Nr)6M#z8wdmi{9k7ZpX$j34B`{6pCYXZ!A&j#et`Ga3^ zLYeGQ>Vp{c<-cD$_0?;m+t(hw#{D3D_-0Sv+-B=A`8Z6ZTR#K1kV|RfmA0>b)3_M} zTHI{I+icv70{{J!QQ*c~V?0}hC$O(CgO9W^+5G?OI?MCP*X_&K!MFLE8J6#YE$F=a zpIf~5nWeE8Zr(tt!kC+LLh_ZFS++mLC5MO}YQ9l_Z3^wU)-U zs?(M(dAvk3G+o}5I3l+7QK9iECn|~;6FNV~TKFSszO0x}X_c4YTw{dgouXM5KQj5~e3-Mmhytl0q?14??5g7;=QtVv&CIjE({bM&$ zWW+#6HC#}KV5c?cS5I$1g3g!;PBunH0*Q$Bw8^(uAWQ&Cao$ zC&-Fxg&oD_ddIIoIT5$}4oNNWKS@37d&iwBl!ZCty`>1fOQU*sV7ic!{cy3Zwm2yJ zjejz8iMtv&UwN-@-*B}VoT^uUD#gxlIFXDF<04*-|T+HBz&4o zXy9ij($c3~R*0R@$e&0tZWGI$2~9akb_ekmhDn$?Ak7Pr8nQ};#Xvnoa&nONj|vTy zZH^{ysiP#H6 zB;sW6RlU^@%;lS^nLT8_SAB87JFG`L#UM_twZ(p6e&Q%^#xa=LP7zhe<#`p+R|;9Z z-kT4^Z4EHV>G+2on=cCm&@|2MpkIeQKIEvxsiNL(rpw=bz86nm7jE^fl8GCcl?*!* z4N@ItCGx5{;IN$Iy;$&L2gh?9Y8It@0W8rd*x}13R{8$UN|i##GdxNW>zZ_Xi`+sI zjp_uhNlQ+t++rhR=|kPRU-WwAr}B@>_XQ@%x@cgE=WX{?^B2wNLbo)+Gl9)~v!!O2 z!TYc~14q>VBV!pNzky+DpN)D;K)}j;9|YZ;9fS7@?MSB(iu_(vu&q5+9p|`6JUt_-aN-%RY>KE&kk(d1X4t{_FmA{>+J_afdZt~lS+U<_raj2W zXhz~0s>Vawl+TDW?{V}-M-!sg07V*}6GsHqR1YEHT6!4MABbT0-6&l}es36*i&?5D zBdbOOql~sFIi!ZHPFmshi7+2y8G2gW^q?A+FsPVW_H(g(GyB@k79K+)k@>t?1q3?2 z5%Oc~d=QZ_(caEK8x$7l4Qe|`S*!tb;8|uzCD%YJ5;il21i>ZZNBN)eT|Bu{!j3>m zvL>5BkZZ?4sh|D)OY<{dUiraImRn5;(ZC8{M=1ow%%J-AO+EZ^YQ#k{h@K}D%9yt4 z!6J4ogR54Cu@##A!nOekSFFmz>H(6UR4WIV3H2zYrD0Bab`Pxb89|l`=lkZwrZnPe zj9zqv3cSYm&zK>t)hE359POgVSFm|Nut>Jl4A-XCbulKDBEo7!Sy)TSonjI;OEgCC zsYa{$ZRQwJes~SBf>W$?uWt}G2!c!CV>L{mO{YcYSkz|AiBVpgfUVkdJjb!-fY~6` zu`4A>sEmbt3sf+~fhmoeUr(W+19|Esw>ltXlQIS|zMt6sRu^ic??*Hj8-NPWj6)B$ z1Fmfgljhz0?F!*=fCYa0Duyln_-_}hZ#x*c*=1{Cg@@230=cTSC(rY%U$0T=xB_G8E;u~xQThJ;4FE?*~2i5lrQ$$xg)uKn}&oBfc}v65LI zFO?+rZPD(RlVnpl-yV|A>uI=UB@tJ5$NFd@zihfKUgw-*yC6W<6gHgV!P zS5%*`;nx-oL%ubT)RK?uj|Mn084OW=f^y4)a6lf7)APonRzd6QWb)LA@lsNRzk6pP zZ;1#^yyp)uM#{k+*)=*xPeE6o`^rmw&))v|pZ{>PM{{+f6`m}oY;|oa%2RJvRuUbI zi43Y&lR1$0Y6rNqPpPH3N$O~MXr)KKFI0yiw3I{>%qkK$IcbO3$tdDw>h3i{M<1gh zOhHyFW%qKRBQRS6p5D)N6M{txavcQQ-KJO}uM%}&`yj15$%)T81oVMASu@hs%;^+< z%vE+tGMBSiC49g)APH7ekHl}vmpeh4!8k+QfN1$8>OovY*h|r^K@W%S3q(|N4=dKN z2~y{_JXo+2(cM&9unwqmWgS>=4m|K3Qy|hW+(uBr^xRe-Wl@<_!@w^3`N`HS+vW;2 zVsr1uVu10~$S))th-5u3OQspo@$3)*HjoueM9^Fs5 zm4k9XJgJI1NeD5b6~#KU>di6=&}6$XC~YtX$Xs5q=va`j$;v``FA&HMq*Z4F;r50+ zTse*rthm*LNhb-b#Zg}MHpc#}gIPZ(1(I>nOpK>+MBWCbxIsy%XsfZRZUYACc1TD{ zp){gylJv?SNOW8$kLY-MD~|7s_EOwx>_Jnnz&FIvjVzH4WMu5gz;0_0R0uPkfE_Y2 zlsQFI;vB??E{;tpwXEcO@qbPjp(#MZ`(i*|j}5N0K^dS3 zfS-hKO)!)VBbU$UMu&h}5N*67*-YE8Ls9^WS8QHAp$w4gc0RP}g*OXsz1k|enaAEk z)M}*6S|mdS_VX!iD+-t0F$!p6b-CZmwXML=dkP?A*~DlQ2L>?2F?*7cNG^~<3V@J8 zBv(tT8K_{n>;S&5Ql}q*NDjDdXgTr_kkl+eVp|=*HKk#fA6^UA4C8lSDKko)Xhk}N zk-OIkfm}5aSPMFA*rlkzT0>SK3%>!xV4TZ0;c^=pof2Q4$_d4TRwUj@;o% zlVt<5qH_1Y_Mc1Sef+gvyBM>T;I*_a773S7DHd`vrSBXm9+IB^^XeV?wtvn<938>%q#0bb!5mfMS#u{@(T%tjDr zBCA?yog&SE5tg>074BB-$>Vh$syqoQQUs5)ecA*@&>Nu-W8LxX0nV&i%jb45wh>0h z>W!@eedC8AcSJFv?vyNS(y=Km1& zK5%VR*#du{l+s$;%rJx@O(AzOfeC*NrAlk9nVSJ7ff7lv(yl&D8f)6R_Ce7f{#nfo z1084zON@%$`X?9^|MrDat^4-vE-_ZNR{M(GzW#i>>q4pGuKRoe`cK#0-%0EH{N_Uj znEb!z-h0kH_nhy^GO{uEB038DK{HKhdXg1@vM8kpni?oRK<@BheUGFe#SvpyW>ttO z$HqlrD=0y)qRD9Cy%g+1(%QISOQ*D1KTp@nqY~K*9VZUjH(lr98A?vvr14VFr4}F zqoK8y)#%ekBeq8sD`t!n(s&!Ul+mQyT4l*^Oi}I_ zYQk6oghA2E*T`y|IxfNfoo1Bf+0(#vw)rew9BYQnMIU?;O}a10YTSgN#h8+yqo>o9 z5q4b{Nm=)4+DzdR8rgV_)Z^x|Ss782?E5L7Ogaqm_y7+TI~L0ra;*Xyl*R|3f8U5o z1tDZh7exc1F^QB=Z6wI_)hy2D>3?o@DZf~0YJ=ye4t2r?rEmsi7^k>K4cG89cJ>BJ z4rDM|KbSIpiq^Mw3zhR zGK`fr@RKfMtu}wJ8)gBXAQu2dIU(5SbAlpsl#JpmV~i`>ET_6on-yYcY+FPFo)t5V zB*Yj&sFQ}mtR_Q*I&IRBk!X4urVk*Ng1G5H3r{_!$Q1a5H5qvV$~p>H7h^$A#g)Ad z0?uLw18IzH1;O>vG-KOt%y7CcZvO3OHSl#)2d_ypX4z6PM`^ukR<#ny`uTF0C7@D~ zAcJ1S=|@qy{WC!hp$5E+qW)1(mDv0;{&gRDf+H2rPJ5faM2aK;xL(rO#b~)9xL=wAsx=Pmi z*#^ZTRY~986K`!@+4bM4@0~i9wzu$I?rCOO13`hKdx<2xBJ*i=GUK&nu{K#q<9i@S zQd3RRq7hU@nC)hpL}R)UMwOf<1vFVafS^gu363$bnTkfEP((&Rvz+l0Fi#Q;OJSfK9n_WN6U-`#xu6--`99ddf#ML$p z_H~I?=I6f@U{54X#SmGds1o2}`7B$O5n}7oStHDh-ABc=993n6j8bI@Wz?kGpyR;I zK-zMo448~~Ro1``gR%%^GcJfKnK#984`K0gX2uf=rD! z@&OI>hlXwq!!O+c@p^#)(wGJWYly_i5(&gh@NE*t0a*zMSrp3}L2a@|T9kbh&W4&* zTvj6uJmFLTp?Y=8Q%_H<+}aEuB6ZyPiwVBA^CDFpKFrZ$JL#>vOlbr2_I`-D-a{4~`@3@?Yt@V+<(gL8zPvhjO&x7|?M5*_!V>rIQr_LHJ zZ_68M*7I!<>dUUd3aMx0pu4?r%~PSFct|_((}(KqCA_ouo{?K#f2(=Sd?FXu^XAuo zXFbeRMtcOlr>dtgHlcdU1*SLqGfU!jO!|scI(8f-XfqnpbChQ%%dMyu%ke6?j@*sy z6TeVP@Fwzrf<8W77rLccB6A-tO)TtGh}EzgQFyS3K2$qnZN};;679Gpujf_Y{5YB>8EEA1hTd3SFC#CN+z07ubio<_V{wwl`=PeZO(}ly!68N5B~4vi0zti zG&j<1`EIgeM!6K(#BvSHR~JTO9lqA-=TA|Ul|o>si#;oL(7W_Gdx^D9(>pIX>zev0 zCYY4|gFO|u{3Z06bWEAMTRUZ+CCdD(RC@~#(X}tN7_Lz7D@nfc&Bk1LzpyHMH%~g5 zH{R0eJ}Z5KG<`|M^8VgwVc(se9lXDSuf0;t);lKZh+^LG2TzBv{by1cABdWThnq*0 zXKKS|6}cm4@rg$!XP71AGlj=Z)L6K^`BN>|K2){3c)Qi-kRUnAV`iDo=Dx-PZw`BR z!(Wt@=jgLStSKxMVXyLBNxwoR+h|iuGP{tm?og*i$KW2lb(yFX!aMg>RdT-g@%2s`K;>JVU%1iIHovMe83K z+c9;nGDrf6_)>i925&*3*z&EQEU9_Nbo!JPsyx7c>$_qIT!QWNco|lB<&b%F<2l6# zAtVBsjcSs02DWvJ*mOVHd@ACcd!6f&=REbly8W%%l{@CH%Skg+*;QTfhH`q{;PGzn zm%*lfh5T@*I3(rQ-Bpr5SVLF$(9N#CTRy$b*YV=ur$wl5)U?@uw{C_VRjf|pQue2O zDS6a#kvYl5>)T2rZN!t*RC&B7@l7FhhDrjeo4l>)ZB(^+2O-Ta_{kvjZhT2*Lr5=<{5_D@q&YQYR zDtr>Eb9^k6^8Dtq&JwbhSV^#6GZ*izP7)QeciVJZ8Qth8P`k;(s8ilN@sQY9b(_f% zAFCOaCx^}m3st97F|OSEApbn7CEED-;Zo`w(t5jp{WyDb`G6_iTNKY-CGN`R`q@9mV4|0~;QfE{rb6XsobPS@`@+9nJpOOj zrI)F;l9gtkXf2V=te-EDix{B`A7k3s7Q_@XE&LlH+(D5MwrId!{5oq^jupRdW*x_h z-!iik`$NZSSaEQqhMnl_#dty*W+%~upWbRjJ1Wrs{FFFaqaQpyQi6tyQ+>&b6#83~a^+*?PiVKg2q6 z%%hB8C4T~bRpkB2&;fzWHJ1#%An4Fq3p&Glv$y3fh`FfVT%sNn+o++R3?3BSjch%A z(1Cq$=I#Id!xzsuR*p^lICPeBs?WHrn^COBS}_fYHq{j3_sd2_uX?Ls`8877gwlFt zDb47mWNzHX(MY8ghC!4+*%13ZedBVJu8$)v3m;nU;G}|!~($F)ELu2xi zn+R1Hv`89360J&}lAetXiYQkSLaiV{9SMPL4oGkz2KGcVI4q1NmbrnzdNM(1L*Y%d z4QlRGAsWooo-|(S0@I8M07sEd)F?s@_+cF4BH?ny;r}_g@{Q6Tn?zYA`-~yk$i*c~ zvxcm+K?nv59VSYM#-mUhVE~gQBqgt6WB$-kkDQzt)3T+R1T>jI!GqVDJ@bIc~Nw+%rI%iGK$iHb#_W!q=Xy{19S{{r8Y9F z7G=FIP%^mcmazjSXI5vhmh6f5| ze{NhCNmR&`Vr^u4*t1-yPA;i3vC!s=(9|xZBIY%^*ps%JMg-Cm*^xn9jq|;h39p4C ze}6DkmiOm@`(jEIGk0uGtYuFSjoDp>)77ImCJ*tZCUI@mK13a{`iahyz?vnb~H0kSo=r5<3CG^1T&NIZ`r+3i`;( z)he4!C*V$s8q0s$LA4iFJ+8a2qf&VAUwj~lWu8$bRA#NGORDdi3q3@8%2l)cc=REHs{)+*{Q5563WE~UIsKdXt^TxZ?K`~giGrfxHA2H;&BI)70Y)%n>X>z_uf zT6vD1k|s*%JzVX8eRIULWh!np9I)mqtT7bCNlv|;I3BXU@)_><>ib&b6Ey4zTpf4m zf3@;Ahp)>qte#g5WL{SRz-wuiYK{vC5Ux}P#d2TX|t1~$Qv+FJeTy~oQw7eg+O z5~|CqH=uf|#Ng7sA|y+0@|Ay`iw(0t6b!=c&~p|lgz633p1t)++D?2ET9d!Y;7#kK z=jk_TSL;M|Jz1g1v7x`hKngN&gPAUPzqOy&4Y*njkRMrx`8`yj=&w5d!IyZ2kF7wu zBTBpgDFYSNug2tg`XR#u-K8`nNLtZMOlYP4J={ipM?0#Zg5M@9r1ajPzo~8ATelRx zD|=UQPW~Kq8B!z10BEYOrz-M>CI9-aCs6cm$S0mbtyQn}u>Zw{Hsw9Op)Btw)kX5n zz7EsWL#dH-5lvKJLwBs}BFO8&domFr^m#K827WG8s&$nUY(dkfp*_TjrPK|y3lqKy zXA`rxY~mK?1?d2)-7sm`zKJU_JjB&gMLpV%&lVAr)oTho)iflDa?gIk?S{`hUG7p!caU%v@pQqVauO_WWk=N|gLjWa)+MN;8*$ zB*TL}%!iDtR2(oVL)b;3<&1jg&u7r*;u4F4?1UwpDF% z3`wzJ_89J|%ooZ0u2Sq>&33B8fyr%=UVX@nuZnzb#0btGbU*5MQ;YS#X9sLISLj&L zZ=igfZ60f_VwtsshNQ)TTOlHpW&$fg(bxJiX9pk5#)Ce?em zmm?CXWoCv~A}-4|3F($qF66vHFB%Ev%ZSj5@FX)!Hg$8d2PU++Fk_dNJi}$9L?JOx zO8Z6I(>=hJ7{^iAB^%b~J@sWEpgWy#F=VV^6I-nEhirh%63DN`2tW#!cM)y4hCahcO>ed|Lf|i? zql#Jq%AZYRz&`*iqnzxAnXUzxkqU5u4pXQVVr$FIY?%l`DqUHF;Y1hb@0bPTY9rrg7fPNo_+r2R{`me?|ME~dDwqKJ_d>YBFWN5~Aoa~U`F`|bNyV7_ zKJ#6}n|eT(Lsnk5_iR&#b0)mN^*;_~)J0;~=NHX;#$LTD)HQr0HkOv5e$o4-_c8qC z0}GtXA8hdJMxQ1BB4)K-%HDWndR4a|IJyHsIMs@PhnuQSWkIS z(sU=8-1Y^EAL)90ax(8iZ~^7hbrHyGbcX*zUamYfgf3OS;4N}Lup#==nm;x5w=B${ ztALtV9d1a47GHw4;M?9><6azbvVRP`EvCK^4zcLDN}+Ru%n5_ zE#*p)>EzUzb;ny>_5}46D@E!J#dLDFK}l|}0xR!IyLIv$eW}{PUE+Q_;Ao?6e=@jh z)%f`8PvlbPvq21KhFBcvzE%4eyB6Oc3cQ0QVB$f~jo=IXZ2+GUD=hN_WQUqZ4NEv?s z_d`gvS$FA}SvOzxa#FEP^(}PK$~%yfVu{~b^?66=z+T`s*%M%DG;&VEhK)**lpiz^ zCqA&Aou$Em;d|#4KYc50*L~e>)pw(B&Z60HsEfi&Xpf6jJ3GGmuDeJ@CiI}EKy{vp zU*cc>=)UWd>N1>Ot`ypzRUNa{6+45~B^~1>FyT>=a)kj=F?XLoV|a&XY~o#eo2AGJ zT1wh=a&o&NcnrkkTRTf%qR0EUhtos{wVsXtDQL3Z+ll`Evokd5n%DUo-M z^^)K9n$R&^Ss;^%CZH0a{hkk$qq?I?toF#O1NJWkptW@uW3S#GrR%tl`HI#cH~J1p zYE~+dv++z9nkkytgQ;H{TB*9Z;nRA#b(W!%fppx%{k3Nn=>NfSdN zQkh+3jtI7dZIVv2rAKAKj{I8C*WjW*&B6+D896C0Q!8_kafGWye0V=V_(ew!nOZZZ zb54m#a1QPU(Kgw;D7pxbFvT?!n8~I-7Z*_>M=@9JfZ*6R_YE?{KaD73u!w|}S9v>_S!4&;b0%ruZ68mKApC36w!*wGzjorCN0PKU>6h?oID7zKZ;Q^ z!2`DFTnn85J++h?g8dNC$8eey=`8dz72*h;g1*KpoS5Wz8_k7yBP=ILHM2`|rgiR( z|6LgR(dDy@U!kz@)kj#9GEFsslFw8IO7yrvnZnGC!~FnTMo`9}X}{=z(2D`PTYyFb zbVDbHAo(`jBeY^DqiuJO*E;=9>CSzadU-jf^wRHHs;WHPOLkz$%3(TiFMKIjiz!Db zFq^1o^_`&%SSWjpcqFel5&&FGF}*nSH3nux5mw5bEnv>nLr@PGeGb4g73*(c86aXg zA9K%QD7<=NYx8mxs-O@X?*W|So$|P7r}OvuPI5xG0wxf90|{=S3F27<{erF*O_;03BtR(_A6|J-SH6OFYu&A2B! ziU1GScd4q*@a;nIY-7^YlJ$Eo(YslKbOUmNQ9+_`?ma*wi8=r;Vm z_^6T;zNTW{t0(tR(?6UnQwoCES23}}W9{>;#vkYG$yVNba-Oz#ClBt{Keh4r_(yiL z^hnzR05qp6XV4t+?V7=P;y0VsfjxP0tn>WySNpQPUmx!v7hVgh3;zu76yV9>@2}K% zxU9#IDD#JYT7~PMWa`f6D|;Frn4PBQTIO&to~hLa`3PfCtv!w8e-{_`MK{(vlw|Q+6GS)Jf`xe>G0e1n?bV_ zdARdyN3?A{R0Z%t_L=!a3HKU%7@e3G|Hf6&LQ91~Il9+Za*KBFYVYk#ZoIY8`eFX1 zRi}^~iw|{n#|VEJadW&a?~J8&-M@29T`c5lTkhpf4AhsF(D%}Nr%opK{hG2{XM{B` z3I~dUd|XE-4{;A|oVcC->bv+J!)yQSM)`gBU6EbCiO!n>m#=8AD}YR1-LYQ2Ym?M} zfAv|A@m{cuzV)7jB{Vw#a!x)#H8yv28UBaAvi+s=bkiv>koXo>f4sp$JzWBBfxTjK zPgR|#zoNR$0+IM$7j^#YL~_$ZbdlZA({5duSIh7wZzuhk9!3$k z2V&D#KP}PSJ{E5-=mQC>?k@>kIF5pa?MgKY+|C7w?pj3g7xIk9Q{|e z9|h;RVL91dBX=mtLb9Tz)mLH9*}Ttm$TLa3_D9r@)M+&qAC+}Uh(t_sH_K~YIKB`4 z;`{;BRoc|=Rfc+W^TFM;i#AOO$$dU~Lt>3ih~EToxq|g4f1oGx_cl!CUj|#Of(EtN;@Z->!tHa_ z-1a}7Km7C@J!yD1JZY$|2f-e}11{ZXD|MeO@x1ucT+bnU3JrM{WDjD!oD%EVujORz z6Nj4f_uqmIK`D1sg~=zXXK%PW zNl!dZKh&D&)wO_|p_751OWD7@v?e9leJn6GkEnoYN(uI2kq%#cjjXWWc1~O{y-;%i z)o<8@KK1l-a;(-b?a>cBSV4C_Xv#awt$9h{nhxMi2t+%t?xc<=5!h!OKSl2t|G?_A zTZPGLC2ru8hh{6*gWI9^XVD7!)qe+zsjsCnM_u^kH}NHJ{5Wc1O&8BamM}05Q;Q~v zn;c96aM3(R^}ETzI;ziEKn;ib@K);1feLr{9Q~-+2K@KtKKJwCQRfUwiVkkUj5e_^ zq!@_tT&0VhbLR|RgjI|SVYuIUPYQ+jK3pYA=*CF7Qz&7cQ{LG6OLkH}WqDr;9ma0J zPCgffCGan~nJ#Y^zn~m;Q?ulw&ZFV4#a5t3mK+3-qIj3+WTJLu+Px4pQGjf>I6)^z zr^6Rf$)L+3F2F08<(bG8vCjP*%a#upLAZ`9ikiyFUxcoK7%55aAlKJy1?=RkyTkc= zU`Xc3!@rR4u>g1@DGC6TOgd{?qS;|jQMAHMJ&gE^T>a3U2QQ?)CUa(}ot-0txZ25# zxlgmB2)HfbQPkAuE})|F42qOldinK@s6r%x_+}xGQolr#2d#BZXdzT0*9^{pb7qvA z89oh;4f2BJ+}20MqskmMSY|BG*Yu`vkX@5%>Rm=HXPBg=p4q3ojqBV7sG8uEC*=z$ z#sbPSiW(6r$!mx{hP&p-XF^GfBl4&d7FE&EVJF!$M~3&)n}++(6(=3qtL4TYCugJz z%z~vsnry+<4VFp$X_gfSEYyryO=9f=YLM=H7KMZy;5d&0`9f-QucV|*6wh(YMB-E) zY}(9G#-j>VRUAq>n*+0?uP_n{fFmO1@QC)XRmFNJl_6A0xn{Pd5$jN^^QdwGrI?YC zPAq8r4dz5S)=ug#KwG4;3}aCsak(hOi2geFvncVF!pEpY=`gtJ7lSOcEliC#gIX8_ z{t<7!RNO-G&{Z=A*aqAIyKWVj0^R6VAT4DT&6^KO8D`m6ig7xKBo09DSXVrUmP8Ho zHz)yG!i$Fyw@8sE^^^#)dgac$l^9~8tE2lM@`N+f&(z52J=C^xrI;4+9PZ>2Zy_0w zW0Nw}lxpGjlLCZzP0|_0$%7KONW>lhbIMCq*b(`M`^owLoXh>+>&?8PF@-#oFFk%z zy3}#96BOX1!8!VGCG}Jp%x4v}a-nF#rGtFOpUzqzUj2<{(vT!zMYb1aO6f>kO8)Jp zm5@T$8!@gvH{ zl0zlbtv46qwZr_C`^z5ySR@bB#LH{n>~J-0fwj>`^9?|)&G+cKg%0nRt%>pn4EPf9 zZENt7_1nF{)HLbP&A+(GpzBV?b;?5W#qI*9Wk-RXO15sYyVgQ_X_Bg^VZKcERk{qF z>=V1cdF6@q-U)|vO-f|KY;lEf`AA%=A?X(ms$=86av#oU&JS;Ex`uW@bBm(6V;J$)i0#{N@NUBh8K>uRU#QiN_uOKJZF^9nfu2-=&c{`fsL>LB5F?P$VzZsTUu} zSBg9@o?p%X;W)qt*VY)mvOZl*je>~xRHba4M=WQ#NAk`l!N`H(0PY&FVy|h$x8^{;vXguYpD5umlDp=Q35& z0w782j@a?!r}y(uco*UA)t^4FAtmPd;2Z*34?MIE+!gD-yejLuPme>g+dPQ5(Xj(u zo>yBN?DMTD2_KIsr*+Abl`i@fKuXS-o?JI`zK#AzB}kbMgNUz5*+C}b+4zY{?=77h z-H(kgq;#U4y{;33VDTLMxVj>*53GZYy6WzSkhdJ`nDgt7ugW{=`HXl^PSSruHBw|) ziag|8-|1a1FAyi0IV4t~;2c*0q80(I435k0izzAVJ^v@=oXKh^O*Kg!6-H$WY@S4t z+Ze2%iCNkGl8D~&7Tz!ctF#8#wi(<>%^w@7 zpf7yi4P9wcuGH-tEKuoxocNy)e*XQv_x(7OYby)4Pt@8!rxW+QvXB1OueA1^Jp|qw z#YN*}(0SJ^PS)vV_o4H59{LJooRb>I0toqt9C1;5AFR;rZP@Yx-sgG2b%5WGgw;%H zrLvH+dX9XZXpev0?W^d^YbUNugWH7yXH=5iS#^Czz22cKuu$l^iWd|lbnE| zY=EJ>W~!*8@`4?*XRD$Gbzu0wP|jAGTzSDGa`NDtRY$vz+V&5rg6Le*>Agr?B6?dP^4_{sf(} zHVkztqjD@U01_sNi4QDu@;>y!rw2eK9BMk?{dfaReY8B_qSw6cqOD&iOef`Xu>sv^ z3i6+gb|wd5IW#kWyn_B}E^hQ&^VL0%?fd)Kzh2$@<6NDIg&Bl=KI7+f(_taye(xcZ zG_F<7<7G7yb@*3+s2pyBVwoui$#@>aCP}K(Ewo!MAa71f_y892xL+wvb(FDPg@Q%D ztKoOas}=A?WUSt@3E7=eq(a11#eAXd&yQa;VT3b?0KJ&_(fllH=>7Uiy7y6t8$DqBEy6T2YAv(IovVWdIP?WNf4b z7*0^IL?}e^=lVw!Zqy0Of6-rGNfxpb{1|B1b97TDOSOtzwa9EDC|NJ(jmvo|IEd~> zbOee_a;wN^!Cx3E2eQLba0BF1J?v!Y27Q4aa5=MhiDF}p)PG-p-)Gg=$CPGSC1kck zP$gs=Kn!IdEr8T48vsn;NY`p2M!2fUk^&Hu2mzY5>*w^qwZ8)J%(NheC&PW$&$1>J z#hMn#Vzjg(rCtQW$AcIGO%*940B?~7QKhLruU&z6y>=BsE#YmbYmbH}Q}928p2FK_ zF*fn)m4Bk{l@I4$zgL5^YMrNrtUzz{PGSjF3;-CxL2=wNnN7bNDfDvOP9+L)WdDfz z^Gu}%5gKl}pa|&}DZ?!Q_kzt5Y@y!q^gvApB{99c#uv3^4M|-s(+Xe8qNt4&gb`z! z)J159A}Jk0ezBGNd6vzqmTyvK2Y22lCUa7}}T>0Av9OLe@gSu11p*o|0}%1J0EqKpX40i~z)l%ZN!c zLP1a9Vi-X@Y+3+fvY9k^uo;At4!=csIt}r(fN@(qcX*51#Bp{{*Qty}+6sZ+k|Jm}#m8W&^Z|b;cc>ufI-QPP;QTGF(l8Ved+ZQZ% z-W%~GzEWPZxazlE^fb1;bhPwH_&fc_dVo~U;d4O`v>H5>c8GOWrZCa zH$3E2I0EF!G4!Z7H~EfpGhhyX<%a55m;}tBdvK1t#;E*Qf3Ia?-u)+w3++xuD$wqW z!xfG0yLQNy{E*+tqqN#=k%IgU9t6 z2SCRNHwPOzc+Ppx?!(p2i?2TrKCC~xFnoJBx-fj}L6^JgFPksc-#g5G=l-Gue@EWC zd)wTh3O7{UI_y3RZyRPWynWT_3Z+Pvx}$%Y{D=F+-S-BB0BHJ?-y;`SKR8@o>icb{0K~bio_-2BW9Ag{bLV4i zKidu4Va^udd}91Vecd{MizZX3%Fp=u@Zq(?0JJpk+;wkheX^@UOwlK?`-j~>aU2*1 z#NjYE-%?<4&g=h+>PI^tbI*0(zHM^h!-{9C+T2$?E*$=cyT3Vg%ITU5e`|hyA$&M~ zcXH^@^N;-YT5r-U$L}k#a2y{%oj$+oZHwPwfnL($HP~aYA_9yeK49)qCh2y`?<(8r zcc|XgVqa~pxj(FU0k9a}WQJ*y{?55Ynd{a;b%7a#|K|C|3=3CSd9z0WH2GQcB>l^X zzaCb~OrtwjDc3!V+SwV)EPfw$i@&E~<S_{+^47YQ~Q2d#^otpqrsh`x(pqVf{F&b0W?ZE9yJ6E|SoY#SY z=|yjS2j}mqr|Zf}XooP3scHB6yOccB48?yQdb}t8(N5hMxLagl|s2nQTQ$QV|ct=%*DaQxDq)+E` z;D4Zh9zM2m*Vor&tFbZxA>9nQDFK+nY>cGQu&J3y!@-V-Xl!A$nptOtEgcFO!Nioh z4iD3c9E)J8<*u=VU`itycy<%qt{;#=0a5ls>NQDC7G$ubbRZn+H7$fSngI-X7bs0( z16hG(G`tQR2*@y6O0$??TUaB)DqIp$A8MJL6_BN+Dtoo@)Q8lK(K}KPP8}Jx703va zGNfIA-q2>^3~m7aZ`Mk@9#}tdo;&RBUq9Jh&9!3s`urEXy7sD&2v?ff{OB6^D z$_h9s2)Z;zAf}OGQn@&gjsaR4N_LGH-9}!7j1^5fg9AKfs*DuBlzM|TrrUTKr$d&c zlm=fuqyz{SXJ|73kHmt;w#|wfDo$z_VZa)ulP_P>+;E-Zjj%3*mnFHCxBdF+#ee(9 zZ{W>R$5QwRo3Kuah{iL1ra@B`WFVx14&^E2po2sjB2t3rpT~{U#CF0aE^E>th7r}% z+zOP#r6Zd~8puwi2e)Idnoq38>NpixXOpaYAZRjEv}8TOw=tSD7gak&kDKKzDDXB# z59jiw$jg|i7JaoxD#dGz;{krW(2l$%f&rz~Q0_wRoBPFBBFa8bwUfPMf)OPLD_3{( ziPuzQAf)N-=_VpA8z3xXhMnLvA9Um8jMCGV-61daXA+32)KhFmj<~pXh1w}7^iV0& zu3$G4dryn6E@8h5mIbf`A8N_NL(rli-c4{9szr5SC0PX z^1~~CzxsNE!5JtDAObFxbO~8lHjr}a4~+?asnGMFOg0=DwyYF;mCXo1=S{c4{h)Q> zeC^24Uh5ffg-&(2lwwWej6NHP3VOZ<`Yl{02Lte@B)|#iR7+W~ovs~x>YNGh3h3=? zHeKremAX$ZnQvOC`17@gXqJ$)E~vKTqJRZ=a9(OZeTwHg{E8CeoFPZ^CtXa3FmL~t z(_6BEjDi87qKp(N4?4G&lTjyzG%B=g+_cz=ryIe{$5WA~>!e_NtLc{<^Bq>bqOG#q z*F0i8X;Rdig>0T`a_ijcW9Ai3hx|+r*sk0GH)Gg0H* zu}U&i+j_ljRq92Lput9#xrP3eLFM>AvTYiVPUTrm&Ny*cVNc^Gp*SAl8XMH(_|=Hm zi$ciQkxhE(@t<>vTD3cGNe+!lLuma2c2T!yqHeI9(M}Z7(LCD03z|aH^1k!cOD!{{5$zVHzwN}O z6Mz2U)4=r>&3Z?zBm2Z2GQvm8xjRO=%32{~lT=TOuOs$uCFe7ZAg~`)P}EXERzs3d zOD_l?QNqpQ{e>8-H&YzBo8a#76bV!=EgMMh9aM9rKz&4MKc6Na8y+kfmu*AR^MbFC z98g8~b9@h8*MO$o=n`GLjy@*%IvaMfg~W(ClBnZ0MFS?t?;&LC&)dCTQdCN6i=|d) zD|Wj$etiHU0*HE|*wH4+8+0nbaxHkG#C}JY>f_kbs&K%KI|Vcs91zIWglv{HX$N}; zocKwKX|~xXTqIZRsYji~F?tG+j`TrNGcaZ-j(=!UfIUcURl3CVb^fH_e7}v>EJi~C zDP(K;t$#x_s~iHz6-cN`pav4(S&JsP9YlKW5V%*ju~+{6i{js`q~q7y64H6oONHQ2 z&xFJbb#Z`+Nd$>Bhr%t9X!*At^fFc%C2pWN-l!R*6@#Lg8i9Kl@=hE zas0H}RD|Rg^%S;AnFf^u+$to8EoX(6`{ap6gVe}C+Wsha1FZ&xG?{X+l(mLxA6g*? z?tb~i&B~eHEMt?AkD3v8T7-HidEr8S@nA-P%V2ckAglGNfs7jQ?vYM)NwGL#OA11% z2+&JJ`Lte(VUkxoMnYlIZJG`dRWXG%o`RE4T`9Wn$TvT>51&cmLE{x{%qSztO63(v z6Yb1Xri3P?#z3cOX{=eIItJ7#8=HwB*{xFBfwD-d*|wZYgWj)Ui6!b-5hF2lAPzzB z#|cul@d_^rVJXm{h{~AQFaaoFrAN%_!V@u3t)U4aOx2Jhd1}VykPlMyQkk!)$sTET zs2SQ2Q9|U(W+AOp5e8kPm}aaBNScssS+!cFsK&*EGOSrva5hb-jJJtar2R~ti? zCe4Fdapju-xpdh$fA7CuZ}x;s&{%xsz`9r!{pSzml_wTgBRa8u|g|rs-_ANnk{~{8?UHl2K*RZHdli zSe=@Ao}AEInCdw1w{BpqndBHGSm_(if2;zs!_Sq&^@I%D#Hq9bBT z8msfDXb}-|aBQU!K;N1+iBCr?!FAQ71ws@ijS)d>mAc6c*~WMbSS6*5L9E3iU2L!C z4xaV}l_A&;$SULHm$9Uwl<90~=S?0_bPCpPie?L`w5~zFpi?qfw2utR^jk(g(~UsQ zMS5|3qasu%wn7T7jalSQx6y+rATDxfM9h<*wKD~U1siI=Tw-Zpvhp2Hf{zygp9EkF zR({uMyjkOZj0_4s!7Zl8j$zTlPE=`NfufXz9P~!ISNU{>rN!*PU7vv-}B`Ms2;ob z7OFy^9+q&-&$!L>x^B76-W(5%5@Unaa=*wSZmICJw^3wNttr{?99z>fw8{}UJ2Vt} z!P0=vDwEHsv+_*mkTm|12nezJiv6fa9(4LY7e@a}C|nX5b+O`1;%=sA%}1q^(zMlM z5t!-c&$HBx)j?eipX@a$yXBu0on+gDWj;?g=RHi@-(@=_NZQCl-5#IW8!o2Evz6qT znTNsp26AiqQ`|&K{=7HXy$FKFQIUB0KUZJhx-hF#9Z; z_x8x_lrYB^QvNPJ87$_Y++|Y7ma+2fNVlSj>7rKZ%}Y{_>1;ga4wn_=dy4cM&5XAI z9p~0|>V9Sz^(OCm=`CgDuYdL9Js<}r3`!II3XmCiCKIza^2QlYs8=CJ0)v8BRNd_zCwfI*pNSUbsnX)wZo&uT zmTEe;v#~vpPD_R26dqDY^R{SZ9z&?gtYA9FDDaAqHC(Ekk?lj|Dl)Lb-;MoRf$(LM z5)skS6VAN*A7m$hFYcm6D7?<6H_#(JY&x?=^%_SCPCn8xw9>!t$Hr?m3FM%QMdEo` z%#_A~-`wZ637JY3bx@q3-7q&rCycP67Q-XYG1^*#4Lh}2wRF44GPoR88F9M51Jy2+CVLz$0`5_@I?d4`8ol(fs zYfL-kDz?9S zOx~2J8Cz~hXN-mXR%06V3DZ=;2*;&7)+01nMj5~DMs6RMGx)RDf5@?YV7%U3OZkZg zen+he&d)%`-CV;^EpqM%%44*gWn58eROF<+nsLU{%~&M4(`bcQ#0q?{nO=q=fqhI^ zB;zxLhRuO$#fu%9jQ?!XY6j>cs7L{`)if+b>BaOU1Mehij{h5amOH^slnZ&|v{&Gv zLeH9BCjEfO8aowvv4TZ0L5XJZOpOA&Ymy+dRn4XZCn4DERT>DA%OpvkvV}v_$R0;_ zHp?t{v=PeIl(0jSu0FYp0>k7#9Ulp7r~FBf-K@9%w? z(x^Ke&i1Bw33Ec&N!0RgB?!Y~#1|xJTC+rudqdAOD$`vj#ze|n%CeeCb#VjFePj34 zl3Q$ETa7G^a5gG9#?9bL(!{cr_l>6}WvpqLD7B0Z4Xqm77cUowd2yf{S*8)nan@!j=qrr|UBs2zOH8Dsmrhn!1U{uvxH=+d;6+S6(pz+GE%C@bjg zYEZ?-M++6Sn27L{jyflz<@QX!++u8^3#BCtDHbzxgr2EnJG;axB~K)Aw3x_%9HSgl zJSdB;il!28nFtpu9jazf)>63T(2zr4+f>hB0g68KDXj8aJmDgE8ilZSU0|S5Ur1(> zbO#oIGn1k2qeKh(3tt>=tTryCs>B@nRbKXJGx$MXJHtmGmWNgoDXVfoQj|Of@^}c7 zOx#GS0f0`i>?n;nw^*vKpo1h2MZypz2vft0auh5`m|I+{Xd~cGp z<&CghS^neG|G9AfKd-mdqEZHh`A=bSXze7Ib1nM_BfcWzfVZ2Bs-=9^9Wlb}v$gBf zb>zTJqG>$vY_U0fg2NIdv+K#JmMoon3JZGHDL@kIA5DBE>{VqA;RA(o-R}c)rKPN; zbD+sw!&I8cbh=Qbmlpa0JYtqAH1A^%VC|VZ+o=KCoHvx47nGZ1)<*aZ(hZRG3#N`I zKxb%Ms>2RrKC9OUtA5oSUGp`!my@fg68V+Uq4Y0G&qW3dcywO2@ zLNqjtiA~aKM-P+<&(uwE)SLY|BWqB<#9Utg?ZWWNp|5`IWoMg$;czjDT0T%}czbUQ z)M-~Aoz=mF5~KR6e+SU&O{}r`4qB9!bL`H5gcxr&rp1%l{1v`eit2?9 z0g`G*iaGCgiAw~U^37V9Y_a5}=I@(g1uz)us_N zmWcNAD40sZ#10}8uh5YuaWiwA1Di}vi{g zVN<2Mx-OJf>{j>pr0tzoO(dE8JI|SOp7WgN^M2B#IKwa~G=Z%sk+l6=7@$ny?SM3* zg$9$n6h2Q!;I(29HM3kgn@Q^m8%(MvsxQ#u!djjo850YVu0Be5o}rp#D({2E`Tk%3 z^WR^(`P1#`ZG@IrC{_w$uz5+r43&z2QuNTnBE+gRk`O?GMO`5w2oI`hDuam{Sq_TW zG?{}TOcNrkL2#$B3>h_;0;Y7vL{KX^hvd&EX-!b1fE^c%N+#$oK^pT1VHXsdMuuo6 zjGUy4kQ&-qfWn!SF+Ijq!c~*bWYgFN5q1WHONj>2pxWLAB%NLBLf8^n6NFfqklM)9 z*y)6QxmX@BVOk+bNYRqj{dNp{=ZPOq{rcLA_ulw%%QKlcLvdsfqeR@v!0!QKkFH2b z^TH&oVCe*J*KqbUMJw8QE`1_MYfR~O3_gS~E8!_{C+%2(Hp!3*g$L;dHJHu?wLxsD z>JKUqjf0&>I4Hn)Nu829Ors#ga#a$5K^VD#VN4C32;K^KIRK~9wu^lxO;Bnu5lOjG zCG0+PfX4)hw%XIOAXdb$P$WS+Y=V$qsvmW-qDJ9i%TEI?IHt`MbpjokvV8yT->>ZZ zb?Q?gy#MPViT@aeI9jN2pYg6TyJgnbiTNyf*JCZCxhiF8<$iOKO5N*eGo^%}b- zXmdhwBZQ}U(iMhxP|({twOc7wW10YRvxyX$ml^v`YQioE%Sk6RQW^#OnL<6h)s zRzhc_Vp;j_&^oU#J`?$MQPYD{wksa#8V?1+6Rhk&sFSim_}>^?;*hQOpwxc zXV#GD8hSZ&YqR}&17IujD}*4nJ|o32D(^MVtpp9fmG5n zDNzBvJnUAci@AcymrK)XNYU8SA-K^`>$QcxphA$x8KxVs~u4gp-OFD?oBVrX-O;}XS0HN8K30o;) z&`YSe9k#n^tQjUq;bEPgn`9{sE$e9mTszvJ$S+qJ8gcz1ze!C0xFpa0Ovd^*;DxC} z9`}+QIZT613I>8m1Nj?{bp2A9(}el-ZlerQmL+Xig#t6ud$+;2v9FYv1L*-uc#*dS$fgt`Vdn+d+HVVHtbr4PW zE+Mrg(h3P%qO?X~2Rh0lkg}K+3@fj}6M}=GNZyr(FfA_DNz!w^0h)J)VY6HeAQOKp zjJWS=4H6Gq=1`=uKS*|^6E8{RES_E~irFYVD{9c$+4SJ89uo|=>2i?J2BCY5>lsSc zs*=;@4U?1x7lIgkgB&c~tdP+jr%9?{@|A>Yf?`0Uvpp8X;4#mLL zp5;o%c{@W%5DU3QsuCGJh7Fh!odk;}8!-X&@~&Y$6!}8Tm52WL_H9?bGo^kr93&6j zMW+g!DH?<^frq?|c}jr%0a{@Q=;T;>l@xpnVf1iNULjK$@GdZZ2}N)L<~A6!p?|Y* z+1L>Pz0x{igJB8cF?4BsnwJRGgO{yuyL9Q{Z~5D<|4a;9LUY=<6k=ePPSQzkx?LxA zvS}2nDW(Xl#$@Q2*B~QR(CgrzzGle)#-+*JEwsH;)R@?% z0___P7eHPLkg1o8X*^45!#FmC00fWqn^Txp3|k7LH0-U}F*np8_3gL26Z5w88*601P&*iPzqy)oVFQFQ?mK zl2@^GsNR;EdhEQ$C7(dNpz4A$1khLwJdVj}h)92sQ))PZ z*GuXNLe}b~B^#}_@bvOczc6OZdB|T zR87Klw7o9~T^(CKq|-wQI*m{Xb^TavF;3hI8;meNYw5DK!Ec5$HfCM_MKIe*@UH1Z z3GABk11b^7QKx|luC8U(hCvui400En8FQdT?X)bV!6 zRw-zN0RiNfot^{HJASSLx$(b&7ZijPA$T%!ae(=$F{AiD3p7q%-uai%bD$$g7laJ!U>0@<12%DSujS2^uy+-Rgv zcu$D7$K>i5X&@3uZOY*Sd`A8qI$QK1`y*-KOl67IbF{SWQzlv`aRy~kwLZ47z?$^k z!avAZxDyo)J%%8}DM=VJ>82bI8` zc8l(VFl?P0eTay+WU(+=Qz0^2A^s%u@xU20L5~qowTz2du zg8Egd2#~;kd;SmdQ|$Hw=uI_|E{u{FS!CmoQaAryKj$$K z#J(t@)$T}bG|82o>}LNqR#GXS4;`nTIUAh_P}q0AV}Z#BrvRbyd&~=qnQcV_>+tTeh&tvJRN&C^e)U-AE5K@J<;&D4QMaH$)AjhXNkPB76&`G4U4N@}6FOfeklXUbk2 z_;>61t<7vxdEV#5WFmGDsg%u4kLA%@pY8nGCJa20lH`n^@4Do9tf774TJ|H^C#hA@ zFRe9Aqqfku%qd!6CTr+j?ZhPc<0?7i9+elfOnVCl>@6F{A0*!s?)-`5s2wOSI!KZI zpHpW+O;j16np*SFfwO+GRcy%`cr!a+o}^C*XPIMc@gmL=4_4GmAKqRoR%b`})`fAt zQ1t!_ndvX*+q2C}fHpo{=E^SGV((iUdimH_=vx2ZO8-9hr2U4GG8`MH@l(CrI_4qn z^g>n$FRyE=qg~-e>OFB9;@Vx!15a06k;^z`@R7{O9jf)0os<$U+Yz|xl=aOsqbfj2 z2!{wdX8kIG*wm}ovdGc-5QRohT)tK+NXqj7S4ZEKt8d#t`aXX1rKi$o7V3|{pH zcHPmfz6@*MNAK@6L(MtXP*Y9zRLO>XKyz$5oX9Bg-Z>kl=ePVdC%DJ3 z=-ri-OFntzv$y75_jD7}%-#d$|G4i7!Z~tc=R59<*St3`tEPGhsrOYXKsE8EZY4ml z)hlRh4XTp1p|Kn^FQLbArPV-;QC00e3x!(m8?b;-^=a>CMBfKoeJ9+HlJ?nl zGm4|Z0*aFwxdHOlIpGj!xCljrKSrVxii6%z(<$_2PE8n4>h^xriSJ2FtVZqTJpvSW z!EnJrOF}VY^N#5e25X8fZkEL#3Kei8X#D7?lh$P;oFC*TObAmn& zyUN|C)}Tt3+PDS@X0a&F-gC#xzxiNU^xm6RIj^Q6a)rXcE<_-xLHag^gqQ+E*9sLn zsLkmg)32n{Nt{*+l=L=b#cO?bSkGH1PHRw@1aB{g^n`~olEM(7HEE%dx#utnrQuS3 zRIjGmIE3;sYNt`u2IJ(!y(E8vO}D3+4OA5Ts7FaH9)j$qAR^i@y8Lzs5kM@-K2oOWjITGAe8jI=Fy|(1h{{Mk5sU^HzQTJ|v~< zaYE7tPsAu13oz|sEJ$m^0=dLYDncJY*K@+96aVwwn>W42G-;S9K=gjtf8?mMtVrBK zI3zE!)TZSI!@ZHZhpc|+4xGL2qRRQo<-5NXodvZ zCe2G&pNXc&>{_zXPHACLsRvq{Qb4be_e3$uRw)yG_9mRR4;vr{t30^03;rh3b6_Lu z7QRNI{5VesH6|%NgtTj=4)mNR)gs^V_U{dHxn|43w_N1Y30gwUJHR0>dcN7AWM^LL5q^l702O((kBR zpa1@PrjRi`#WYh1W;NZ7pTQLa*|*tit1>*USh==6!=>Xn@+|$zfny)}YLSavFS&EX za#ML>EX2p}5^#A}ydcanx3opfQBTLCd|TUyvpIZupi;KlTkqS!7*PH^ zI>{{{sjc_yi=K6BQarX$03y|D!pV=?X8Caf@4}@%nl2@|P=VUAVW4fpA_(8REz=$N zbbiP~GwX#pz97*88L=Jw>h?~q*+?zmA5kFgV=kts8e{b~lpz-_eQkcznM7{JW2HB* z%%p#oZvyZf{~0yEp>uZ9*w?0B8BB)cu?Y1=(`{eH0_)_w3)HCXeY}Sk%bFWx8(%~{ zTdwYT^6`A@m9daxCO^X$R*r@5s;1l}+=jYvJ=L&ES@WL!qm!K+-5by26f#NmIXYTq zMt01~%b0w6_6vFs<)e6)U@gNH+hzmtFV5loJ+tG$&+L+BiLdDRYOa2p@sD?D#XNk7 zDQ6ta&s*aZT8-By2IIMVGnJ9>kmQIF$7G@jd4vJ1Z=#x4dsS$1j`HCneHq{#?cf*QuqP~!X&5kK*j*2uZ75|CbZ?BYF z8UjUaJD9v3CFgC;pe^^5t#R5ucFj~9eZ>S*Q77CxT0u|MBze9$r~e~YXL(G_HcW2= zH17YjWG~|Ft_7>qk^L1tmD>;2@s*LpM5XX}6`$v_wcxD)&A@A!cHrsjHuj;^{> z1~efTmY>;9T`ND3>|~FV#xJwSVK1()>SVQe^H354$9g_UIxgQ(D$=* z+|y1lQ>mK2=PJ`RHe4hnE#KMBY$F$JqJgy4Wru|FBC?fv2zVizlYBGz{g!&(cx_8H z=cbM+bJT})V{s8)SoxptudcjX$fT0lqwwD(*&OPxq6*cuRB2WjnCSyFRYO_mhuKqJQXY6WhEadHXIQ?{>RQb`K zkKgOq)5EugFGruCBh}6kG~y>lzKFAR4P-UnE941Ok{{3G2Rxtf19y^-G9zfZdcwI| zwf^f^yX7k@NW$)3UUy6}Od1R=6@ka_UExQ-c&#A7+SkK$;FTOObpc*9Sp<6NUCK%M zKajBKKg5HK_Q39XDdV0eZ<;T_l)7`IaS*v4IMhRTi8f^4D1;I!^F>TpB=+-D1@m61 z+v8$~Ody*nbd#Yw#wz)wM30Nr5ZfJ9Y;IPv38D5`QAoC*pccg-sB*MY?K&>AU2LjP z#PMg)DhRP;QHsreOQWNG3vCXFfEoj}PA()J0_FYF?8_Duib^rLQXWGE=CsCyY;5=S zHom}u7?+fZ=nbV((oFMI+(>&ES$TnYDh7o3XhWXprO!9s!ka1TI7v(n#8jeEj=f|S zFdw-^)U77F8u5x?xga+<5n2X%XaD9#Xg${EjP@1f$So*ls$lsp{uKk_<1ZBOk|b9^ zAu@Akc3l4l98Mg^f1}(=)9Xm&AOi6^k;s!cG)hj2{4^&+jYSYDo8|KY?q#dx$PVGc z_GPDUP9dvM&JCGzY`oE-G}Y3ti}t3*>YO^aL1aJ;HQAUQ&t@kFsN>NgMAegu|31_b z6Y25$(Tk#fKH4dcDnlaCAv&cG9f~--RtW*_2Qs_tBP1wyZIwb(U9>GGu2SgLN(r4* zR%GAOh?INhL}=v_MG!~5SBWkG9X>#JH7?M?76MdGkJgad8gYDUrGM)Hf2iNsehVeC znK4n0ma!HEXr)rO3#~QFZOV97PD`vwcmcK0$#(jpxC-^JRa8$URnZB#=>73v{6VoO zCr(7|QGr||NCr@Lz1}GFA{UCii3r7sl9q|A#w5!Yv{W%3=V=rH^=|SHLeqgCN22kozw3?ViCh2EGHRHQ=955u) z2gEi@>EKcI&vT!3QxC24&l4}IkI|XLd~~=;Pl`y9|`d^fmTk><{Sqbl>8-*}^g zFN<-DQrV3A9rDWYI=&aZ*mo4|yLkRP_akJrq1F<)LqrQ%kpyx7>tpqeebH+~g8gsaD5lR5L$zr_kS-y;w$KbaC*w_e`zQFdhe;LyQCN3VMCANayM7k#zs zSFtklhKm6mT<_z0t^znY((dJJwC^Km6@bSyWiqUfg%4A$pbX zsj3$&3&ep(kD7O02DwA+r8{crp>wfm_kyD=T5%Z`pZ8>{*ND8Ty`LYO_a3bNWL`b9 zV7+?;t=d0y0c;fY1Ho{WBW zey-_HA7oPR5G|Ie=zR1I$Jg{DbQ!a65tVrji|dj4auAlbo*tBrptIPpcS&{oJ z`DxJ%J(qnnZeEC$v3`K8W&w?Dk?bHM%FfHL-g9bQ#y$wbT|b&`OU}&l^BX>%jyTEB zV6k~+@MHQLN)Tbz>ZNwM*Q2J5@+g2`_TWEwI@!x>$0B@~jX@1OWzSsAm=WV0@NRE=+NN75TXcnf06$FuR zOHZ~QS;N=tZKkfdPI1j)TRpBE8(I=0?s$c7!IM1w^FeE5dJw$TL!|9X5&VlM)=_NT z>H8hIgZil^da=3lfm?lJJ+!}@@K?$gc_#K5;PTO$wn!y780RYbBXsQ?Sxf(|S?MMJ zt2nuhPNLy^_-G=}|IWmnT*MXf>|XOpMKMuCjwF(mD?Gvi-&5J`AYCQL&wCh*0b>Ei$d{KTgOw(#__8r> zmYx9D+!u8}`T}1E=*mJvFG-geiP6Sc{wXT3hObwQDes(XR-lAk5y25Dw>+R?)EC*F z9{NpQ`UP%Z*9Zxz<##)oJYkrBYt#WAV954Oc8DDbNqxIWeH^L@Zx%=IsPv3KNOx!1 z4e%&Yqe>GUjsxv&8%|JEb1v{}a(TjLisgnOu?&2Ny&aA`KIQ#=$a&6`cR?xQzf%0} z68{K0EtCTyFHjsh`rQLxPG&gin^s{01=27c+yiEz`t1F|5mpo#riqd{2RW`kuB@W% zu{MP1WQNht5=)eHZWAQ)Y8j`}sU^U5BdO&Lq__m=izvp>CfKQ?D60VLI-rl`fJjqN zhA9Pr7b=a~DM)@MO>q)2Yxr74Y9mPxXsWRyEorA$O3N&EnY7s@Qltf;Nq@c(qm~Pp z)|4(6(yUuf(zGVxjbf4xhyC(^Nf};^f)*Y`!sHf{q+#uGeG7Me={Q0{kt|Zf3PH8S zLeuBr3)wv{k%Z2vtW;WPGwlgl`F7a+&H=hdE7GAt1>zEmPyc@U-K+l+ywNVJL`b1v zl>J?D!qgw@K_KZNk{}F#ost>}fI2vA1u2l_wUkj~tWrGG#-&?e)jJcFqTngG`&($N zyp5&@fOiNJx4IVD8;giOHwyqdx3aKay3$8y%pw|7|iNwEPKR3BP}_`I9Sw*?TBPthx3jQ<{86{%X^a zd$#{5iOW~WvA^#4V1TYt^VzwX66(pBS=nFAbd>+=y!tx#A@^_UmGDPY-J%=T9I>O( zuT^U%)nXYv|CzX8op{7r$+ah2+t%N?uSzW1F^l&2XVEog_XXP&cN%o)^I4~#oH6X> z^Q@?7WXB))7Ghxawz49w2q-PXsm=Ca%>)HN+%ClA(KtIbUi zc?$qv{pVO06e@Mw=F~GK3i0JQVeS2-ny+)hFq0Vco(T-j*d}xLRgtfb-(y2hDre=^ zJqs5K;$Ketb0L>*I%ht6g6=G8t(zXW6zVco@SLwH_>#Q!rZ_tQy`n}Gl_e^}?IQT_&GyXj+=p(+I{)ppWpKl9&O4xo> zTi~9n4WWj$wQbo8=X=a4hEo__QoCDg%Ra0aLI0SjCwF`+vsLm-Q=eS@)qh8Rea-XS z%?qRsR%UI(cRPPP9ocr`#hw(-!*=x1x-=PhC_9oLsL2b=)X#pvBMDm)H}0D#^NBOz z3WvPxu~N10mGw~R<1OyKZa=^#!tz_;1!Hx}EgMl{o1x(EwBEjk?}>F-)xSCN zibB$Y%|(_!vv0&dyh|x8C{v)Wa%mEvG8eMN8FGr8S^GQEw#g~Yk$}`>7ROgtmW6Fc za*vjbFJyncsdih>q>J$JyYU?tQF*E2U>1M$Vq)#-{bRnoE7U0U&6Z*;G&K$7yG8vDDs ziQwXOWcG3V^IK(3OTzt$IF2hIVF`%H7<*qPsxM9V^BVXYHd&RyWR z;_n%YY(Ek0p&xr-`i;gf0rc|4S-_SD{AHwP*`|jC>Ssonpy~SNpH3RT&F-!!CfyZ% zrN+CI=}1ir{?)dI1E`Z*$UZ_ZSch*9U84A+wX?!q&T;x$e+QpeOTj|PHfaNp)}7(! z>o=$n3E1(Eu1v=z#MX!1Ep++O+qZxF!LP4szZL+=Uj?-$ml!m^5x?=1kyyv4~2fFHj? zg$DboYsA&A)`P|m)D!q9w}{r9?1}X{jMEF&Geuo}_1k*;9FH9KzZP0Vt8dt~>;e^y zB&m2V{W(8x{Fry)%}$%cxj3HbU6eETT%A`x=`9*tu)Q%E*(L)pd_OeW2(3)!_E+XS z8=F%gP6XHMrmrqM%U^)&HDQ3zK*SpEciH+oqNP-1!{1^bgp7l&+b&kE3RM*HussN7 zZLWnkIrA-9Z=Lu(*;rU_Z<$K|d6rLnqTI8M`<%M+Ob;)8v!!k_cPbY?f|`LSURSX} ze-?BTYZv$q&q0A2&ubo-G=7=&vJ0?Fu{z_ZvuEPH?9ZFBQ&EBi>ui}L=QqHWr+nL{ zX2<7h>j02@t-lTb>iJ3A)Lkj#M!>MO#p*rO2Y)>^7rOHpl!h386|Gf{3UilVerfJE z!5hZSAmig}-Igdw=tTs|_!u|2|9EuK{ZqQ?1`aIVqRbO1wA#-s3+<)e9=b#6Y`6-A zRzj8mKrJWPmP3;R$wRhUc8vgVwRxgLDHb7x-V?H%h!24-TwDt*6z@Q-d5uu~f@`=H zfq22y+B|7tjcK)!Pw$ZOc_qty;cA zwU!RL5UsVep@u5&0e2~}muhvlU-ho#h7KyREfIofIkcr$d@x!|zvy0*U8{7&i#+q* zz3K>1^iA1Ug8D5TGzDYD*V1) zVoeTk;@sYgsM(SVT}#|QUi>F_Dnq#QhPAN-r=T(voyawF0I7>k=IpgY-5{b4-5W5a zm}l)Sh+WK+QYSg1uw0+>5CfqLFc{Zj0WcXwMXOm;JOx%&TiV&-R0uw&a+1RKkdrxS z90?l-hgK>;Q_n=7HAcI5Xqird<28ZIC3#wHy*%P|774Yif*^B4Vx5MfKOvo-Rt9 zkESFUt>_}6=~YTTIc}sC9K4lI(4@7nJ1GcR_zy^7TCr8`pUi1*s+9YR3Di(a5=>U3 zXTZfyQl4&)n@!Iqn;uXA>!$MzLTVoLTJUv86zxwHVBU%JtXD`%&;%$6z`P7XkyD>I za%e_@&!n637WQ4re(w7dH+NoExr^lFpNDfFxohmoou{W^xP-p7`)PrgQ!0UxS(gCs z=8@qt=Z|47bl&v?E=7Db{k6@fN-EqXBf_4!roz7(5eF~>7&whTi^E(9BWK|U#y_<- ze|^E9_dey%bCSPNC#gS!D{|?@BA{FcIzo3xe;&GP(4Pz0z>AJZA^CpZcH{ebwEI`} zbp5xay#)qNR9BO$te>8B%(M)eVcu%Vnl$UbFRl2p8JsG2S1=dY7{|+)Y$nAsN{a5WRSm`9slt!VBGxb zQ~KJbp8N=+QsZXNofBmndi(3CNB-d~gTeFt7J9-#FTNM~xN*^;pD%s)#+9^6wDme6 zKz?T`)&P@Y%EAz9NLe_PiZ#^jDHWcP7aS3uTJYsf!$!PM1Riixa3(w=JToos+9yB7 zfqC-}`Z#&thuoC$O4wKZc)s%N@!_h8jnUil#;8R{-dN)&K)3shdJ-btvHGG=TJLcF zw!**AKfM8M{eg1dkys?%?Vch1~9j05*37WV%A0EFt*EM#|_BnYa`)l4I z&yg+z*j88-r7a&G$!mN1NVfRN{Wwgl$CPGB*gsP;lu`2Xl4lYh@RXCj(hAm1c5^On zo~ork5Z6)#pUo)+p|7W(ZT?yTqk>`IqmHTLc~&s)rNPIkV>2vFg=6dQj-KPsi#u|a zd+34pue&>R{qKPj_^lB(ImisMVyD5;w6Se|%g1BA%!Y|$y}#Q(PgOtg4TZ{g1Gp@- zoAd}VC;AyR)!1yEf(6C5*#O&We&A)7;pJ+wo;o{mhQcTKNEL;D)ts{Fquc_%J7rgS zSQo#W{V;kqLgu$p6hO79kPzGhR(V8bw=fIwy0X_Kt z%1euu#F^GdI>DIN4SFqQ%nQ^MH*fr~;nLdE9;jKfO*#up5`LYsnlC%beMO(THvY;1 zzTP+ZIhlb6Y-RZ47ZK4(yz_MUyd_c1*I%Hl^+^ggHa_C2sQQ#eWo@pIX-g!^z8LW5 zB?tcvCYkFNZLFotAAm*Mzn*UT#ZMc`KwOpg9tq?$*y4mMHH7N9_r>*hlb>$8co>XX zzDAC0ik_pcZ0P~_6Sl1~k0&xnvauL2%O0kQ=Td9+O3KsYv^SbIid9Mx!5Ajoa-3YJsO~j9EZLEA+X#zWi)7*CaQIN7 zm^VuOor0|@3*si4qW%@4kXo{npG^QHZ4bhtok|n?YdN`-yrSfz5BV(RR^_01@X{~;&tE>f*{q=5(*&si$uq*Rw7m^HYyW@SczZ zng)^xcn;2m$8H?gLsU0tZ1BJjj}`k(SM~>QKAFB2M=BS5fT*EUnHQj=;V@lg*QDCC zRaqA2^rS?kn`Fqx5LxjAsXc;tjh#KrMreL3D?63p0sCo_mXlpL4|KCk#JOo5C9|kT z62&-y#?dfeGcF6%0AWukID<}8T*8C`MSY)94TOQ{SBNZ?i;Gz@c?;7MC4(#<&l*U# z$03wGc*HDr4GSg|Xi>e(IAnDeJHreR>en+9Bf>Bd+%Zlx_n3i&ijQ zwJ>}I4(m{-s`U2$B(>y za(>@Y#SAxZSb(W@ICWFK^?OTQ^+V^P-_rGaj~tKA0~Kwz#SJBRqF2wo=)SuX;Ax^4 zcwlbtQ_;%+--@T!H^XnoKOOkT)`u+O{JFP)NqphZwf3_9(d3~Ne`|2j8}SZaCC*sm z^MjG&Z%hu%?|X4;o@M7;XIYK+yJC1NI8BZ&cq8XvsS_dN0N=8{(RkES4FogJeR+7d zyBtnKd*&SV<54J2V;H>(8x=>cC`V(5ruJVVk8U*p`)ljIh4zj6FT}n>)&E_8$ad|q zhs@l(_m7VqHJcZXmsz?l!#C4Le;;|1th^$RsU-?<-pgPZpstOhDtqwVg3Fz|qwzU+ zeUI33X#U($cEiGNm;n#sFz$=_e4d#ci&&#bEoRp?VNMpe=cIST#J{P zIcV{1TQ9@vV(W2o!LoZVdwk#3t!Ky!U=y-^X5akyLD4&ZA1po=vflKPi?vCJyQ{zA3S=88OU*#;stXVxASsOp-^TRg|E18 z6pVakrTP^{Pu5RA@|DaEFAN-H?83!kB^eXH!S4>s1;}50QbKN~8CRJ-m)M7{!Pwxp6M6k&@7JRT9Am7APIQ+>&! z09QlP^jEM1lv-euVv_m}p6#UzlzF0ksBxobYbmjhZ((Bw^xM=+DVPEk;D+^gD236x ziRVV5O+Qsi_W^Cc5gh5*p-HMCBBIHGt)`Kv7fPMMlOzyG`{O`tGkbbsks@?VTrd|7 z6hoD@5oH>}&u@(dYdv@#&4P!!z2b~7tk*$G?GDc5oSSKcYPL-#i8qnG*y8U zFsupb{dyJa#L^*npGIFlg~CO^WnenS1j+NPo`uu2CXfmXddTpDBz{&R1OgAj9~g$~ zkX@sgNH|FY*?DO+uQMTd0W0VR_#lShLl{=T=n55129{(KOahpKbS4m8VOl_Iqz)?M z!oOVYGhKTC9=(2mC?2pb7|db{c4LGjbtWF>P^}%lij zW71eiUZFwW!0QB~8>ZJd0g7Y64sWFd)6Gz#G+i%Z>2_8Fr-4hu`0N7IdSMlioCg&t zP0>9-V<`yNb`!i^7q4q0K=2E!0& zr9=~UVb_=bjJIU$#zDrjd5mino56oe`qjs~9Fm6*`lx`+oCJBamUs<`q|DI{QHi~( za=1N-tGX_Q;&^I8H38DbhK&3Qrir!R>If!etEsO_d5n^A&MY${VUF~#MKI0uwJF>o zqbir@(?GazaHi#C;|?nRT$`p)l{w0SEKVgo0%>33yO?jnZj<2pBM|nqx+<9o`5b3u z0lXLbtlYv-9zmZ#R>{;Dc2L@4r9_a(QOXvK()K2VxZ8+8odB*AFDw07h;HPl+QNKq|Zm9qE(zm|< znxFjp&6d)p`j#F37}8^$#spePyDkX#U`0(3OWk2Wi`9t;)I--NTTB^(XEErlm?rf< zmVsmn+6t?QYVvGOtjgr zKMq|b<8lAB4}(`M&)s-ndFHb0AS9lq>rs=53fQC~;Z})3WRJ?dhK~jF)2f%?aWq&L z6N-hhx{48+pD>W4O^yH}?IfL0ftJDab%k2l$qMSV$O}^wxzldd>MIV!nBdFf_F*H= zC2tQ<_fbO=qGcl1Mewm=Rmvxb&yc|5vmiKwo$_pFBYL0Dv4M*TE}Jz(@2>ck{XPgjgl|ea4MV#zmirG=vC@)neXC3 zo_0qYS)spQSpCes6wT2~z@ID37kU`s)d5KrYdv?6?c4KmRCzja*4=Dn3n*K)pgQr2 ztge`+iXnPI>4p!p_ihvmyh|Bgw>o~rLre*KMott+>rIYE*}?5MM@8|x;YpIe_T9m6 zzFr1b<@IBUGEE5@t5a;O8ER!__!C{_Ll)!@d(Tpbj-W}d$xWbbAIiRP+h_hhi(6N~ zd7o#aj6E&2Y?>fN>ZjSh8d+M3lK6O->8sc;jhQ57jzy*zDA?@J4^E_S&e1TAVcy>^ zG*bhJueAn;AX~vS%VO^vgo!+dRhVZk4zJ{wuiv98h&xm> z43}8?_U9DFrr+AuD2xhtA8e6^sRfT-_ajlM#@#Rxw$XNO866hy8mNjDbvH#=8D!sr zeS9jXVl2YEpceJn1KGluwF`;{ZnW8q)IZMs@~?Mf96WcU5wSzoN+dpMGu#(ax0#q_ zQMzr-%F2^=GFd6?fkbf5CPldNTZ`=FdZ?#7Lk7Ayq|whD66SG(C-CbhnF#|=IVfhp zA<9jr4Kl?#Kmof%RkL&tV`C4C;yl;TCf~y0RYSoYG%q1ZU|-_=rU0AG_c;YAK|67B z40s=;idln=Dzdwj*m!V?YMs#9$KA4^4#}o;tD}ST6?8T$$>Ao}1lZ#)V*KIvIKi6> z+vYBGj9)Hlf%q4=?eTa%H(^Gapi>JeR)RgiJHq^i)09(KN>7-UbV0ud3GYg4T60qmSI@~f2!S}C>4f>GUCfQ$s`r?Z)>kNmdm@86!edF^WUB3ub^1fvrEOa|8}@+OXo zvmD{7iBm==47yk!gG>$O3XA&I?5JifVpO4-pcrPPa323Nq;maDQWOW{tF=hPNdQ=H=Wn z);!psU(;k%*WSWCmW*&6lkDbfk)OCbR~mCskG<z;_ z(N?B9yRlPw2P71jb+bGPsWJ`L&{*6dh!yYVM@w0*i)5dt<$3Mnd3o+0hI41Ool93!Mk)M^uLgHVz6}+c1J~#0pH>cKfVc_%) z=Sv#I&kdfhMdv$sJlWrny_{#(6~hp*3*4fePR>qMBJX7qtl2NdW7ypkzhu&0|eVHeDEal5tv53I8|ty>FlVihad zA2qFTVZsuQNwa|rS)Pe&L?I*wdYQ&tp3@8I6sw;k9ZMK&i3IaAcI7wgTHpONcH<{w z>x;!Qr9Wn-J{RZC8u4Q+b!kY*vk8Fc5Sv0A&540K*_!gkO6pXp8Cb`mVY0?B-)TxB zk2~LRLT+Y%aV%iYrso;sz62;CrHhY-zRSP2rSlrOj$cnw*3+3*QE1#u6fZ>Uae04{ z!@xO%zXX{bQA%kwZ>_y{{16Ha}$Akli@X)(RFrrWeHX zgg48dFpiz&$^YB%UJ1$0P?PM;R@-S|9Kb+M=1AJJ?zT{+|BRHvNd9Siq2BjHDN+5cxL`NfA#I4{?dmC7JvV3?=6c%RAY`0T z;)KkPEi=r_#CQ!Fk(DHGaa>#%Fb_-T zWg%K9iy;vh3z+wp7HN;Xl5S0Mw-z)o1>&VY-}6OQ#^C=3{jT{_YW$DBU}e-vdR*#Z z+7VL)Et@rgiSO%u?@qPr0`e=0|9>1(=&|Q?KM4dJa(D}qP(JvaQ zZ7&r_XCcyup|t&TQb=v4l(TA@IHbl)~;sA5xqWsm`=A+hiH2 z7W@3G<96O}MHW^;ijKrT78TR^xG+nigb^Q5Xd&37$E-vyMFLrxta7?}C&Na2$UB;T zBTWeR`hv$08VdGH+vDV?GU3&a?-To-Vnq#M$^6It_x!azt^Vd%&^oXv6lU6OMN*@f z%Rf)izHX5;aqZ_xMb-VPSGHH4G6^;3+gGqes1*d>)XUG&^bD#gXZ2dQ6#tnTmnk}^ zhML4`*3Akt!vdzuuJCgOq*b!}wsM9z)aNa~MJ$38cnSgej8`_36hFx{6bO>0Q>>72 z3#uq+j8;*c@Dd;p=wJ;djc~5M9*Lsu*4wl}NzhesS=2HcE~>>UK}+r25ZBFwR7{)A z6?qjC2BJ2AUi9&G+wfk4ex=$V8%0GhLt!u6^aYpWkF9I!lj#sk>;QeQ<~fBj4&TLS zOiPOdtRDoHg_1e8;kl*R9yZ%ZQMeB`Nmo{Xb98Hlx9Vmq_1j7qwZEVpxyy}sEI7hN zcT}beVNnH4i+!eBo6IDlZK}qa81BZuV7G?W_ubYq_NGiZeMh=Dl@^}A*Ggv5Ym=h4UL*)g15$*w7(tS?Hv^fPA!lKE^qDQn76l4t+*;7V3l1sVXHf?9JSkSrDM4DGZIX$%rCjW%)rrzIZ{GRqYajm4jaEzV zf`~1}PmAfrJZ(gQM%Z83JgP2`cP@uSCR#a>WkjrBPOw!#_=} zlvQtyT%+BHST#)mWuU^l(K0}s0r;y67XKPM5Z777xPnQ@CY@wY+WZ1l836ii8^nur z{gPAE+7(!IaG;w`e|k(Ck>74m!hlT@st&?O2L zM#B;j)0k#}-OEjoCY15U@b@pgyU5&oqfJ)yBXt;J*)1|EPFRC})AV~s-psZs>v)!2 z$NpNRCZRZJ^# zv~7*Pmxtflx`Gey=`ubd!Ma1JEu{?Nc1eDb5olFj>#d@O9prapn5bwMDP5@2*;M7@ z9_Sl0&B%QbPSLV$9E(0zv|0Z@ZEqhJ*IDNcpBV-+d7pDQz~LpyTxZU}fe;dq)@gO? zxh@WHASCHbX`RNdEmKDR-RO2#iRhEPb$9Qiw4zqMzh|IzKhGb}e-G^k$jmS=zw^7k*Z22weSeUISuxLL zR?WrHZZczQM;uFE>^VuzBm~lC+n8TQaXsx1?W=xF-|Z{gvma9+1P*H<}x1Pp(o- zh3=PjQH!?hYG566I>qtFgm{BlY`@wu=_?&ytZ>o%bJj^pN}K7++|&DAlkL+Y#L~|> z)cuP|C|^NZw=6HKtf%eh7t~!zPAVhsdsL9jw?6rksvqs#`-OE|B6r_0s841(9g>$1 zz@mLlyWSf$b5EK4qEfqqRCE~&8EJrPotA4UBb3E-RyJ!Z@k8Q7gRD+i5VSAzTVtw8 zmwD{o%rehL%Z}^ES>FiJwk*675};?LG@eWBbcT_jBILGk|Lr`RzR8qo##jq?s_YUq z*yWtzREfEzrnXrjGu9G>{%Z)`B2U*MF9uBjdjH|JDBsDHq0zRBH_RPk+|aBcXXZUL zaoXzd-`~14#UW3ZmlWBlq2{11qDwp7r(3FNd{Wl8jV?T0I&&AfNKyv7B@*#}yoNMS zo8QtqT`7~I*U)fmHkZ3WTQ>D7?TkX%ltCNMW{dT?bI3a;iF%#>>o31>_Z>U64{sQ* z#EBWcHdB$T7pFAAR|o0~-`;%pv?XSwgn<`tzip9H#0}e+G;J&yHqv{WrGGcE**K># zbw<#Y$GPK%wu!DV#Y4r=%rv(v9V{2JWL*$0=882$CfkrtJc$&Z>8o{a8L#<}QDXb$ zw8Z5^xL0iB!ZwJ%P$OHfTg8$R7~x95r79HILfNzsH?oGm%|)UT^B6GkV312Vtx|0J zhw}k5EeL(0ZHRtupoRJAkg$MB?qX=k4hF@+)*dCGt`qlJT3#ua=z&scuvQ8Fc^Ng^C)nu?>pp*f>JY!&N- z3)po9zsw%74I*rz67{904AmkMX)!HFGNgc^3P{VcxvH#?@ZM&_7&a$i8~D8HC2c=j z?n1p~n^#T>V0@9_pA1hbh6RNZ(!w%2G~=dr$4FQ}A~=vy{qHdY26i_igXa*6EGSvJ z;by%Z;&kKLQl!5G(Ai)AU;laR($1?DcuMcfXAtSesEy~&T4}yX=N80{T;j*kaYKRB zP&jCxAYmVO!nyBRA$zJ%4IwkT9kMZYutT@zLdPvZOhP)H3jkF3x2g(+1$VREFXM1{%GmWy#}G{BYn1$KO4rjd1Eq`c5muqtAA|1+&EKL(HiKKTp z9t=z3X7>+&{ecgCapx1C!)Gmg@zhAJD_8IORmCVy#Hnr21E|` zgCNSRKGN5vBCZdleHNWdJAtq-EXYX5`|&Ofr8kV_x&@Vs%Hb-(pN0peLZT>8>i9zP z))pFeslNEK*rJ8)pxHesbl{_(lVTB@vYMO=?I!R`pVn_3$-efxM{ZvIyO#ULR3Io@hej0m85v#s-51**}hy{Vg6>3r%V8p;h8S{tdL@h0t(0qT&T|`>Q z@V$Bndu4zdsDEQ#(=qcxHaB{hFPP1^x#l;;ndILqRq@S+9LDzcS2 zAt6xj0s%c?Etc2Jzx~;g8m6fmFt_zFBbI{b{oPIwvcuhw)asV z3QRMK9%}C(!Kf94h!{77aZZz^6sddm#y4N6{>aYv|6t?u5+RdQTrJ#((u2_iTc4gLm6De_b*js6Q1@w2Ap7%Oq!*Sg7&;v~nVC&Qa;IGoz1ubScQlPa(|-A~466+z{G1Ckfk zq82VzaHGQAz7A^3y-t`5z6NKhQbCGb4w;*+vuXgkv)NZ2S2~OOTaZ1Y=WW9*a)pT1 zqoJy$2E-nw@X}ygv{46Sj0*i}WwV-Na2ChKA|6ER9_X7RV8LTVTbct7{wJ$ z@*6@g98op=vKHQKZQ()73=2Ih#^q4!XiIT_qCp|sOyR2Z$GgA2{Z}^^KDDrgK*3J) zPgo8tF65+;{{XYMNr9J8xY=T9zQ(Z}Ps6N`OGCMc8rT(`gW_UDa)r&9siX%vQ)MSK zZKYWWgv&BJyY@6h8yapG#;2zEQ zN*vWoS@1oTFw~$J5}4mOW<%Sok1U`Q1VVZ~s)l@)IM$3eBlkhOO+?vlNioBs&$unD zLZ?|P*M|~s+;rz({AlN2ytCU1+mhJi?^%S{2@TdbeOem82kA7yG~KCggc;3RI8b4~ zq(L{l2hS{!0R?pPDc5fZ4C%QNYyv2R@gjuHW4sk5FsDwFh2IY!xq5U*^gzL~!cO(n zYUDZdiZ#^v>~pd+n32BqjI-uG;Ou4PWT6xB%Mq&o4LR>Fe2hINCBJ<9+|-(Su=KDp zebWBe$YC?`*;P{e?BK|>(R-wg93Njch@a_XY4~XK01Dh z96jPdrsSB@Kd!E}e5=bCl7+F8{mMnBY5*V82K zbH4DSpS*o#XO*>n;e`9j__>yc`B&vjt#9r<)zxxNy4W;V<-B~S$@;3*Z|k3a@?+%# z@*RhjJ@(|k?!B#X@}9DR?y6P#pUP)1@?X?Xc0YEG**dcGp}) zdh+ht^GovaDs9$|s?7^W9P=14uP%(}=GUwK%|3nmBt5*N|#F?}G z-GnC)_R#g6oucbvMVjlZQ>cPR8t z_1V5Pv$6l{Z5JKOUZjthH?KU~UjB14-=p;(Zuuo`XE#=fcbrfjSF;1dR^kJ3rKxof zTa0}wuf;w`tIhX1BdJem@mg(7W+!>s^POj;o`=g`m(5SIL|Nli*?`Ke`iI5F-nYp<{rYF=?#mZHHg?~fnbDi_RcRIRqe>e4S;{$Cj zIi{_-8S7llkL90p+B0>A( zb;Ok*wfD@I`OKc(O=l{GGMT9ceSRt5n7Pt&uJw*@YCq_o@0gEWB@^1?sehMVl;2?A zIo#&V?A{frdZNaitFzf2dG5@OgHPOHK26@{pLF`AKYQglMI6$d$M%~`v+`cnl=&ig zZiprKJK5!>>$=!Ax4ixr)900QII-DxGP-|k@uJ)Mh`Qe#{)DzFwU4jOR6E}@&67^u zthu}Yk#B$c6YsBsHp6?YbJssyD}JhaYCQ3Y#FvK~%T~v#XKMJ3r@F2FkHG`AC`Z6$Q;Pd&DQytemwx4ScXO-r^KT!o$FxGO}6Jz;S z?gLR}?u1q?9{+}Wt?f(W9~u3#+6iaRzhpl7Z#(Cn+gN00UY`8QOe7~N|4WK7nu*3N z!arp7pu%_5dQDp@Pv%at2kpLb>tgFtsaxONW5w zdRMwvnzmbO>o-}oBqfe8d>gfzJBZSM!e*83jioYiZ>%;UySBHx(wxQ1kojKx$n7P# zt%*f7;;M-@$$j4;wNFR0lh&&bPo0%}_3v2S+!;OX}Yf4v7a68pSA0q z{u%nLv&`hlxkn@sje;l7kn(H!XR|LhH}BWk=c_+dDy=QPFz~UR2Rk-Q4jI>Q@0Ujf zX;EfI5zp5zB2s?!hj(6nbL+m3Z+v_kTY5)vN>vaB?y_3dFrzB?Z%{QX%?{{JSP+8YfYYrArq|RAb!H3geu6(7%nlpDyKnVz;LKwSx}4@i0e-W zLmKuPKe!!!_4qKFyZU6y0816Jp#kt|(jNwo76NHq=!aGsgph?7GuMv)=yDiRTKS|s4eRdj{8McxE)PLP6)Rk2aNgJTrpY+=>Uhv3-pKONnXPo+|tRzb_sED z=6#Z5syZ^!om{U{{FOFtil@|ncy+r#A6dKs^@iItMpT2yd% zkqpa+CCC=^kRl?s*5SRAIBwI^**1Pb(ZwP*NJ_Y`a8rzWpD-A~O`CXl2b1*-legn0 zXHkrh);g}E-EVrg_i<+2eI)(HXZMJ|-`VoSy48d;c|44Zy}G11dw8Gu3*yoh8v7`5 zBfLocpH3jX#-ygU8m{ETL(Lf)Gcb?c+U14^$xJ87a5oparkq@~O>wWX6kRMLt!ho) zOoig#>rmPisPcTo35%`arF$I$F{sE=BRbL!sdlqf3O0U~hrDBW%BYej2a)38v%}2Z zl+jL^q=YPu$T;^unQlGQM;jD4az!dc&e0tyIjTT0D&~BRj|T+OUfiq*f`EHX{3zV( z596K?GC-ctw|B3OAGjCMrm?mCzejHV!@u5zTky42xr?0P{Sjuz(}6aW-wZA<$aR)A z3Yjg+RV`J@{gR!Y?si&L+jdG-iGQ6-M6V%Btd65evx&*O6&&+5W<&$73r+r+kRJ`8 z6#v4@&V&*v#jb~8_EMob`^jx{UMDIe$ zy}t{iP}`U#q$)A?)?`L0#(GGSJWxe(Fmp3kYh%V1`XC9*N=ElWd0k1m?dbYdWZ?{t zrO#=-6+DbgWYpQZWR9w~oU3-^cE>JpcxAGIuBog|v}vxCw8-h5`{~s`d-RuoyKWcZ z%&3~Hb~{)rik8kc-dc-6ddcyH9q1$;7uiO^MTfMi_{RovQVqXYYpebdiX|;gg#~+BB&KOduuiK+I_zAv5+Q=x zvR9$$T*ab@yh~1UbT-N)UAY|=YTeJEmIQ<$bA%jgF?=r!6h`cQ2_jB%Qx)OJ! zaH`rZm`9jXSy~}2L_dh)fMhR*)YiR50c)`>6{kwv1Fb4s~n??Z%UjP*t(fhpzdjddQR--l`b>&?*44|G;)0!uaL1KDt5% zc5&?T>Lpk22bJ2yG54EKI?66Cqp!B$OG%85WL4A_eN1hGMs^iNRxw6h=7a~inv_N` z6+(myAsLH{%v##%fE6}{q<+w9cTjye=#;_RY-LCV!%OOiov71XDaV~^XSb-Ool)6%}efUIp=)4;Z0EaJm#i*1Crt2(fa|#lvoFyE zG0>x{q@+3?RsB_TW`uHN;?M9(ZwX-rIJ|6_Y_eE%SdWiP=PKYEfRAmkU3`?lc-o|4 z@=}zJBxY}+=g0-xs)t(CGDXVmUN;?lWVaY~LsCq=x%8+0MJhp1TK=eVTm;CW3N(X&nyD^vWtMj`F9 z#dg0Tb#X<)@P)YpFsg^4{_F|uemX~R#=tcq{q-8C7>=}C`R zNmd8?3>Yk&Ak=+D5$1-86ex4~1vr$i-Px+NS8nDYLo?~#ae7vjHs_P*;i<{j+dg=& zDn6Ru=TJ37iFk%2qt;@@$^GxI-md+Rbzdt2dhHQ8q&0M?t?#L0%iDYw+(G)n--BWwtHrYhvO_CA^k0h_1{j?t372 zo@|up7CP4oJ)lQdC?+VYBt4TI#*wxtN~!1g4(TRd-v~NY?8IQgCOj29#WUg;a9-mi zYj8-iK~Yk2t!G8x+a;>p?U}KSKjE@62u9jo!>+a{0a`Fm$VO|d`CykUQ9sd0Cr%rv zjm%#MC2FkEa%U4@bISs*q~0QiX#wN#rcKXxD}8Nbh&^V&j!;j6qhJjs*sTMJp zTlJG8wYUzj)7POq+)}U2vn2y_)h{ShIwo1ij&N;@vUG?%0vII8$S4Lh)p?*7#^MaL zcrNHRvVk%R4c~q4xH3pFT7HOK#Pzs<1>9Sv%A4}8cpbb>8&hL#a72;G{k2@K1XxEM ztW_m5V~5tPHj+F*lTNkjVP8`7jFy1N@2=4ZJ=~vXFVM zw3j8%ANTy`#Ie8JmfbL-RB*?ZQq_)+>3Hl*t0Eew=DbC`X1&TS-${2A4M{~H{7KAQ zl=Ym{-~_`8IjdT^J)A?e$_CZYqRyDFmQ0RG5Z*CJjE>uLdSu-jfr5JXumueZNS>+} z6lpGO&3r~r40JnK#Rr$@gmj}b`5m*72M`l0kaXD1>;H>O0yYWuVkK2hRCwfJ1QP|9 zR6Dr*1P}MFW8%27*h4n<5`dcBi@jvf%F?K}JL60*Y-;Ai{ZS`NABUX_M)mws+_Y}g z+sEl3f(#-j8$DQ@B5h=#t5w-TCpC;Klze6lo34brA{_C6G1%gid@?3<)4A41M%2hA5 zF*0MnLQQqxpkin&eoA5F5&$o21bI0|>uEEHcw8-uc`R<?Xmu!baFW#eb@=5H&{&4JwMj`rcwrXkouzN+7 zGeerho`paSTNfxDnR6m3Y%y5S68E_asPyibS*!t+7&K1@^qQ^2UD;UrUA7P3A-MXsnY}z+^$KG1D5s zTOP7f;$hKyHrJAsu6%6=Bx9oyHbt@MdATZbiX`M7-P5 z29yq3ZUrY?G6F8FpS2!`7rNJ!g6#%mv735C54J1DOd%8*NOQQKs3({yJ;9tQRl^43 z-z_N<)-a#b#6zyP8%Gg6!#v)0d_P3W^a};P$BPS_v7c`czNi{|$9f*QNP(y*xe7;Y zpfXNbsX16s(&MYsLwN@R}SM!tA1ou)0%;Ykn@nr zARN8t-w*w6DE<0J-@!vr>p^%Zu7$&_A+}l8Qp)ai^J%^jh1DkO$Qak|1)4x*9Zb-3 zx>j#9ZdE~yiJ$>Pp|YZ!VcQ)B?zzRGpzQHczDy=hSx(UDf}zYgb;Oy|%J~8`L++TSiEGV-o?@7yiEB5gQ7?{AwKQChm<0~lDjv#!1P-B}1?ICZ(WyS*A=r#k zN76$KXwU@=vX^?8ju^ff4hYiMrVv{MOZHaDg~H0sA?%S=u>F=YTaTx6Vkz4UGK0^Z z!f8Npz&ZdQY@mZ26wGspH71tx4K4^b+&vm@u0{f3CntR#7ku@Z|8{B1=ignp*dM%Y z$+IF-u|uqRuhPk}ES3Rn)>7Ix;YiJkYWFl%at1darwMzLClo}+@OE(-62SoJ(KWt80Q+q)W^ZG7crp{tGBE+tN zpe&&d!=$}c6ch<$pOz^eN%XuDIhBG{!4i2DZNULekT=gQM+=Kvd(8982HHz&5iYH< zN}}0C9>InW-Af{3tYA~XAw&{>{i8j@uWtJFqZ^A`RZF=!q{>(#7D&3nkTR;0$fX_^ zq{_{(*?akBj>Rp~@eQWv?OfLY)86G^>WsbUF`n&N>X=ciY!4D`BjXMaSiFL3nw*|% zIf#jTA(tlAsG+Y!|!CXKS86xz4So$ZX! zG$O8khlHuJnY4yu?T%-}M|-hR&!)FfMC>+^z#uswMe^ zg|Q>QJo3lucmDCkcUJcXZydr_0MfAZvNJDb3ywJhfXpnlJF>FGo3wlR*6B`MI6F2@ z=RkLGcqs+WUD&K4!5I?^hTN7dKx9^}c07z!V96oo#!v7jNtVZH1iKG_GH;zEz{$9C zSb1D&wXk0|DAVR{sR=~!8?0ZGF?s|BT_IN(sxh8PN8#P;HuWgvZmGb;4|7>;UjT`W zdQrh-fkbLEo>?!@3rZ@~svGbyZ0cxp>!;YU{iJ}MX$emaCml+mgJhfzARh)Wu9W>A zat5%E797;fn09=!%xo#=k0I#*CJF0Gsb=~ryF?ueqUH@0%MRS84=EB{QIAd5S@}IT zMt*bq&DSda_lAqIaLnv<;JQj)8*%s<4)pX~)P#%57@pEln+(IUO&y4)6rA<$27a!9 z1_4p3UB+$%fFhi!<)5KWhqvxM2!)Hz>0p`Ix^b#4qgD+AVlg>O-NYLlI?yq8K{?*B z?xuN~s$~~s5KFUlI6rw3vCTTMqzG;%Jz_befEX(b$HwuqKdNNg7XmGH`~+9W^uP!$ ze}`LoCM|&JA;R@Ckd5?%Mrr&VF!hn$1!rZl2yR)&F;AW=Zk9?F;6X!efe<;n;j&g) zCD`Ht2aZgl2cXo&QBcO@LPGE zU{)X=0K){LCil{M89TdYT#sTV!#E+qE_Wouo}TYYU$)KVa}5qk@<`%%d>z~WKzFHK zvjqTPZEj>*1=hZeR~sm$7pVIehMVTstH%O;Ak?G@%2BmCqA<-Fctfa9)pW zQZQ1O`A=-qtWvJPeWx0nfif_2B~>F`@j{>i%-$i6HmlYUi*@Ow7%|Wpt}eafzeB75 zv?)b48}yRATpIT{f>Xd*sdqOFTwsD?;Tm}M-ePaq8)V*#&;fj<+{(XW@PRH($SDZ+ zE+Xe3BQt-APL|`eazy3c3S~8aGPuV)fA`#fK*euqgGX&uQnh3aJW!fJd|6IfM6HzX zSQHts{GfvsYtVdzU}r>F9&1;adxc?D$48b{$gxOkBb&3q1>)aCrD}-%NM0;=2*sW? zZKkmyULo5=X_}^e<0kRbE-!d-V@?lu{JRi1B6!tg=+!w@PTbz>gtXQ!y}6c{;A37) z&|k05Gl)3aPMd>2^h5C-`3+^8t4!5__NBM?jZ;s%pp~6qWz(@vQ;F)x64vnu$Kq`@ zq!<#2R#5~Tij_H9tbIBsA&q?wWHu!PJDed#^&u-0!w0=&Vee8$kaO%bF>G;|nfRnw z$%E*E#wXo`mM(OXc@8((P0jxBvVvHNi!eR1CC5vd%LtHb@*P%$gGpf~9<&bZ1feR@N8EoQu7*2gr%Xv4hn^wVGG{Q6u zsW#m_tgus~nxIY&Gh9X(7K?M4s4G0%?I`w=eUiOvTENDt231^gyBB{t_$9g5RQXLz z>H?)0BoA+x78chc9MRCs2_{u2ERxbIgPjgGS?L6K*)WQp!vKglpGMRm9ar?$MiYs( zG8k8~VO$;$tzhoBhGZbjDI3r`8wN)Z?Od5T#607s%IH}nrN;EHU3u%dM_=#T0E%oa zV{1rTmN_#SQbJnFoIDoA6&&6{Pj5I)c!SM)R6Rni3xk`Da5t05Q1!U+-RWMO4^&md!mx8n(i667uiA(Tv&KYX+!>~U}E)zR8GLdN{bOr?yW@{R2pV^ zYL>(9{b2`#r7nmtFgIA%YM_@2240kE2?aKQVy)Y^*UKR*Z+-BKjX&G@p>rGVTW;nf z$b=55NI>oe2#8ThwsK0j#HI_dOWg^Cy?)VHp|+kKG_ zp$+4oUe@$7QV{w8jvd7(D|w4N9*gr=*)g(>y>42Y<;m|cv64vOXC6e%9L5Kh>UDsh z2$CiZ!jazm%m;qAyz~06zGLP7CRVQW*EwFONWBtDo!FqjNQXtQ{Bw?MRcOXt!8$8a zkYiq8+PtPC9H^?C_>85l}k-2AqMv{i$ncw3rWyKe3kicrtq0kvJS}aSo;JMJw(2qXlOAlAab@X5_dgBJkGG)u@bz^V_dzS z(CoYR?&R(;>`FXLMt1eEMjKhIlWQ2!IxHDg=+Np8_#c2>>}&rX^L>VKR#W5d-1j&N)e<2zFKoY}~`2 zDBuP5JH?@g^R|~5j6Y)T0jwR%dhtsdvNWt3=Q9^U-0<=NLu`z0Fy8EL7doJ`{bGdcb^T0)Zh+9G@a zX`eXU831wsn7pMH!U7!oWBdsZsLT1&Si<~5={5!$iyn*JOztAmLYXoUj=T8BUG-oJ z9w0AEfgbX^J^zr(?YyOS!QE$(Pc=Pb` zRw+Dt2iM#(RdS&qyhZS9#DHk+5;@nKtygB$Z&+cWSeK)H@LD4vCr;t8>iNgaH9A4jQw6*=fm zG=x@?MWC#dLqd}$t~9b((wuEH1IKBEz9rqK$)~xQe6bq0H`y$zjkAT7ElFh*;urTqACr`ca zm%pgp`P?twL18sj4g!fR-y~@85Rsb07V&#|T6|g?aO|Za!GV!fni+OeW#AF0ae2^i zj0_xRxMSV6GE;kCFGPT-j(e_5U&WYs6kq@b3>h+y2Qwh?8neO!g%AVf;Y&Dvvu;>f zEueyKT;+uln7VG)0uOd-Bz2YRFzgsI-bkZ)upl8{ze4Omc&!eau3(o_>Mi);ytm1L zNk}l{AWZ8oR7fd8j7r?H*~{L_l?wOvIEvUcA8y&rJQ|E_0T}mLsvTEkEe|Cu&$gNk z)5e>dSfweUKVs4{sb|??HLn#68-roNfsy>3ocPy0pL$^D^1C^ner>-Eyh0)rkgy3| zIV@{BplAi34T^lyixu%&Qok2mcoaD7kqFEbg=5SWAlIY7t@TqL82rg&Cmh)TM@w5w z$vZMDP&AJNb0lpXVEZ;lT(o(q!GT z2Ry@KKWnwa_&~drQZQgx3p(7hNUZ7aFkpDBdr^(ia#L<;_Rv=H%m%}t-3cxm;S`=4 zb>aj*>p&2P$RNOQS)o;e+B>3)Vuej3TzZ#8ZF@LW_iPBk9#<~LeZjv znxa=|!uDC@y`~4W>*Tk!9%1jh9_x`abP{?%%M?o(pvahwVlT7cvS{K)aDmXxJK_0` zT>-MIM7L1Z*@?&k+t@nFdPsnD*?Me&%snjITnEZ8d1RgXumLf9o)^x5* zZi8i~<$A59U|C2OvQl;&T!m!YDVO20v39Xg{|6IAbP+y=&<)I2j*lpyzEX#W2kXWS z4^udS=dl38O4;F}xI@e!js)+u3<<1vQ6}Y*p#1T7ANbo>wy(Vdu&S5LFtLGIng;_# zQr1MZ2o;;k3<9<+m>2>LO_}y=YR7mZ`0=|q;BhY9+EPe0^hc0t@T^K(Ye@p8_O>t0 zs^B`J3KDm$4yxI}0*@tVYpiR7iRqSO?aI^f(zz%aDF8hKZKh{lEqoP7JE{e}!0k^& zEQo9u0HJwIo)$+6JZuQY6{_EwvBf%bZU;PGii^muP^%mdpgLQ6q=(O9?cxzj1J zgF)cQOZSQ;WS#cHzR0Js-f!T_$W&poZOqq!-pTe#A3S$nK&6j zf9M1QAl=K3e4=&dd#`^NYgb6$D1(s;gx3)xk`B-9^OC;j2t0Sm zsbCU)#9cQsb|UO#V7u#J+W}IHM|=4koDub~RuzX?N`#GVu&^cA*z;Z3xSRHu7XbJF zWn_;i7_XZiPgu7y*e>=nfCKve6t-hDY^(p(%IkC&WK79Y@dTW>4EfVyT)G0^9jIWt zY`04DE))7H=3!(ng7cy9XWDIQJl!kSYBIWQWUx=qhXK1Q|JBH#y59iqnq^A9LBp;A zsvCxWo~jMUIgge~`FbyBHkl`tq{PGA0yf~3)p}I18~f!T^z(l*^7cQ4fA_=mx{ZAX zLN`<=ylQ+9;IM(ZDEN5b?^zh_r^H@2aK=mNz->pC;M>?xaWOn#P(~S0*RyZvPd@xB zf^(iWcSO&+QUP_bNjM%)u!v{0fr1Ac%WA>4mni!$9$diPnRke8^9fF(NdCU-!y8Q3 zbKy~>A6lwSibz438K%fSq9V2m;4VfR_F>IabO32)dl5%hRDtK^QMurfInQbtn zYqz880RL(R_|Eo)<$)enTv@u-BUb1Q%Y|g*dekNHFG~^q_aQSj$c4 z_aFJoFLiC7eQCpiaS0H#tg~GHFxgr_-fV}L{QZ{47Ciq&5xD@o$o+zV0wvb74v6Vu zrlXV<%qxLs0S&zLuUrTsCKf{lhD($!loXH`L5NpDeFu5LAhzfKWZV+3yLpu~u@Lye zm6R7lc;Ks|It=gyUwAi$I0ke;$1p5aaM~`o0ks|26<53gbw>ECk=Ov@Pi3hnYI37J?;!vOj zdy31F)|-Cw{uk7yo$tMW!<>a5zOdgA1YcMX1i#@ml;S%YPrYta0llomYci#1MD-i^ ziT}MmRl)lO55*Tn@x-9sZ+ILU0}6lVHKaO)+k}GdcH9u~L})C>3l;v#pI-kB&+9!F zx8ijaVdoF;{{54W{^60Ez1#4FVLr{C+N`#eGJlnsNjQ!)Ze@+lqSQMnfn=~iUBWVi zg*Jwz&P%w>*18Q|`t zFXz)nLy7d(Dl!3Tf9$$}7>Ofz2?Vgt})4TND^ zJl6Xw0cW+nhGX;=F+l`k4Hsw7u;)4{KL@;*CzkrsI+4L=5DA#|K`3Uw@rO{|K!xAB(%J{pc##q zy-@k)^M~s3p!vja+KzNXfB5GQKz;kQsUIhQdlcf@C2iMOU+NK9U+db56S7gV+1guXVvdhpKY?>qP6kI#Ry{^H-9)mL--9)K41 z{oSkeM~*)6yY4%audP{k%DLZu^KYyE{P|tl7rXI#C%gCG2VLz$-7l~yNPeF_{o)gA z-FVQoi!aFbwF@8EM=Vd$=gNmp+cPiJw_WYtQ~$uV*FN99 zzY!Cf_IFkN%7V1^BKPe4{F$lWChu%LeQj#LoV)NjPj{OQ*2Bow(YNnE!j8U;=bHNM z(H~d-Hu?SQPItY5OKe?R{D-9UNl$s(O8oxdolxGs4;LeMCmxviN!fGPrcSky8#?Q258Qd1yq4Gl@%6+PuR9InG(Ugek$b-Lhrhk~*AtJfJJVLW zclz7C_w1KVOvtcNdw!UG3R$51-*;5E*H3+Q@&{)22+_|#{Cc{M?;Wih)m|f0Utzm3 zndxvGf#y{mcVD%3HIF-=Vto%)u{*xPb}cqZH(BlG-zk@RJ<0A<^2oKCiEMQ8TKNec zb$(7h+$8;Vd(`|D`QC?;ozj>bf7QOm>!zZk(sJW*_00Ur$kLHTc?l_|h<@Xdh_!U9 zvplt$Lo?dc4T>`dYtQ7DN3O8nbRqFz-=2wJS~9(*Nj-dgR~G^jYp?Q<)cYH@OTP0o zQ9otf^WLdv>(Tq5O-Q@$!_cvL=@`G-cqB*8k6cTjp5Fc7{&D8yn;MQRDsSO{%Di+g z^QPXJsOZ5kE$m^RMCMALV7z8i>xULE zc($yq$Ni^Qy544pXY~s;*Vte2{rmsh)%X45Z-4pI^^1(}Tb+=pDZVt*H_Q4g2@8vc z5=gHQ8)q$oPc0gOFjTAkX*Yz{IiaV*J-^hJ#}~$SZZ6bb*k75ac0LkbPWB z3xKW~4p`(C{uakIwy9juf@yD`0s;aVTSC~PC=)!50YGK|yZ}${olGyFp085&p3xtg z(+D0A40}Sz%x9c8g`Hph)^9)h@jr})@ksB>*MRp6(F3X~X#GM;bs2xCY*A6b20an{ zZVq*z@T```fr_BvSh5c?k3tY9zXUYH1uJzt9B;*H5N2dK?bD^O5O)p1Mhlo=_lIqB zF{E0OjjkPEIAEL9HSpJz)>yh~ZR0{9?Mv$-eh8inTM^o5J-AlAp6(!Y2}~&v@@t6h z1tq|=M<6hr4mh%xGZ6e5-Zr&}sd>GNUa~T4y!MkzWYd2b!ul6&7Q^yTPf*@#YcFjR zmBkTdTuAoSiVG)NK%)#v_S=~Se~i0QT6iVoqM&eA@#7SMl}qQURB2W;nVfcVkOM`V zuh|au1joNmd@1!S%^Kz8?boXmG{j^5W7eyp7+ykG3GV&OhTzAM%jw(@3Zg}D)_*s}AtNPe$2oE~z~ z$h6c}s>>L%ahb;0fit5*-(I^^BXchL6FWrx8WpJ?;{BB)&7vXjc?{@K#-v~9LmaK{ zCJ}ptR2Aj4$cZ0$L%wRK-_E_XVIW+6$$*0uwpsx@r$4l4;J#oQH+o+IZmjEZ2hu{o zz;@1r=8(`E#$7G=r;eW+Uyiqbzr(Mrpl%3$kAVf4Az<(Y{!;KXAcWwfIE)mahVBSX zLB|CF42o;W2X|<7JGVJKVS7*#w#kL?x51#g)GUDc30Pz2i{8LE2B8UXrH-1PYx_U zmx#FTQZ%(R+GV<1z8zE15KwdfgUXXyd3m4ievEgT>7Cr9MeXtSC+Uw<*4e{L&yD5V z`lsCMoe}l2@;9}u1C5Pqn2yumKJ^)P-$fb13r=xwtm*ygsXgu)N0V|Nl0w3c<}v%t zGE;kKhRSSS%-t!HxtgBGYs!0XBG1W})ot>Zd)qbr%#W-yvsY79@$CCC+;3buub7*h z2Bm+u)TSn)mB9%;I&&tI`$+piwOX3!?vN7p6I3++N{Y8M_77Rxt&Ufd&UYYIDGj)3 zz5A-w)u>FC_58pL-n{(v@r&edR9jTFZ+xGLZ#=EuVr@n3RDY&See3eal8?R-eaf@3 zAZ)Af-@;>ihn-W5FWY3WZXU`K$N7W1+G))lBxUc8v(Gr~d{x!b3+|*6(so|YR?t8+ zT=|@-IZaBpxF$CAUiXoO=}dnsePqF!P-L4Q(nrLEYBh}*i;H8Nmo1aP3G>#3!e#j_ zxq({667R3MAf(>QGFo7&$NG`H-5u`uIqBPP#U7XMH@73qI4^G@_aXbTWMb-GWzRe@ z=?V|F)9HwaQA7D1`mAL-2X5n!%35y|oj$2_lh~^recG`8>Ij$O?T7S0qIUL`{np|v zG-2}gY2|0OT9PyUAI^>6ALA|Q^LCFhQOQatjNs9&kK$reUC z&RQ#}Uh!T?A{7%Wx*(R%0#4rFDDmUw%=Zs|=8xC@$J*Z=ShwU3**3rDjFmOF&%6H9 z)3)E%rI5{UwN4~40LJOGr){T;#;DkCmaxTAO>e1*mbg~GG-BO;C^I^@7`ifbY)OtU zHcC5h~t$QSG?S312v12Ck@+ER-76CV8!W zj0r1l(#h*RdO{;7P5TtHn$;28kpgocEi-NjWvQvRwG7FrpEkEh`A9`##GE|!5BI*g z{Eu%{Z>;pqq|@On?y8@YMq-n-YETaa@A8+r{$XX>8Ih&4?(yNTa!YC8dB`1E2_sJ$ z)x^U(<@$WDrhHmXup4wI)dpBUM8f(GLb+`l0Czi~S807u>V1llPfK@qYCX;|$w$Hq zA<8$?z;{jCHS`ii=0hfBIlIB7rl?-5%v&vP?r=TS%`)x}{#{ z9$8!pyGO(I&Ok`V`R%!dF0(8!K{~#@Dodi2wnf?6=EzHYSosbCM8RulGrG@y@Qb0X!89p4M@YWz%%@~wltC->=CYJq`y{% zN3Xkyy|1gUR@+KgJK>IXw(AUwol`=s9qv^{>s0>nu=ME}l{LKIIwC3k5qWN$79ZYZ zR<;ajUHzS1bQEUy?(;w>o!Uw5w!GScp1Bv13ckFIzVCcaj4a+GdZ;{L`;r`{^5ZZn&PH zlAo*k4kf&;RPi;~w<>q@o`4m?9Cb+@l!SyayPH?mljtUP-cW>>)4mSd%kJVVpElqc z$-SET5<}JI-jB~Xi2Gmd4W{+;Y_lG`iwtow+cy{PlMHjK1RZ9R*$JwZTF~@`)M1g% zgOY2+L8(-Q%7I5E!KbAI9gZmLlWDmqrwdNpfnZz?FYJ!iMYyZ|Qo$E<{kepJ?#?}7bkxxU-ulvZ= zRJXWtdFYCJ(S2~(X+GK6I?CtvbkiMWU&@|Plv`;$I{8>*`EoNq^v#=eIrPj)I^3U$ zzfU_QH_es~Pg^zJSJlzE!Pts^#?)3X?wPXQQah~4-RE~HPsryhotf7=w50~#{G5I+ zaka62{PyoI9T^b&pUDonkBfutx&OQOv!|%M^nf;Xe=?tGTT>>)jBBqA80T{ra+SyU z)NY#2i{yi+X}R4t&)+i!HU4_peN9=svqKzmkM}!?gM3VnhvwRCsq>P)>pn~?BUds% z!0F@Gr`-$eteBn9zelUf-kbch@;Xbqc-zvziDxqAOyk_$-LGbA$jmFVlNC<+U~zV; z!FqMC`gr7ghF+C#Rh+pa(cyFKt?47*p4myhv~fjdpFFi~@|lWAd-u$4F(gS=(s2&P z$EG3C99c4c=5A}<++K26n`-Ll=v(0u#-=T*RVGb#kwE&qwRDQ9^02w+Ox4*@Zvdc- zalWNmG5wBSkKqRy;Wc$gS(vV;ceJtXs$OB1scbQQrLm-D&^0wi-{cu>taMfEp-Z== zFd=P4JgAuF9ea5is-XzMdTY%5C;9YJn-dfpwf=CfQlAJBb(J^B))tAXqK(bg=?}f9N=_-&k|~D=Ptw8q zFHMMYt@QLMS-ZMYyY$Tt^pb9q^z+Y=JHnQEl`Nf=rqT4{Cn{(ot` z^J%7#nM|1TJ9EzO{2t#Q7X4DYD8rZPZ9$T8a77G%Y4_c^?`F0ozUzP4lW3jNgVJB& zCk)FtUbjyW{a}mMpZdP6@~2`|49~A}i9vOh6lUx3s*{hf?x}9Rr%0fsT{m5n*9yai zW+?Q59SUz{nOC^u%ya7m!({ISUQj1V4)w4Q0hLDawtG0AKYg8|)B;t5RkTS*XXo{1!UEi{mc9KWMR;7$NRD~og8QbU^gsL7QuI`ov zGEy08BQrVKUYXajM(zT`^(toB1VL!HjqeO&sHNF1d&FMV^8-=T#*F-pa&`1}T2|W8 zyq1y6(MLLe{`LBs{yAv)t`T)$?w&X})WweWF~fy2nY0%RyKo0TkwwefpBiMUo$UE5(5Y}vJ{*M+%Kt&>f^yLhvFH+-n1CThqkO96X zZ=G0zmIpK;UEXSmmZFxb@!9Y=ms!cpO3DpfxB7;aYG2LGwf#}*%$}|k)w5{Nn|VRT zEd(cZT_V}j;SmNIYQyNoR{!^&iiGC(Udm>u#J+Kzc?Q-vHrptpKTsYsD$sJIF&F}*eCq%=`%b2yyZ zrrR}e(|W-kkjZn)g>zcVO>^4(Hnthir#TNPGV%7!(x7a$j|Q0OS|(kg@ICC81^?LL zyz~eEwZ>e|qvSK)j*#$<_wB_U|*(dH>;y2d5UG~^q_nXLqr381 z!2PzM`rZtNDPjgqgb(U$5p5ipI&>BY)6*HUxJ!*c`0tl5-um9PW?3mMm;+M{U43#l z>%g5x$6c~$wQPYI@mC$@#+vArvKtK&d z#>$S{IkHJRLaQ6PRr>&~>?)I%v)Z@KJ^B0)|5MQ)Go)QeqbpqXk8^xDAMA=@j3nz^ zJXRu2+Pj85sA@_?j^`=WXGg2+UnN5Y7)Ef zQOTYqofvIkX{JhR7T@cs2&ppTc)!@O9m>iZ(tMJZs z=%Bf*>%2B9KO-Zm(`@Up6MTongR6DYi8wu{O1Yy|T}^yH>rc;`#y6g-R4ON=%GgvT z+0#TbWS1u1VW^!drj1?t0$k`*b<$3EYmGDs8VN-R)0{~-R==aR#%^qh^e8y3S#0qW z9ZV(`=g9FXag1q}Ov?i-Qnh?ys4FZ86`_ONnCq;XrZLCRBif{pr;)=0B%`B**CkdB zvCo&WymU@Nu6R*hWe`c9;B*7fnY`Xov&Clf3%+W#kM?g4jyAD=yQfMix&)X%CiA2J z%Z$lzQGm*(1{I(M?+zHR6EkT6?h`rF->YyEH>gfxIUueuNrRm+BhM`m`jqK(RaP_b zW1QlI1bG7+dWjp=)g+xSYTS=iQqxlqRVg@II5C^loA5HKDE&R+29B;5qM}ntV`!uU zQ=aG|(kGnCDgik4ebDV`$-hb)Qg}f#>6|eZxddgs%$o-DMv3La9a?Bqrm9F?HO-oa zGy|0BVmM%VUoRW@QBkre`eDMVh*tE%A;wqM7k13c#E9uNH$;*%5 zllankt@Es8S`E6}Zon9|Yim(ka=bfi;hM+O&!jQ|ZLO;ROq>LMp&Rsi9=O0m>7kJ2wjc z<*dkGX8{8pkIFb{S(hGGBpKPg8OX|s#25v&4RV;_-H0`4QM!s^mMd6k!l1XI^s1g5o}i|jIV)HbqYh@v zw2m_kL+K1bNZAa*8WIUIVkNOb@Do$$J)KEZltG)HWikv9r=nN<>6PIM;XPd)lo}z3 zi9CK8tIH9KR`Pg-!WekyS=34>4*?ePRpbity)*&pYo!ND*c*8Tpvoqi2*d5_tf~kR zKd>$mz-lX3IF}13<@cp@1~%Q}Czf%7zVOuV&X#0;km4hyHeZQD5Lc42z@nsVYHc82 z_L{&R?9V6?*(S-Le}*M=v|j>dc_pjQ5zE|uNenw>Mt@VfVX{!vrdc_gtkef5B9iku z8UVA)TCgCk!U~rTv?|48S|u1+z2LXb3(I6C{8S|vL$3qwic+LB0eVaU)BliSQqrwF z%sIq_$)hPkuy?8k&pVk` zr<_TP1P74<0g6s{N*Rdr>uJrY!zN6mih$0rM5QJx7KUkZ$;*XWsX_>9Zi-f&)2!3Y z@+NTQis_s|T+SJNu7KcROnZ?^mOhF01A-bqd|PB5aZ_gB;~Q3KeX1S?0>3j~#>aTkyz!k0~K zC7t$MPUa_GhPwnsmZlbB;Es{qq}jy_D_~9|qoxjx0){t>Wp6g8&y(6PJ1fxew}3sF zO%t&$fT;|0TZZJ+BqiTI#oUzM48y3x-?UTnQ*~|BX4RywQlh*{Rcpe_lAENRH!!B_ z$Wa$Nqv)K(GSw3l>xW6YY}gAA!3CwF3%9A0Rai=|KDys~*NuYVS|cp;oMQ+mv^fcZ)D+tpWyAk&y=n3Fr>@;{h5!Z@7ONpy|CF8e zTd7GZBJ-S?)|ynA^tbpSuUQ;yG4a}RZ8`vKLLccD(w#WN?~x5K*n+JRdE`7?Iw@c?|3Q9!SGkA<}~C zmsHfwETct@9D+aIPk6upM5fbDA;U=#709U&gx(3mrw-WGBt)nHrezp*5n$(796=4ENO^VOJTI@mWc0y>U ztqeYB;uWvILXu1x%ucPEiz?7K>N-xiAa-4+2^EIrFs!+#3c(4KbfU7QSo5<+>S$j2 zDYf+hDIEzeD-?eBC!t5@h+a-`+T@T|_F?2_fA#{G*1!<1>qW^4J{fBTs$`6W$Aws$ zCSZJ31|`8n$a+(Y0WXU#GcJjcfFpUU)#oA1P86<}oa|A$*9hS`;aemhrzMBNXZ6Yuw z>PCT-Psr&|ZNXe`(J9?R9LSUd2i3v&U zQO4USWK%j=waCuHP&~lxPZv#tE-^*z7nsfd<=9~q(x0lDko_znvCFoJM6)pA6h)4M zS&afZW)4&p-2_llw}F__NWq!z*YS!Ur#tyfrb^Mc$x1Dq@#Cg^IgKK-^%^gWs*@+A zWg@bJbC=FAEHg^PSt*UwI|;YQ8~$0bKlI3o;hVm@Rp`y$fJQ_gpa`0QUNY(=GjP`^ zL4ZdiUAT=Ll&}IJHCP%FT|nsSs^1Rnzno-O$cCm3+RCVE_lx2@M>q`i&3=>$sagf* zZ@r1+~tA331|B{x& z+c*nXBvBdn=x!rm_=Z1Kkgo#+gA)`H)kF}{JjTI*ASiZu%36x_?`7oE6tx*DDU+J1 zh|4_qo8g4R<$?S^(3O|pRc#X^fB16d2RUPdXZhRzw#RBc$HrO9?AXL7^~|Vp%M0Cr(2Dm|?wawPi(ca2_%MFr z4z6hPzCt={N&N3S>L848*3%IhDyG&TIp2PX{Y%fxNV^w35D?}-RkiPHDVn%IUJUi2 z>gERerx1ka|L`aLo~DXkt({8Dt`n>I;8?g8@9O621i>;r-y)11>ZnbSrA_9&;$oA9 zsrX}6+kE2O%XB;xuqPh+ey`==-+UJ8=E?=z_kBTr)W7pTx$2GL_waz()gg5<+X~CX zqh9JUc1+tzkG=X_p1bt=6{b$np6P-n3+pR?_dxY$#w9I`KkrT_?SV_IY7uTT%&zeK)9mwog4z!$&D zeEPF%k|HV^ZDn!kXr6d9e;5x4r|iX{a&SGe3l1S+8(^EGjY9og<=n&t?|e+4m$dR6 zBUjXURMa-qtt|&EIG%kzG543eOlo?Z-Q1|yZZS`!uO_Q)Moz8hsc%;&BTI(!^1ecK zxI=9_`3Bu6;`hb7>H5Fe15b>e?YbiV(EU@UPIy*0U$dlcZ4=6?=@$HEStB?zf;~y} zR-S3xMz=N3a!KKcgcszSw^j#+&uW?Iv9o0dEaQBf!@j*1*`s`+2S}NO0^WB5F_gl8!Tp(4UPx7uz_TpG0x9&Gn)sKknuYKi+qh4be8bP#KCpK>s2pR<|n$Kcw(}`ohP34M(%s4%Y1h1@}lE`Hp`6M$t^K`u|9eW zDsg;YQ7p>mN_?VQU9FU_8$7$`6SnDt4_+EJwiUF<^Z>o5qL5=gS2}*URMkD1sv)$Oj&B_VBYW0Qc8P@~3YgKE`L!*25hnn7a zsi&gz-b70yeQZyzeG4PpeEzL(t@(keVq{(O)l@<=@)uo=xen>ywWE)9=5+2nK+cNt zco9E0y)>Ik-fHYPqG$=l_32UfIR|w?z38dlw6&oT)oidJwD(iy8Lx72KZcK(5( zBptYmJ{vh;wr3CivgZ-;p^BdeBZW-!S&e%h5>@)RIQ4JubCur3^`DLBx&d}%Y{O@j zN7jx*DAumpZ;_=b;%cm%DzwVlvMsT`Nb%dQksm*@&^)GH(Hf7V+2>ku9ap2xX>E5L z$o^ShARuKsvMBAz66Pn>gTi2?;BM2LzK@9`xR`~?Mo4@x&;24^>51ZEJgh5ukLLC;->wbq^(?*R><&6lfN^&jryu- zMNbuZ^0tja**n+Q2<2l4#mLg|A@mAW7k-+Cz4B}n=c~u89$X;TDNobGM)}xUdYqbG ztvuZnUMHJ*TMe*o*a+-d{_0LBQ7ZCqQ>l_=Yo}&B$51G zoHAH0uGK}ih?ba(qLO%Lr7e0yM6dinHfxdmW*h1elqN`!V=P!bI+$7bo28L^zWBca znhPi&JTu~`@8xt_RE5K81eqZ1_Sj*90?5z*O(~!b;usRdNdW$GVCgvDo1!fEsV<%0j4FlN(3KpWxP7*4fsZ%adXLtqb zcpCn9{D0q?%-r(Pcb(D4_{Da5s2kj&_E;fSB|Ab55+hS)`u9u)MK&VL;54kOmH|wD zLsa3^H3*0{Pto)SzRSX`l1bkVP0*7s({u*>p3#MnTlV|1Fl}?{1Sd<_%Mm}tGJO!- z9}!99OiN{v=b;4^tl5niLcs(98N6o9`e@B>gKh>|Co*%b^}Njb+@)bd62TLamEzlt zjVz;N(&FWx0?wGnDXQ6>IBae5Qi3&G(wh-Ior6`{4zvXfnVufV^JH!Hs+$q2Y13~w z%3i``Q`2}vzCjM+sh8cdEbw_W-ATQRXb$DUQk%gxfCckm@t~GRdrjmDb*-cP(CQUG z{GV&BmHroX;qV3AUiL!w`co6vH=U<18W+0m^_CXgs{rt66n)mkbgcP`G$wADJ7c~* zdXRS7cUO!SPe5V#SDD*?8Vv~vvXU7;R6|FE;_edldz&IRD%;2l8zS9E%tgZIYPh+M zVh&QPLuM$aSh2cm9m+-BWH;&~@8`!n^q^2j*YU-Zr0M4JdZ^ycoU+!(285w0a(4@r zFz#m{lK>uuXPJS9PAzFW$TYf)M&4e>*Ayg_iaHh*$y%r50%*?9W2lwFx%Y|%iOHR` zw;X7)>X-o=3^-OeI+-``*$HPWSlJupK&#H zV*8W4Vt20%^$f(Z>(uY6_mSeuLxnE9Jtd_gblKEAk8}&D5F^gmm zm&_|-Tx-$f3% zjBkg+uF&D0n-hv*F35GV+T&gpJzob3hRQqs+FY5_T1s0c>}GSkn7mc#tlW%~S%2sZ zK++dlEO3;QZ;dJ5TeUw2H)geD*%q3Qp-;uB?6Tk|*>6|5e=P;Q>p$>rVTu+oc`w(< zInFUhBd1IGgW|$!$32~{G0dOuK8Dt>21&gTNRm6}jF&e25S_*{deSkuc{_VfxHToI zuRu~Kc_zVp$-B=m;^11QCTGJwn1pY?tWq0VZCM*V>RPkEQ;}yPPgf~RwS`P@DRdJE z>%c?*xTCz=8xR>=f7?q){TgfstEs)& zZ-3E=r25terCXKUr$duRL%&dy%*Soc3Os-&9DN{!{kPpk2Z+%mMg)3wxVtg6Tnj#(qqdmos}rN7_$0QvJ1Ae<`i_oM?@T=0?1 z=}p2P9c{s}V4L>#=|S^kv|1~#Z99f8c7f=~yXTms?N9G#8!tKjiq7nwwVS)&Rvg<8 zpPP7M{@g^~KR*vh|D2ZxP=B}&onfX1_d}8Uc;%Br&0|krK9ObH-bFsL(XG<9>WH+O z+vlj(p48^YqD!Z3x#$12^P#uE(C`+>t+RwK<$X$n^gSv!M;9+e-L z$P&p8`T@HzqCIStl4z^NKc|K3QD97+SFa^9;$BZNH z*BPh|f#njo4wZ!#qMAEg;46p%ro$?&gK2UnM|g8%Woq6+G&9CCz(s};+1Uz%%Sfxs z^KP_)Ha#3oUkeBc=ltwQS2TrJJL%31m^v&^?vFq6Ki|IgAJ^KOKrO?pKTNq)`)llP zIL>Z`)Dz!r(P1+{6g|G%5|IECw+gIejKruSNdhz<-(kJq%k|W@t9H}KfEu&R;gVLI z>rtzs%8ou^bEXQ~jOS`21C)wp zq@!wwD!7X=u8Kebz*!g_j5y9aAGTiPw}Nlh-KB<0xncjT5^GeWv3zC)eomYuyQIM# zY#Vk6YidiyVrdtC%}>TO05Lk&APXQ2K+;yvvDgC8z9~pE=H1~PkSO6Ez>#4fLDp$t zKmbQg0?Yli5bx3@IdBcdALHz$)arcDrUR%Vhnu9SN^YKx_Rw&^9Nh#6WXSp5Q0{Xr zJ$>7yj(@o(ffcy)d8mwrHf{2iSa+7kUcFfMcRqO0a)hkCSiaxmiGEQGIXoUZ;Tg#O z)fIQQ`-AwAz}m!yKS$1(&p8Hg(?v@ueP2!PboJK8wS0WvXRkXF&4s0#68Pz{;?v2VT9uZb#q9Y2hXL$kNW-p+A3GO}{#O1bb$FvgFED%GC`? zwLD-+WsrQ<9$KP4d*ro5q|x5@wl@CJC2KjVS(?t}wiv57l&fpsi6n4d{lnHI^`Crs zcG1tL;`A2Zp#=Tv^rMz7O!X|A4V}c2zav@kY~D}rf7LTkar!YWzVWYlhhK?D&0kzZ ztEoo(8%WIY{4|MjZJ*tLHG66n@`!ea#(N!iv3E#!SAD)~d-2Tg;j$T+YO7>MzSd`72?Im zKi~Z5i~o1eBOxBY&ooxGAzv(!U!9b;MurF}g& zweEr4wkNzTxsS@q8eg~VOuKrxOC7Ase{%EWSoAUm#?t3O+~GZu#kAz2gEjj}^=;;9 z;n8z@vlk~Tg~!`FQ3tM%71lU>g}C>hj;*e~nL4JN`NGlY5aI5M#T+HM?FBVShSUYy zq6A!$XpdvKOMAPSgLK8+_nNb=7rGA|XMQiv((d_g=8F?{?jzdLwe)9@F>S+3+s;+q z;s~Lyq3A#&|G$}X+viHBFOETH3Y`=>5bCgQJB4ON;cQ`Y=!dp_c9-jHkm~%PR-8il zH7AO91ei8$(Xp35+Hh8J>``uBPqODNY!ZFuV;;KY26V;+Y1O-%5+U>ZVYF>GAk6_N zQV5L9ONTY|A)jDAqzBm#bK(R0ndZ$0a?Cep*&b=0v`uAmpU_=nFpO=!FSLGlORSo` zsU!c}2dDg3sPBf<8%5AFaLWdVs{}jhc73j18Y?7{!n#=-W*PL;ct{x6X6VIyM-Z z)*#!~Sb%9)=>%lbavbE#PrLY5${0Ow1pY0Ry|bR|QlN#HxLFxbk} z3Ip2iw>jNWp@EljVWsI^N3%sEHwH}Yuts$Vm6SXdU8Y=ytZv_J@C2ma<`GG2ll&ND z@{=T&21TA*cuZ&K&vLM?ZtB8%mafbLOPlisEEUmwc9_LclBKIMLC5Qp%0Q~~-RVkPnUnf*DgCz{`Dh4S|4dmg45z#&1i7X(I2TpfK zRPwS;Uk+e6)mWq~@C??hY_>G{9Mw|9q12mHfm$K~;$n=WX2Lw_+pa80`GU`|gkSVL zH6x`kMG((eDcemg{gR=D1*7$JA;+hwc58`4l#y9Y)!(UDEo@a)Ls;-b@*!`Eb_F^q zZBw>T6@Yj&1Oy`=DW|gZ{XPvKR6~Q?unsUdp34KD-Td1d+DzZI z=NIh4Ve_Nf9Rt|E{ zaaCdC<(rwf&3>GJf_XGAaW*uOAh!wwwnLZbF7>T0C0=){@l0g>+|>HQdav!J`su19 znksZLW5Gz{o}fGyi%&Jsf8T}K#~C&`@!;-?YzG?iEb?`CzyLqkXjI3<#cvypXct?& zP&}vgW%bHOjo;>-+1B@OFlI2*W8I5G4aQ(_BG|R?PDApqYNLz3*qYj%tM!hl!vlHh z;;Gx!FQ%o=ik8OuC#Lsf=8_kzG0D45wT}k_{F46U&|vQS4WaIVt!j?zj;@Uz zJu{u|fMesC($j$_r^Ag*%jN0)#>#&?-eT$MKnLzv{KJv_zh$~Fem7U&yQ%B`BAonz zT3$5ja{s-(Kf#=73xUBPHdtCve!F|L_ycNf^`FeS>pzkM#)})@HzqfH1m!P=yQbo0 z_5o^r8kvZ9H$OYk)0!ar1Xzk*8Zs-dP7ctEt&Q}CxhY#=owvjG?fap_Nm~dXW!~wR z#}%+eEUBdh4V$ZQC&NC$B%8r{V5ah(n4#lq%0amMH;QAG@o6BV%D_08JiuQ-$+=#6 zcJn_RjX3mA$MN8NWU!n$6$d2v#0SChx*g)ec`<1l*sd+uzLq}Z+Cqm9IvUH4OYxIM z>H~MFxyNJm_)kqqG%yt#ImrK|Ey3Iy9<*#9EUqs8B6p(b-UYPaSwP9%pS5Z9CC7(l zi_e$v@yKqa({wbpEGvJ4V`mbs#Cwuf7}LZ;fMO?)aVfgkG+z- zH(!~%Z@SU7<{{?Mvaj6kMtEpXyH;;DtK$kE-%s~Zc2{0vtb2Fi+LSHmg`8tD@RfxYgT1CIcZ~lI&|9fTy-M-017=8k_%WYV7kXpj0f)1@VFWFPht# zM_{ghJ3K>woi{=c{*bQ8S_EtJiAgCr48=(6QnKY6=R(PiA1w*RbeA$ue`ji77k+VL zX3H)zjVO4LspIdR8MI^% z7Jsm=xA^_yS0GsNgS8-6-%D*;_{>8Zl>C?w-}6QripR zfwmVQAL6CGAlgeW+^6Q&!(;nF%-&G7K}hnUxl0@SCc64JgEoEYtg`6=#_?e7kCXy0 zJh7E)qR;~8yfgGA{$sE@grFd*!yGt}bxwX_9Ata4QaB0EMld{p)WxB_^x{x!A>DLg zYV7Qin1!}?**fw-w%g>!(grzkbRXZyj9i{7XU<s(){Yk0QSW3-H7-7V5oA(0V;0YDtAX<9$Lb8 z(EXl#Cj1r#?!nBEFH0RqSC3ozB^N9|3Xyc)>HuT+0*cqJLH$4k+-fP5JYzt1Sb+8A zxV5eJyj2Omg5He+F{0Kp4V-}c3uzS&kNDPbS+(y(Cf8Wa^zR^<6d*=t#hIZ6xg$0M zhmX+^@dGA_Xi!b^4eFaI$k7c};HI9fOh9I-yV1hi%ROLEdJK;Y#4M8q)au?wD_|Js zq?w^k&l28hd4`>{f=dX`a!Z~yeCdw5-tBPXmP&Vbpj5fjqQ0J24O%gdG+F?J=Q%hG z%lQTC91g!4D^~+EP$UIXP&kydK)pt*_w^m{v;7FHH*upC`|gnLv_6gFwQ#?fqG$4E zmGjms)HBa-tg0QaokN={hp4ewf_kxbN5maa03#92jT}r}ZW0)h0Z5mcc`g~Xs^P&> zK%sgMs|j3SZKNXNO!z#44@Ua&o$B2Pd4Ne^$yO%Hk@Yd$PB#@=r8(=^NLy`kWNicx z0|z|7N!k&;JJgrCJMo>gSIRA%bK1zI>P*&#!H~d#ZpHa_sb@j)IOlyBTqS~9fS+SC zm>d99(SF~WkpN`Sg9o77TF042x>Pg`-Xji9ZpyDrVXPMbesZ2ysOh@=I*!|_Dn*t_ zp&#cUg?6rA%fsjv97eINq8a$~&r5-^jma<0drgJsy{f&2#-;UpweDvjO zExa6G5YPqJJf14xHcy2A{?KU{Do&5#v7%^Q{<@qe)biWgF5pKuUvUpq8y^L`IWBO6 zo-?jcZ)3-P>TNN;->5#~xMJ(x{nBCPP#w%2}@$p0z8A`5=ES*~a zD!kCr`EYr^^vy$CH~#Cz&Hr^xvU*tCZt9xfJ(asns#*87aqjQ!rw2>(*}DAw#uB*q11ghjJ7Wg1x?Y6W^TS>0+jwvb_4ITjwYXw;s+RY_;k>C_X8Jzzq*={SR#KQ+C-2K~49JHggak5dPlJKCRUTR`o% z03yuxt)r*xYX_|3L%Ws5=Qr_7iuriw?hE~~EGzu`2dFd`YfcGcQu2i#+Wu|@xlXPK zJyB}}D`!fqA6`iQ2+}*40dzXS3<#&=s`-^pyy=PBTIKvzx&DbsR`gBup{@{2aiMQC z^F-ME{`!>-1>B* zx!>V>WvPC>Wl6djFO7gqcmARO(zbiPeDRt{i3MyH(S4hRdp8S?Gi|4hUvK`eu~)9A z))|ZN#SiFe^PggCsy}EB(8-PGL1DdsO6+vJ)P9CqoUYn^g$y=dY3>uc$-lzu4_4Y6g^g7U zHdx>oBejl+e8-q{RgQDghLEy82DLD&-vIUWzEEH$Coog+@!m2l>3-Pa>A3)kA<39dX_2Vxwrq;%zW#7?QgI1FHu zl}xf!sLa>Za#TFu&`ya>y(COOs!hz!4>=kDNLw3*MS3;P--?<*|0Csr92H#RQAqa0 z<|eTzh`=;q)K)iaVx)ePgs*n#jUwXhGIGT5rUWHRx3dcj2@^tH87CYUyf<2NXM_ZIWPGa@@(Y z)%n@-F$x?Hvd-_g4ea?hAeinZMcLp}plYibzB5N z@7Lcw6A%e`9jiB8g`%wFbu3g_By>)s^FstEK>(NxHVB#oFwnK_>N|Qr9HN}S?-3wP zWWodo(+!aSat())ZXyU@3iPv#gu2F8uS~cN5Kj)VudpKs`YO{5E}P+J2mv@j1Y8Bu zFKCDbCOG(ZxEi5+`)^N{?n(<@{RBbScz;`roiqS20pLM={;4wRIp;i6LG}X8eV`U| zI#w+UNByWm;g}o<++?#^c2!s&Iw>?mwHa~J??I**S{wtxxz~ zWDMy}Jvei2tfe4jNL-F9D{u|D89+&L<9a*WS(ZKSn^S zv+f0mTHVfnSW~#Vn#T6XmD-PX0JAP$`(x_>XL$@KK0Q>N!lO~iz!AXEjT|` zCU!9oXm#=0JFNqjkpz8Y?Y^X?7|>^)Z(}K1-AMVNqe<(>Dk#5K0D(91*vW5p{(u9V z-TikQ`ghN77s}OZ=Kzasioaa5bz~qLkamCHu(qu>5&3ugTl&{KKRwXCRMQAVyPC$P z$hpq=zO5tOJ3rft6Q0j-?PBEBHybzB0v4_|Ye{O{Nr4yZ_M=zGf!p7?;DIyluZ5k< zlNdVU`7|-~7XEA}wba|VbLKLzD&AT04CK7?v+_OGMC?ejb-@lRBTw+t-lTQEH~j9x z#Swtk#a(yz@Es#pJ}oS^{s>rf1GUs8`bc}^QtU{(dXe8BdFA4p`+oU@{Khw%J4Tkk z5w$US^oYd@_q`7gd5!x3e%I)^9ms&5Pru2(+h|FA`it5-ZZC&3V%K*2Kp1EC)k3>_ zf|qv!xDIH2G)U%q4R;*+wbhzDU%qxPT&*VmuY7W>d?s?q3a$8cid+}3y(6+EMXvib z*St`CB}J|SseG!!*`FSbI~v~^xt!M+cr%5p1Nz?`kpW-`TDGhOZe1}*C6Zze=2|}< zIYULD9q5rn=*R{TAe?o;1MIqd&*Q)l%pEBY+T*D4JRje?HTKemK9G&&&17W%bGOD% z-SpM(py#EwS@vBOJk_vFt78x5YK0zF9ZOdn&ir9HQraJ zz+(HC)-g0co1(y{PU%O`F14vsEai6fUGYO3YONJ6f?;8qM5V_7 zzh^z;K!t?V)YGJGG4C1 zg|Ro{0JKX{Y6mztq1=M(#p+wNxoSB@`+#WoC3wXl8h}|$odKW53F=u`?5(46W9uji zkaicWP+$`dcGp%ipX9+6E)IOdJ@w6-rmH;w65SyWM@$WZFzJl?Q*UA_HJ8B;Iu4m& zvLO^D!$c8$hQj#u8UzjM{dz`$G*1{ArK>|5jAyBjqz1Xvh^N0gw80NB6sG&Hj;8>t zNcT(WCW0VXSxI3((|Loq3S}T#hEE{?d|n+e;jo@(OjpNEM2I|-Zn!>lx745ckIV4U z)W+*fBvGNNCP-h_LE@KFCqhC*I?R68L#!jr6vU_s!>kAczn(T^$ZTo=)dAZM5+76J zF|9MJ1cpB##`skj@?lHOp8~iRQd25`M@2~0fxCf2dQq-~gblr4=VVk?THsbir%c3< zQ%Z-p$_(rWfStoB=_s*;)#s_JFi$`W>LL12YsHjm&_j1_>E!)k>&%LUVMG6)RjejdB@R03FELDrGC-UQBy( z#To@~7A=oyI#gthVhVDkQr-@R{EKMw({08%vH2;E)N_T#s^#Q`7El$~jGGSiE7eMt z+GLtdfnbSUY>>gn*=i+6%hCDI=dlrl*&d_MM0u%?MV=J69M)G)#vsyV620fKD6w_q z#Cy#lD1#7GWo3afYDtkaS42g{bfLWo3RBn7d$jF6bcU-6M-``5ZG#|Qt0E*>T4naB z7Noo91|d%R(@rRWKpGw)nGCqp?nuHVG{y80fDJ=p%qj%@b*TlrZS8^TMwSh z5h-`$z2fE1|L2Kq*V-+$hqRYT+IjVN9q&&G5GK{DF?rAtUxo=>?@V5YeO9{{uh;+@Fny#Unr^M{Uy+peI>gn>;shS|XCg}JXPL8;?>F$A!1?Q)}Nbe^| zc{zfg;6lVzgsn<^`@u_F4rKo5D|jQdd1GBqFEbAPH6e40LaC-;10yw_W4p1_(>nDmPm+O3g3 zF<+MF_$Es059H!ER!)oipp=oXOM&s0W4U&27Cpx8 zS_>st=;;B9*Bc&*HTyH)+|}Nf`QzVQYg&RFGEFEDuMpVl?h({>Wjd4{?k{<9O8%94 ziwdQCf2$tF6J^o@ez>;6fSEQq8&Bq;hXRW)l*JTZz;dB!u!uIdGKMF%(dW>OOfBnU z0()VZ&obY*UEJ-APGoEI;#sM88ISUXQBr9#Dr@%~e<%L9 z^UA~bTx-Tml&?xUDJ1rI?15q0%}jEfLyVcHlnlxb$tiESLG)TnjSbVU{U z_Vi>K%4nu4N!U-Oa;SP}qV6A?LmHX*D>VZ)s zGht>$8z;67uoIfmMdECQPlJR>yo^>Jk@5Cy&heC_7}Gatz_3%gRHe!A&7(IrWhS5b zuDj8=+t)2v8Sh7uYE;^IpzBX`g$BjtQAOGkWSL%vJ%Drokd`(2MwobQYF7 zmF5=Y?BE9dQBz2ddnYES)1;q6H%o@7;IuoJPY?S-?Bp$g)MWW$#j{!r@sVD^XWV#$ zSyrkA#i@)jYw@(uwN&_iV08OK~b)wc2Z+Ci&>f{Gc7MJ zW}6m&{?xT5yP@a}*9@P=xShwK5><5%9SrNslm(5LcIx>Mz97e;_B*T=+gVRF50MQr z^6%Ne?XXbNoI-sV)WmURhHkxSz6;qHD034HA-9!{gyeiJ`GOFP0GsDju^=`Tk!PD^ z8FhxDD5Qozq;Kv~nyRFm>$KSSX}r6|>~+!7=S4Y9(wkNOh&Hgc8LGNLCawhQ&U&AL zp8r_mRyyQCZ*BmxQkG(kEYf*@Qq-rpUu&ALS|!{_@+Qf1KWE3-DzTj;QkCourYW0~ z@=F}fSyH-@&82#^ywm>cX);IP$Cx~okOVLrl8{84(VLA{X&dwSjIQYKN0)IoYN}an zp^NJw{MACjZOXj6Qd>h>>RVR_>Hadm_RqUts{47SBQ-6hHl#E`W-igm)MhFi&y!HZ z`Xj8qyowYy_-*;JM?EeR=Wx2oTL}yDHnyct!8hT`43oHu;k*Jw?oo!7DK$!RRE{cB zw+YH1OPW}LI47=4SEo%#ZZYUNQsqd$s6?I04CI~)&GqCeNYvkL5Yp6@kd#kqS@e(z z3SMxWPVHgS`6?)Lqj8c*tRmCzar}Rx-UpzKI@|jWl(w|ik{O0D&=m5U3^3uZiK)iw zt(nO{hXBRISR>oDlG2*i?sh?RW3TU;875%}|0KqWUAJv$T2WiMAiC~-_U?*HJ8;fJ(!9f`e(6D@YiSx<(Pf5+?jE2!PZ zBGhFGM%-0}Pz+6L>LvOadLvVY2g&eO`dN_4xcpN}UIlK3Vzrh!d>*PyV1Vl#@0ypA zq_>Q25(a3V_QxBfevZzqpHkmI%4a#Ati7j{nJDO(PL2+hi5rlxLH6Z3T*Y$fxI8L1 zVoQBuKapo%Fdybu<@(5LVvymJFcER5Zcfr8XQz(`_^c|t0FP=zDR#pqnPs@cSY4v8 z++!6c3K#h^j`m8}LkUf!MkE#rwOHqW#xsFo*q|&WnJcaImr5=Ay}W{uSL1A@Grp(b)Jx=mXKQKkP>7!pq+#}^ zEA+!0)z&>!pmA=4Bw^hrk!U4%sFGuEY}AVFIM>pAN!d+NRv((`X3F>r<~D`0lk7+# zIm@tj1I9!S_mDG=#Qp4%U=Oj9Y%_S0a$HMtw2<^lLW z3A$5>7ZNLmY+=Z;dRmHB6!ap@j#g3eNaK{)rmdCNRk|^ulurt4iO@E!)M}`lM1osi z@0K>WYPWM|Wzc_;B5bNJOL&x1kIO>|xq&)%km_S5+OANUjYYQz#htqsHGiJ{R|8M! zW+Z;Lh4UKtA+Ieq@&}`C6Cpky$`5PHECS1bcd0~}D zar&oA1OZmJqg{FxGO7Wz&B=8_Mn56+a+r06d-a?syKBvOQs{B-HOH+)hS+FH)(M_| zP`_{LlRNi>kZL`dFYwROQ~L?7D-M-h479Q!TFrc&EO<*^r&cRgC#dUdll(e*$1%@O zxV2aY*G0i2Fw6+=pONBX1!##$sgzs*G4YgIHQN^6EyQ)%)-@8-A({N9@HDcW;Zibe z%>2m=Lq)4m>84;~NHPgm?d`ti*^7I5rQum3ytgaS{cU!Dy7(1gnG03A|^$Cfa?k;C<6c}A6 z9Z@9iln^f>H03i}T6Odir{`ve4dY6y7PXC*Qx+MNv@BB(-qwUt0DPHCJu8hAtkl|S z0fY}bML92{g;z=Pw9PxuOhUKLE<&u1o|V_(Z%Eec2DzSbZrk1k?o}9WX(&4`9!fA) zkL1Uu{UbImJ`$8wtB5?D&zUg^hqNfx>4hY9m79N| zbaR$7csnc0*lr9~du?5IZ_u6T@8HIHYPy-1Cux^jCRMFsFX>MbJekCZ*Z3sAii2wP zCQ|3YdoX+)@EN%EVMgqnmMa?CWEg=t!KNr}Q2xYk--CJ-Ze*%Kfy!Cj~803D<~_p3-TD^v(;>CHneyOj)^xE@ysr#7 zw+pAlTC+IlV6!lcvZ{PWm_M_c0ZZ=;+h89qiFQu)v=v5+Ijxl7r-@$7TklEeDHcl2 zqzmkXslHYuWarV$9KmFrM(Q>agTeqZ!v9;s)<6lkOgX?as!WcPfSIK(5xl(LMCMYp z^pHCB@juI6$oVF{4^AJKUhm6l%JX*;9OR{u-G<$x?F=_gjoi{T%xpNmyMUV!xT&Wi zBE-5Hrh3gfpx7^wP@G8*zI1gb zdjsQl<`MDo>4xxMum-#SylOp7570U-*TR?88x&lyQL823*C=1#Fu5*{y<3C=D9EGuj!)<&3UEt=`F=knP_Qa;<*Ix#GX ziJx0UJ(j7SWL8$HhSlfuvD%>H%?JeriFQj<0cSgk`^AY?$>+|{aimKU#lzGH)blI} z;(PRAkDXCGnmvyb@vW7uIwGtF#lDt#BU)EFT^A9j*g-@(2cKDbJ!zEXdMS#;Cffj69iAjbeOWXv)td_<(!^TL2a3(X^ET8(u&K^qjnI zn{2FC+KGrwUM0J&iuhDnZ6n|Wl})-+c{r&mn!^Q&%XH@UWmOLs@l=RY5=CsV=l6we zc9|P#Bw67M6cOSbR*RO1!-{N1T7|h!Vc2K^o*`o#RIDIaz9dAoY6I-3&L~}E$VjB8 zaWtT0YYc233s-Q4L#K+1LMehuL?~tyMNIGIC+SLYKag2Vg@grT1ESKdH4ji!6N%?Z zH&WPLOdW}@#U5oADPgLB@KF;yF{CqFDOX$WbkJGAtf5VIlDWYWk`J1iaBuV8D|1CR z25TY?mea{fmQK#5 zY7~;0W87A4Ce}qxBi$t;yGfeT`3P}MI_&7(Xn~gXs~DIsS+z0XOuIM533*PS3eiXx zDMfb)oXV^aY#wO>udv3>0(Z^;%VT0CvnK>j#%xaKsb%>!8iq*}+9;L4nf#4hhJhI3 zG&0I=lry&0i~dYu18Hr;IDq8-Sp`FzjvnrY%%7nqgmhVcT+Xs8BlqA_yQtd)iQpO0 zPaWzap6$jl9WVBKh+0@$n|!5U%?%1^;oAj8UK>%iS> z*&`#x2|u0T&v-JciPs@Ta?5@gXn+I7`b-c4ljQ818N_Au2|{6}RT9LtAkY@nlF0jj zq6U#fK=#38E26AE9EEi%$+#mu!L52q716E4!Q_IjRdnjUE$Ae!;DOK$3|lLSz(Xex z5U2&SW#a^^R?HGth{LbTtYuVL8dhb%!lYTWs)(?tmNW4@7E@*T2^AB5Kp6dD=?7a< zId>1i52p`FMM3JOWS!wvDkzwuQj$YCPCN@wGm0SnXIkR)%o;X^(>f_jB6-~!1@`?h zU4}`mtmZ@q$qYuOMs%P7PTzn{YLj!B}M;8cxM0?W!CR{D-Ug zW2{74{DAlZOktLP*dG!1ipJ?S)n>5bJ)u6DVdQr`y;YQ>S@Gt5fTMiX`L{llQIRi3x#R5@#NfDUG$h9mI?kL ztE!xfTRBE>gWH_cnIG`#ZsfHxnIRw&Dsxp!b&_g2PgYI)vuz5D1UePD8n-4ARyH@Y z0a3Iy%THN)F!AZVWH!HFjxlQacpCVmjvDRwDwxcxah8vh=Xj22H5bD3n+5QaKZwz3g9CnOZD|RZGeJmwqBIKXJagl%* z6Sx&y$D$4r2Qs7%!UHQ?G6f+|MvdT7c6AZBTTP-Dt)g41W0W=GCC;eJVAL79Z2Mjn zwiZ)4nZ{fQLvU(73lr2Uq3TT>;ZNf$VXpSa_re&f$=n(Zk|UxnQzt;oS&$H{v0<&C zT7mH_lWFZl_gHhv`~LP+&h=k?d&c1Q_7=Hb4l7pIe>4gsl=Z}u5LY3MEM2Fp;V>kc zOS%9s&&rIVVNN#kjD+!P1tAm3loT-0=}`5E--xVmA>bG@)F)!2eicMNvaG7nK%r`$ zlwqjbbsnuFRzQs-KyGH^L3fhP@Y5MaDa2E%HBy#dy1^a9RNzQS|5;fcFc1kbSYCMzj z^J^%KiKuPkn2NjZDn1g;nR(*-^L0zsEVZagPvrh|@&=(gqf=c$P+O;7H4lY8R3ld4 zXRX9wlLZy=SQ;qgVV7C~<|mA=3`Bo)Gz?o-0xmkZyv)`NWZf?FAwC1ssX_oV7CgEv zV$=?^7oM$A+yyW@aIzu_8Hx^9ux!CbswmYBysk$UcroI~VFl&Kvsm3KIZkwu`~-Mu z5a7kKAaw~qAi!~xGSxEAXNquXNG?CEQW>(5TD4l~G823@dJzEhIa`00+WwO-Ha_tE zDXt{KY$G5J)!|BmDneHx2&R1~M26tURhe@}To2a~%ri7##zL%wt;2@kq|BrlmH`TD;5snM7BQWnYLy_`p8Pd9aPA!%JcZM@&uz*wLvhDE4el+ zOv2eZ3zF1uiblruG?87-uR$g2XqyVd1kn48s8y;MT;Hk%gzIh;X+LeYa^6BJahE`= z5EGwL8ofMaltqA15>VKS@k=9qlu_WRlPTo5UuH$A6l(=F-W3^;lQ=KaQpVt+A78y+ z_s_Gs@6H}#r(6aN(Iu3@g10?8?$5RkWJ3i8+MlI`{=*0qp`x;oci2iuh{{GmMJ1#- zm{v1&u%R$+<=GI;LGFsIS|`Y=#Gf;9ovKwQWn0$?Qq-TR3qPO=dRV^-iP;`b4Z(%A zC}vgBaFu2;NbS_Ah)ksrWzszO5#TI>kcX0Ou*ozSQyAi`vZ7i6_(k<9c}i%MW*JpV zRNzx30%0BTM}p(h29)eJCS9u9ZA^ zI_w6Oz9m>kSyy8~`xT*Rjlf6xC>A&R5tn!pnVy6Ni8_O+bEs5^98q9&8Q|5~R+On$ z83hcQ2tF95tBffD)4{?+HcL-3C_`+b85+?+)Ta1VVx|GWl^%7rZmnHai{T=_hCr5b znamXHu}n;@S|MeTT_O|}T>%w1B6^tTvw!D{WC~VfyoZ`N&O+;>Akyobp)sID2o;bPxL%;weq8^Ze*ipZ45!XQ=eAXGfkPf6>3S z{>bQo+v_gT#-`zcf9rPS#(em$Vh>|W@eTRK%IM}lCZa`Dm1V0#fAhTb@Wv}1VRdUa zS_N)4QOx3+$^;NkhO z^_BZ)$wXlP8uFeaWm^olGgVxOHTJdbI*mV+*UL7tDSL>#YUJ*F@k(e4ijR=S+r@@D zVIy;(U?@#e*j}Bio@#c}ME*oMR*CiwHei}I~B`(*tFr4yZRu8o|^tDj;@r&6JZ_I8g4h3#+W?Nas^Lz>NKzTu?i8+jl0 z8SzHw-5u}Czo+I!QjTp91Hr#HI`c6aJYk)qcNTcA{U}M| zJ;mglvgmqH>UcA%HQarxrIL>SfUI^63iVzPc`QY%=sT;pz52thm+g=UqI8Al`2|HA z507;cuk~E%Eco@S9n?p9HpSk`494|2?qN`*6IJwaqhkxXzwUDQOX&_5dDXJRk;G=A zXS%cmF!%{AGMXyG(2#yK-aw zi8uARE@H+ImFf*kwkiAnysKTn>ZD1R^lH2VzgoMEY!q9j$`&?$yu0mk&$zTe)M|uq zZg{*nRaZ}X&W0_;T3ejk7TC%3Fkim%L63Zc@aOOBwuYJvJBUG{d2b>9LNn|BE1S1( zj5WWeJRX`KPoZLQ8Nzgp*vAVg+i>v?{ISN(A?BCTxo~OiHO+6t?d;|sQ%9et9G9Ct zcaL9bXQoe{N!d?%=8cZ63omncqkX($^95ljI>=%3|2ZKhN2yV!`Gw1m>#6l!H7y&F z@9>uYQ})A3y?LaH$$k4qX0S5g2~o>|Zt=Vx?>IN3%qJttTht=iMPCU;PTc<*@}AL} z2J0sZiND)B@QO#~{-`*1*%$eNM$JeL(Xu2yug_=u`7wr?ZDo80^3`YGzv6Ciy-kTV zX9HKu4tbbKVp%LU;;s2qJ$I>V?`i(+$(nnZk^9PCM;}r1r0?ky1uctM4K+Dga{nGQ zSCArVN}nd&V;nX&{4u{k-z?3#N1wuGO5`QTXMF)PRyHlV8crQ{|M7MAOPg-`)b*)o z(M)^n=;~VomD}%|srZ$lXxTYNiwiwXw1Jr~S+;0V!Xu33b)MVPR45#`?5VZ(t!^T$XyZ752W;6 z$$D7|-!(57N`^FcgVEnMG8|I&j1(wvqZO5os8=hFc9(0!=^rRtE8wn0=`eb=@@O}L z2X>_c^J-%Z+!n=3;qHp~DaUv=pz29RgTgg2Bznl2STw3Z%pI>$FSrf)_jOD#D*rF5{QjS%csxVLe0u zUsZzNgEYuh!bRX#7bzOa6V|XDxJxWxAI5>A63IsfGLn8kP6p&5NAR@DT2Ai(fLECU0vCr^S!?4VpC#H?$1^8?_)^5FYO z0O52ZiNjVQTuSJSXfqqvLHcqjrb4)5fK%s05Hk#(=vsvYP@aMGV8>kQOxDfPgjIse z5jBD%vmDR1uH|4s=~1&-#*mg&tzk)6Sdfa7YT~0s;5!^-qS*SvPnBl@~xr9w{Yn0WrPL8Q@SaKIKWa_ zfqL){kqZn*9vhbdL1ZHQX4uKyFEchd+u%}#$3QjD>RkBUIF-R+5yWHZ^&!RTA#tvg zu?QtXq$^-X093aQ6|yRRhL%X~M&?+Dyn+)=c6JR$MVb(-Q`gd~Q9We_Uow@&`&Ef! zVgDSHguO(jE;FA4QW2z6bFjPa5>2(z3WoCG|LFMNr~cnx+rDcbm439;b_3NHPjP*m z)ZWOnH?Hopnwz&H#iZdLW-j~MEs39O(k+f<|@FT8Jguhzfi9S@v1)AuymkO%&-Z0n>p7&%Yj*G8i?iK8UpP0!MZm-+8m!Irv68unqBoy2U;{*EJqr=&$S>zj^vi6qxR~ZT`%A zpA@9Es+PMYIzqL4%+5B4|N-bTH?LK6S8}>Ou3V$h8`+K8>M5noNApz zLHU)#Cwm?}Db|1k>-KW0G>Cbh5G->jq11c-KpX$&u}+K(Jyb2?dnBJ{i3OO5G$7?! zt~0&tz;R^*Nb^v9oOPF5eB3Rk;=bGGp&~Ts`meaVlZCNfW{d=TOay$~<2QFYaz`!o z0R-i$QN7ktf9HfW{t|Q!(_f@pO51XoSiXLc2CduG$KLV|?Zo2aeNWel(XDb31bZ~> z4eVRq@2qng4SGXhn`&}v@PG?*6}LFrs_HK6%G;lEh`m*<1JnjaU+0tZJMUfzi9s7s zSHaS_IM^D=@q|*!uHYp}vmo1$OOH_JZN_4o(E$Z&Szz{r>$Jpz#DZe{@iV4;RLVWx z@Mzn@Pw)Eb)<1u5B~z(wjaSj0y8~U-o+T&GBKf2rR_@g`A+w?-^ z)lD@P&Rk=W#^b(+r!SKFbdNdHVOVzbxAs$igMVIcq1R$FL8mdzpKUAZqP7gwdmkp= zW7>(=LL9XzLNKsP?cgobE&uWj^i|&^vV+mt|~=T*zL|i{<5A0*h%K4fvPTD=$yP zefs%oA9btlbw=)B7Vm=u=6n0zBgX?DX`lK(?Pc6NiZ9E@>}b9vQHJUnHl|e3FAC9edPfCkLtblSny*4Vyg{0v<`{sD z=_wB#3{ZPY#T#&iBT+Z9ridP_Lhy3BIdK}|fODYKas(L=r;cirD>6PVrhhSexR$Gx zW5ZSGCASqua!V0Y2dXqI?st&&5TqU_i10{n!4GI!8Y$hhf|0qnW3WX&N0vkLpTq5> zI~O9=$mO4O0k-c&H?zY4PF`~rffc1WHnL}9iP&3j4eN-cWG-P>n;9&ue)m2Sexvb4 z(!wfQVs$`|TqKuY2&I47)?QMmrmf?U;`RGl=oNH*<2UA`?k%ei|Ht>8LT%zISYZqq zAiXXn_ruzS56YQ}HIE|RcegW;MUuqHj|}kZm_pL4;l@i=qdKm@qeWqZy(1DYz$08x ze9K0A*=+$`;su7Cp*o19!oaL2l#iD{3NBB1n~>5B>Fr^u+W@r=EI&kgx{VIXralgc zEo6j+*9$|Sjqakef->wOY6LJY5M-N9D1}NG)ZpXM^^A=(9cF#bX?B1+gxIL0loVRF z%SmYnX->GSWO6HGz3g}cvGRsOuD(1fmB!rEDb6F?n5$4gGJc;hE?*&}Xh7_60vmR1 z4}SyO6qWA+bA!3aI{6?c4sVyIYgr6r{w{ESr3lpPXktp~HIWWZaj88U1LA-{R-vfU z$V`=!uggB}GSnA9%Q%E1WVJkDpQ<2*6VkIA$Yw~M8TP~4+Wz_2XE`qfzrT_@0pu4w zf@>>Aw{>|qM*9;e8W8Blp@UrkjdStB1n+pG&FYWp|R>2P@WnbfaTJ1INB1feD zG#H^%11F`_p+Q5MEf?;U0f+hzrn!Fmbzi?@+h4{^MC$&rNpwZK7%E2g#Y0E!&eXgA z6)y|?_HyK4%SX43D|5F+j|N`)r#)X8vz&a)z9{^*#hD8KHsYAEEuY>gIREF7S0k4n z`F-WGbLYKPkMWDbOO-F-fA8367;}4;M(&j6Kp28oUKNC~d;6d<9(nazq{e*e3!=iZ zE%yV1{nhx=iQ6~c$>ZZtp>rGBcu(_)VJ7c-WUS=Hl=8VDwD|0g3dRK2T#0X2r{hcE z-sznu3|H$1KPqrt{96m~2 zLg>H?^$Q?70u4!bV65r2>vtUc(){FiSIjCKr5`PEoxih{I1hs2rRUzNe)zn*H0ahR zu5x&pUc<;x|Z&&1AupZ-L!0RzCmSYGmNC0}B0m20$7AD8=OS$=$r+`|6x z5&3f4@3oF5j%^@cq+h89iN1dJr0M1l6QvYjOa|`(SPR?K+H3jWu6klYTPELLkk>WN zwW(uxE^+S8TJ4d3G-kL^m>LCjzR#0G)*fV+xqNn`T+C~sW3dEfC!lZTTe!#Vru@LzwmVYVW39)&A7DvovlQPEpPa4)cW;qG_r_4EuNg-=Xeu@sL|IRh=&!SdJ0v{qk!>Kyaxb0cDt0qvwE z6TVwCL)G_F1>W<9ZSQF#FYMQ(3VUF^p1GU#99{`Y#Zrio39KL6VpR>#+$ZU zE@wV<_U4xp`KXVIkriZZ;WIXvvVRI8Pm4mH*eau%!kMDZa zahZJ-Tjn!8U+Z(R<-0La46zI5Pv`~nTeL5@Jbfp5a``;y+r{U({5M|O{=pGPjv<|@n?867 zDOa2zf9+u`01y|El}=MfrfUU`yDY552zo6!!Zj4COL!B=rl;!#Vhuxp8k?ZeCmcoJ zASo;xAc=6TlCgo-jiUS*)VZ@loWvnziuXvX1dOI2OsCZIQengzM&*T>TS1!5D(!|0 z;h0CX)0uJ?2zNPHy>Qu#HN)V_KsB({#6b12t+GS4mheZj}&F%tR`FOx+**Qk@)`q4wPsk<<=I$81FSI6dU1Xf_wbe{w?UN29jLVNoBmG%}Z1 zYL(n+NKiXu@~XiaVxrJ`CPLJ6B)5qc1ddE^(+7xB*$n%D5+lX%I-om%%$>|+Nc`pg#vJjjbG$5%mGdM+ta8oY8@g0(S1i*km>l5#d zmP@mC!Lt#v@Dg$XwN^-mRRj+0N8cy|2Jk+HT!nmgRhX+6P4~*QjWK~Rbhhcs+aCBj zeA9RBxL)|NT-|6;u9cs!d-S}sr+R6!w{om@`d!J_HdNNwyu(JNf`C;tU9>URuz%PB z+9jf-=&HE}1Fj%G&K*q7#-9Mzygr!xDLMT==~T+Dr{@davoz%YjQpJZyws>!G6t&? zoR-`53-?RDru#oJ-*I5{War0!KkF(UD5RE8!~ti`X|EOhXjk*i)i5U- zdeq@r8XA7*KIP4VzkV|4IC7+yJix>(PZ-P#f+o1Y;SaVQxsc!V+*#*fYWTJbpZ?r! zw?KZ`x$!c01*zvcZuk74cFtSx?I2FR(@|d@NNJxBJ_yQ559}oI&W;XzWHY;LBb#H! z#oPSXn5i1ei&seBmMeWe?%=|$Wji(EdOrW*!AGBaZg3lbHn8qr+Ec@yOt^2)!wiL9 zK~}mO&Y$dDexI=nGWI*hDz{ycZ!zGVd&W)6Tv6q}10DO~8%%P?pU5QnTEelnGBvEP zwdi9Dz17dv6i>`cuED8-d;6b*)vmV$7&OTvmfAx@4+meL9oKwWhu;~zuhX!+S4#s( z1_hY~c`v==6Z3f6>svc_f1S9M%P;HZinrarJk;Fqbxz@5zq6+*5$c+Y&vVxd??{7O zpDp}y{hMTShj2;S(nyvr1vK2kSrRy&fAlUn-iZT}o3lGEI<}|%0Yeo;+39Mf?D&?x zGSpX$}08F7Gg0-&-(&>y(ZyNl#Dwtr&21TgWw1E;9*x=*)Z z%O9TPmdj)f`k0lkl0Rx~YCq7LrpwXe;-cO9I4AfzmYxbt&5+8qf&;V4Qo&zGyMk9j zB~Yab@cTjeEp zPiL|)(g41JJ>VPQ!J?);4^yL-W*Nzs9oHEDBIM&Ty%X`p(4UyC@~s2;!CT4_HcO&T zpQ?W+z14)B%|9`2fqdans3rjOHs%V6`^j$mJ6F0J`n$wIuYE#EMNW~oRFgK(>=`g= z(GxPd_pSN6n*(6ciL*)VL}a9k_x6&-+QoSZkS2X4_1D>M_7`sjV6(X&O&m@Q+vH1O z%|hP+xz%<1A&qT$*Hgx?A4!*q>eDY_7cDSx7sQ`x&@{-m1eeoBEjhvd%zoYb!LRyr ze)H(RY&sxUHY(Sc-*ue2Y)NWAngl2e1feAFezN-N8+eW2pq6fa=jxyUko*9smQ9b^w(Cws|=U}W#e9A7t+%b3GGwJS1jxl@86}N1aOV2EKV_SmITb*Qc z04-R04qwg~lp%n0v2fY`(4Vo%azh^eS%xTWT(hO@#bhp>f121Xgk5Dr@1nz{X za5=FsxTUYA=tH0;lYeq|7F?g|M}sKr0H4H}T=~qi#N+S2-nx*uGtz1*?tTnNES~4U z!2Nny!dT9Rn=Kc@4Vs;@&DXjtZ(=*#uKd`Tqv(t2KYv>Iy^*6Yqbi|dxPj`Hfp*@S z7Lgy7)5?A)K6+w!u4FU7)#n0V3R`jDcEAoq03kkzzweDyJCDeL&=S%kfWJkT?eGbK z@`5XzPsk}jsR%IMmhDXp2ml^C$! zFT);uUkeF{IAI(93j{j+N%>P}GCUdiQurYPVLL%5hnE7>UXcy+hWjlcNys(iCpe30prVV>RQ4eVM&>SH1iW&P- ztO9RBN4Ou502$yR0+n*+%P%{RmY^g)3vc-$s^Oesa(L3Y06cxDx`#6C9U}i_-sNFty;xd~o=z#Ci zgnFGHd@jJ7V14$!0O1a@262u7XQT@X zN$&$E2ogL+v>wex&HJ1(Hzy17_bCaSrOOo)7gDV5uLaiI1H)V?1g z1yWT}5-@LbOkBOdHIQ1g3PxrQ93Itznq^}BNWrD?{CMzM~gw zVkoP4(Afqh7X&~ly9NX;N*0n#e7KObYz@FFbylF!BZf8zSvve6GnMcxAcYIZ3X?%XvBdt2q&sebfw1E?JSMwSMby78J8 z7+!OW{{rlXqkCZB|Dvi24Yt4kE;wer4ceMQ$i(PqpO1GK|Ndcz@zX_U*f2QK5C1_L zm)`t2P|rJN<1ox}x17{J;e+wDfZ4V2;OM{kLY9T#mda+bwJlyV;4|g#?%&mo26vI@ z3*%U;eC@WuRvE_F_ZrAM?`RVq_rTvJC=oIPTUu7SMh>vO-Y#%+yhx@7`beK=R`NF2 z@11L1diqFRF-u;%ub&;*eb|!HzGwkg$3U4}V}VtV&oMXayKgSqLC!r!&b0^HL*0do zK|sbW1`SZA{2veI$7>4P<$J+h+x`WNun+gKi=ls7xJBih*=JkmE(>ls{Ij}zeINfi zdDZt$>)<1iv&F{2MWV*oy#RA4=)kz~yZ7UoDYRto8(C_2=Qw!pnQarH#YhubChdW_ z;Af2oWc1OQ*U^%rIY!R7zF}b%g3Um?Zmg%GO-uz*T(r2qM!UGbto3Lg*-8HKa*|9I z!f=dL-_}FGV7u@RjIxivyIcp(-=G18+MhnlID43f$;H0E0%88bguF1Y^Vc?*bWgGH z>PNBW0rwYAe8BuR2Wn=1cg&rc=jOWRxsNRuw(RTfzdU%hjjGb12*qCcLM=F`EhRH_$~2;Dp)G%iw17h7mopVy`U0J6uz;6ta{9V zF9fQ|hWssr=awa`Lx@NP-Z|qX<#_+W7tG_fE8BeWWZYC7bmt6gzJ@|jnhDA*Jq*@f zB5T0p;^KvRd@;#)2kGv!H7s+!rany~BzwJsZ1@@(0(+m4Qb+p8(kM7M(iW$WoZY}) z*nN~Ge=~f10G%VDwfoBCG70_#PHo$?Wm|zT=W`D1A#I^&pxmGg_Ff2Xg*ViM+jrDx z+U2j?$!)=!hZXh)@=D4$_ortO4*9|0JN z_8rEM3(k&a?eT9-UDEpgu&e)kA2edx&^5P7nk&$y>%q_q{ZGiP0RDUAkZsxXEHM9i zAcj|P;T3r?_7Z?wKmIClCz)7I+`=t?^gLHQ(DE4fb;|=^CI7$uP0_dgyZXUXS3ceh zzK_9%>F`*CFjUqD7i6$mXy>m!Unni*9<_m^;+hev!BoOpJiQn$Cm=xf(iWwxs+4=w z_*n{kASu$wG@#QC1O9sgaLB0_9)ewt4Y2Yz=P&gLy9xwjf6EKz-z%@kTdL_@@#`T9 zx;C~v`w|-DAcZPc++KK%Y;GOCMuLsstJf@^1u6%0ZEESMf%wLHaAXynZtX7ku4{h^ zrap(4UP^mJ91y@Xr|sPPNssXIVRGVJG{h``m1{`7S?^4=o~i>cmN&L>k8&?L+CD}; zxFDCoUZ+%C21i!f#`B(-W*6A^3yXh2`o?n65*j%x`xbq+g9~%59TsH#-Yp`3MgG+c z-T4&`A1dh@cL-VjC42vsLH^h0ZVsj750%BpbaxOsa`0!{z_=%Fmp0a3JV7ow;KuPb z@eb;3Yhd)jbPQ(U9CVYnOXJ&rajKi`{{*%K$RmaVVqeUhA zfjXKF_W zT%GLQFrwi+cexn83i)BS^c6;XaXSt3a1`@7c_<#eLuqgT6R&3+pndqzCES|j@ASfY zOd?&@lHeh zqPeP-_3NQ(4Oti7Dv$J%I|a^L%Tfoo^TUJA#PwxeYVrHd1I?Ufsz5HZmov2 zzYS7I{h*^$tQmgzK}bDU0dptIpMG1mj$Ikf{x&OKHR4wZDtH?5u_3DzuEz8bo^_Y7 zQu&%Fesy+r$zAXmjurS&0|_O#bzYVLe;Y99WJrUxN-D^EpF`%5=)q;gJ<9;(=OBOp z&{~me^T+F$8%TAA0huXI6No-Zcu0oyvm;%cYCW!wKAHJsmimxhB*`o-zJ^dmhZL(L zpYTg$%qeUXm_m#}3gZy-NRkeR>T$6$8bl`US#cd&Jvj<-FO|54xDDZou9boeXJcQh zM|0)iCGfDeZS&->V%Y5-49=Z11pT9|bf87A}?yoHi=)lvJ3w8It2h=;m zJ}5kNqNXZMY6I#W#C2N!w)YFv{OTwG+u&XHpGL;az*ZYOu@~@j@!twgV5=R9bhP}V zq51B}S1p5%$Ys}8X|!6ZC4B?LJMRD4H+ur8cZa?su#G==-FYz%)VsmFSV~F5@gigB zp^r{HR&i+rsBVX1ODC#>uSC9a4sM4vK49;XM;4tQc!h8}N)-8x`vZH&ClA55i%fPB z*SX#wG(A6vPG6H>qCR+tTa?Fy?eKXm;ibTqNN*k#y1H7i?ZmD6oiPS@cMowX?t^{K z_H$QHH5ta7hXD0vZ+53|G_PLg28m7YUxs*61^$TPT3`&>7Yq+Z#_-717i#41p?3}U zpQ_mK!_(azM;lsF9Y+QGwaCjI#SQjJ`ReHd^2kEffyo$v%1;>}%2TyBb$9x0&S&Hr zyFE6zxA5w1%|N^3cH-}S)l#fIk$Ue=;za6faf#vU6E%!sNvx?TyvhvjZTS+Vad&{m zJ9NF}C@}A&)5K0gJ$z8CYzCrf|6cev!@-u%_CoQZ6a5Q@=1CylJz-$eJiCSzyup)- z|JIHlZ28AtTg%tpt+zGDqCl!U(f`VccMqq4Ybd1ZervxQ0&aHaLFd=IU|Ij(tG8W@ zA^4~hRf{dKlUfvZ8ie+Wk4~h`7yg-ZdGEa?HHTh>H+*FHj&}z}S!ns7URXSNpmZds z_65~<$8F57T#v+vb|$@Z_rd`8i^+PTeRQZ6s`UXTt-tkP`c9Vac$ty6KO^sY)AXpC1c4j zg5RFGz^l&ms~w@eS5w=Z@3fuFW~_$ z7q;+C50x@YB_LA-;|w*Iz@+gQ*N$4M=mBnLxiDvd-%l)|8WF03Y(n5xAf6HOrK-w& zS>i}1VjybnguSMD^KzOD$$GZ?b6lrE436%M0 z)$=zk96k2Ek<;iBm|J9BdeX}D!?R>2W>uI>(Vqolml{wxnSPj%L=~h1GN9vzw`8g_ z)ouPXF$|tYa0?%xm&H{E7~jHvkYh7u9YE@MH5rDHFhi$Wn;!DAbQz#S@~hxY$fJhY z34T6~*5Rq&0{6Pjo2)a9JAgzCG4s0Cgu z0d;IMttzM-B&*zz)90t$6p8s&DhULWq)N!(`|vdkd==F?oD^VqB}mB7w5nFgSuA`T z!YZ4rDiR*R7vr;{Ruu~woP;Wi^{Z$&dzj7nY%6?Gu?^{Xl}W9lp~O(szn0AMyD)!- zjv+Z{nGCDL&wH&3=qCIY>k0|f8-5FX#7aJ^3+4#82kj6vrz%solE*nlQe_1N_Vb#> zu>X@`)py4bX!>t5l3lz*&STa=9Vt^S>8T`JE2&FyoEUn5$*h)5?SF;l7#H-clf0FrMq-s#EX{TRfe3HX$Zj1YYn4QaoCwMe z0SzSd$S18*!hUV026V!_`m7DnI1^WpAQdYThP&A=MVe_H?co@6Kh;C9V4pSG);#4b z@NQNq?kWoVU5d>uy-`Nib$WIb)Kq^#Dr2rqnua9WR#%TGQRC8x$lr{)?;(ftxYjVI zKF|6^?e#tXJp9X?0{Z)=`~UA@RisNlxlX}BzxV9{)3}_WQ)PixMU_Fr4Jb=N*#ZZa zzJViy@Tc#x;7Ljq)4_k?dWQptl@(mZR(Sefm?4!4$ieB?zCY;nMJvE3!9@TEJb=ET zd*X+wdH>bfKY#n2YIX1kK1j8{F{TqJ@|{tBK;OEOS~*(RtjEHGn!Q~)a)L8EwuLa>Jd?w zMUT3F|5gh14ZtJWft3=V1%UrRY(ale>bq;c*)Xd1(c}2*@ zrnqodJ*#>;56i44Uyp1e0<4e7s$#4h@sV3Jf=91bHWersmEDi`0-ouXwu$LzpD^?T z9It(~*(Ncv(I$7AV3Ans z6Q%-KyXfA?^ObZ8` z*P1Hhe;ai(Y?fEYWZxRqwUVVm7PFa@JX+&%e~4}4U(~LmrYrGGVBjcxPKnY%X5m4j zC!f>Z$iWg;#ttf3d02{M0vPLlol(2|Q+mNg)RX20vagog(ZKL^z$RFs^zkai$*5_c zeS{A&+8c2tpY>Cj!a%8p&MKmCYsUX2?tR?ixX!cT*#$`m%hJv;tPTiCdximK5lCKw z)3uwrJBI-Vgd~Hpvv!?EtT)a|QQ}t$NpYLJ-5CzcfI#vhVq;s0y?E{5#Ey!B^U6uD zMy%HkPVFWls_UkCzf>|wl{UTJ3L{5$66d>T!D+tl`vX4tx+J_T%kF)i^E~(SEQN1+&5IO%_`}!@US4HBND|xXyX9-$117@fk%8q9OLnR$Iop{TMBh zq-E=-X+9*{N^zCKd8kv>^O0PeV^jIYbTa*YZL{XPKY2Bl6lh-^3v@SF@xWD5Zyf8M z?vDmdvF!5l6_`pWpT|^Q;o)S z_mXNV%@1+&6SAb*fsz*N)=gtL=YOytTts*YI(>SxPLfM3uJ{yFzLf_Sw3s|oFG`mP z@mPie`teFFEfT3LtxubpcTCOQ(vb7hmla>aM11b(;~mNc^@?oR)qSlFA29Pc!Vpg; ze9@F?aO2LuC&{p5-b#d0hpz8gugg(zfz1kK^}0MCxT+nujrK6v=G3TX?X=G8sh8?4 zJjZ#9?cwd(Xrd@IUk;5k>*eG>igL&h@6{4d))YHq(xjfGS;(w3Oiwr?8dHxN>tua> zMM}6a>d0ml&I+ge)gC5qRbZ}qnm3)b*uIY zZ{E$hpX0`&AyZrIG&hl{_KF7QsIB(e<<7c?SJkXCz#Xj)>7gbKjpq|s~Upy;nXGi9Tl;Uv6 zKei~om1uh1EYs3cgpd<7+1sFA{;by2sV&onux)$qHiVB1$*1kWto*;^sIOT{rmZn` zj>HDdxU*ArGHq^k;W7IY>W5qDMhvQ6RtES{Lq2QImxDuyVxlwDT@f-vDP_H59@CCV zVKECG;$e~v{O2t%{&>qLPpkqe^x({X5fN<9Lvc22oY0)!NIWSNV`4MuX$(e+21j;NiQEb7HcWSwN%ZllLI!#NBuj-$M6%7#>;RUt7~Yiw>8tF27ZUf!<+ zx`#MhoRD#9uZ3S2xc$ z@lHwNK~EcBZx}d4#D!v$v#&If-Bd}bg#3s@(*ouq6yM|sLP)>KTiz_|^S(<;f=4{n zlM6S?3$--L)lqpPDG>u*(erXl^u?bcziR(Kdi$2}Giyl9_8jE{DSi4Y_QPx%L)Uhm z?5URX@{{v-Iq8$?!lkI3Nxt)P{dDtz%ebQeJ*L15jvPJ51B*3Z8;96u?|!8`xMDO& z@qLMEc}0_UimCXJ;^Z#WD`U}tYTePM_DEMYn03x)>i2BZR+O`M@~eI0(R-}^38zPY zrbBIPlqs%K+B)rdH>?+GCJ#=)voGwizg@TIjgsYICJ?6(uE;v zfBAozUm{j`hv*w{PN#I7i*WwxkM`4=bkp~#{|Vjokt?)&)u7q)yUx3&<(>DQo{W{6uTHzoZ@ zgVQ_RP9OM0G$zU1`uq7;q}Yg7+Im^qQfqD&X-hWceTZDw&_3c(cwa788CLUa4Wqq1 ze?>;k?vz+$4=Abh_qxpB)Oyy>h4_$ms70#UqRI28tsMEI`q_XcQ$|Q4d1yqMVPhSo znn`*eAuZ&(IHMY4ynCOW9G*C#RwzQ#xTr`k8=Jb#P-js3(rMdBE7orMH90hn z0plrkH)OPofxNIzv2MM~FcZ=N%I%yUtrFc^x)n97pWLi> z4UlDXubMRatb6s&+yS#82mQ9!)%l3WX%r3GY3IVbRITP2Poj$o%(6|>8nBn0!^HCq zRUfL3w;Pd)Y^$?TGCx16-A&uWNsNx=)#UtsyDcg|+uq!+rR;OgXREd}YRymMeka_E z&SN~#xr}l{sBv$T_+ms341u@=j-#&fw zmu?NxMWvgozWxQ-nCLK;l~!2?L6Y^?D3r0~vXQC@-5IIhK=?`MBZ}%1-+bg(Fa7ZC zU);V5BPxXb5U;J$Cd{f_>ymma`8w;Zmx;nc@@_d!0%@Z~gr2UoN#?@S4GPBQ(?)n# zuCq#(I{T8@p!PGnXPk}LR(_n0+g3suA=Rc-)Ldd3HB4xgvZLlDD{t3p(`8)AOMC~f zU@P;gEsc zE=!qNvZKW}XlnD3c|9;A;=-``KvRd@vPcuqf}?|TQ%giL=mj}F7HkO}Z#quc`HLh^ zNVzU|+NNI2R3p2+E4Y|Bu8qkTIRr{P@dhbogCmxZd6}O#E-KMl-CJ&VMGgBTQxI-BEj0OkQn{NU!;vShe#7DsL{A zyZj-w+a9u6E4ws!Wj;=4{8rQ$#OrLZH7PQ&+Zi@qOEql3MK*!#R}Yi@t;)7eYslyl zi7{{ZE?4|~Oqm{s;>JAZIT(h^T>{i2{pRBR+k(NPL(z1{~-`u7yt6wr8 zl+&S!9+^?Q!BPz*_{_ufe)O?$pG`2N|OzVmNuC-x&nMz6};Eilya>mbudYd;*wiy|5w6b_igjB2S^>f8CijYhZPX#ovCabk? z*rJjglCnfBkH+a}vye8Do8p~s(r~i+4K=Pfa+kay!BU;TyxKyGLK)E+mbx6_GVjg# zx(^z~ZREjU{`!gJ?+zoqcK>WT0ma}b?r;l5ao7nzWsCfOp5uh${wOWKr|0;gIWHTsIRXmmf< zaig+N>Di|n zRZ8OcsQ#)GKK~R+nK9XS^Y@fgqTe_sH4rN&4!3>GQq5da_)s85_k~Bz%W6I_RU4PA ze;1in?cSx8|XdebR`Xk+U+{EMjeotnossR7HK6XmtL(h);Ss`x%Etk zO?#bPI39dKZ8U22B0Uxf>oKu2o*Oa-re|f{A8s?^LDFgKliyc1WCOSDmn@X_I>oKd zvDxc8{$}~`S}fS86em&WykD}0G_N$wBEo{NR4q27q_-T3Mf1r_JO6}IiN#LDU|tn2RDd|myl^KAP$BL!9kdvG z%@N%~^^+S>CObY!FXm90L1{126k%PhsFZmF23n9fl55^aHwMW@ncSJAUTDGT-b&4w zg%l>16P-;RwKQ)vm#6^Q8lu?626rOGlFyb5J(d)-%T}>P44?e6wWGn;7bxZ|f)(&4 z1vCSTbkz0wBwL2Uq6d$3cPRqot_c?8otyvkqkFd8`oWcZp2+(Ak7RGFBxGp>A19Ir zJyCU|WWFbWV8w7+S`a9=N@|BLkWz|gXyX0WqC-6afzO<`tFl(7EkGTVxxYxp6U-_P zhS;5(xDZlMV1Cl8R;r%!W>a#qf+$*4md{u8JH?Tbz%k-CJU+`K20X0ZSf?)p{7+?^ z%Al};`4%;3>-C{Q+6Pa59@nNZt~+J~ut8A_HX-Ak&d3KXs(44#8yNH=wZIii5PGc$ z^v`I{3T)Z&#g&osSLfGUC(!kghhtK`8iYY15YVPUi_)-B(F~=5pj?s(_-9br;r2$T zQtaihZ8A^smh*Nm(1IsyM}UDAe09vJ$jKA@F0~V9|> zESawm}aT!Pb%TY!1T5f~|#< z`m&6S+5hlMDIfNxd{FR!C6~7%B^PAo1@LH>JOw4Gq#>-!qgwng&(9v)^2y=V&j?9J(e{cE zK*&d;#4vZ4RT!#1aYz=d1wYM}*&5YNZ{>XGxM`I`4!2a2Je9S*k)vkQenqO%anv)~ znOQHUll7yz$4uE0RN{&(DN9*W$E^{9WgE0PP*RJ11qS0uYKgI!Gtq{ojib~^DDq7F_Wk>HFn$l1xT~jO4q)e2zLLzIAb9!Wyp- z#|)czot!fYLcOS@;cT@UIge&icw7zp{4jXQ@Fr(1k`w#PwwejrNg)?#7nkcn< zGw*-uzusFpxCX{Tu*~9`N4v>OTAYv)X!BT-9Uva`X;GDYL{Llv zL>uB==p|5haHKftO%_`yfAL~lOL_w(^d|g(B=D%~rq^4Z0F0-+NNrhf3rj>O%n@TcTC8z`GZ6QG|X6LO; zK#2N^^-k0BChz=H@!MPe;f~eMDC8=2(MkI@S?Nc$Y(QT?-XyHga2RHUVWb+hAWaS> zJ#C5g6b5Q#q@-SQABi4ulp?NVZ_>QM2BA9=O%a`iw<5(%DtW6*_A0&w=U|7Raj&mc z+Gv(+RS$+ob!y}zH%jytttlxSEdyps=^@kXmrD$tLc4>?MCt-KoZqO*d|V%s=S@XQ zT5>GFg;$c2aHmgp2!*6v_kI+(0@}3fOIJEnC~1b&pBTi@MRA2A%R)0`Ti7Ouz8X&o z3CT)oQ@UJZPbJsO9+f74)%C=8PHmZgZ4H4VupPTAjd-CD0ZM@3tkfWt44K7kT5*+8 zq9#jxx`(F>NT-;B!6$iyNn$=?qHcD#bIG(t(^6{kKF65gj@HoKYfB^dhXb(+QX;oN ztx^#C0{161jF*VhK4uhm7^WvF>4G-`)zt=qix+*S-}crknFzXp9wr7#%2Yu@Ty62< zqoZSoLYv00wqCy^7;zsL5y=IGF8Hhx)*|EU4PvB(iguD-rpytWBZ60!sjoQDVv9F? zvh(FFKYn)Yqe5ekLqJlY9D0AyS{I6k?POYyNS4Bxo*S#=0y@kQkCDukVLRBRj>sqi zuIFGg$K_zpOu3UW9@{4`GB173wl_+!)l$jJ0_kek*W<#C!?GHoy^-}gw;>$pvx=Bt z&N`eDp_e8ZjLU{HXcmpyfOk8Ic=T_o^ z_XNN2^mFxWzMGt=-Yz1@#YV+%=@-chVcX|qatZbAw(pU_tpiSY zs42eV(OuE>vvk_u^GhXGHg!2V@qjG7S$nKu>Cw0Dxab_~bb72k9mbM9zpLk~qS3-w z_6%eg<{xfn?dC4UPd|a_aH+ei@+^_V&+Nb0eCLjeow4t~V~&6B&9>pcbS}_e6qxt7?L= zo37cXPA}`9t{OHQm3to#Ek8W@rgNcUvc7d$9I8Dlt=v2I`r8IOsXGf#bWO<<+ZIm5 zUk-=NKT#UR>U?Nl-TvuYIJ_QJi66N-7VudLTwSXh%bj2Lo}CN@9t?k|rKjeR zLG8lw--Y|{2#@GLZ>k{tvHgS$zr1(8T};Nt*w1VyAN!*gvmOF2*UnqHquNc+s!_6RLbq_jm>{X?^KpmjOS`K z(y`v_oqObS#Cx-P@!YY|YxnFCXY-$2IHPNCr@x^(wdsL|Ir~`eQC!gdi#OMcN7)Ly zZC97EVm|c6yB3{AXR zf!Bs>ezaqm?tQMOk$#G>@!AuaK{_?0jDPaSEB{5*|M5PhXRrk6$K> z-<#jwwUx9>pU$4p8`?8v^7P~454OdxoH(XE{Y3E8o%`5r=uRG;yx8>{z2(%U(-VJc z@wwCap;xn^_^?t=jCZXAZSum?;^(3(p+d+ntE-Kl85cT^+PBtacZocl+CH}@d%ADLVb#e&O zeA8Xl^`7IpxzB1GdR*UYG+K?kOg_e5RDPm|+MD-S%1>HD?dqPivcm4bxtY<OZ}8VEY5)x&g`CVQXx%KK8Qn&)wQmLv%bXoo4v^u?|-GuJm|^LC;vhxyhJ##;REv zX`>Tg&uw+Ol!@c^UC!k!IhRSiGDO0D2ZCt+5u2=NJ2m;TMK0p~9MPt}jEhVC_SBbq z@Q;4_35hPJ)rwb-WdYzbPuZz&RIQ?x!|N6)aIV$Y~t&kQ?1&m?=ZqDZe3AG zOEi6kWl}Y>&PshnjFJ_lLNUl31kRH$WTf}c?ism%%R7Izw%@3bRYo+&YYdSkrrMS0 zk=lZOodr~>d$i>!Q#{6QtA=nrx1AGW1#szXLig1mPK<)g*p;_;<%1*z&E5YcO~%Jz1n5 ziQsXy3+t4k)>x zZX)>6nFa&BRzc3_0dK@HKrfI821)|!_2z(A#`K&7N`-L_qzHHc_mwex7C9RW*ZVqeV_;i*pTE~3&ba+fQTR5r$v%G{B9xK&jzCZkIQo@8u ziLjrwPgdIWPhZ`#@b)T%LgqCprO%@^q?q(N`V%pNP0}OCbkH z-WVFwWm~#R%$*L~z^ceGGNVCVahZ(gELQ`8PY>q3$NbX;@64$Dr5Rp$HaLK-n89O zhnepfIpV%ofIFMdiMz;rc`nmZc%jWPUpLM!rQEj~8Bo4yi-|}-UP#tQTjU>+d>OaP z@R|L51FH~^5h3e-U{?6RW7DZT=C5pu=Ht5m{<9wp`*2gUHz2sfe{3Og7-p$LMzNiD(S!*n0EXi~r= zzkoEygKewgD}39=b-efrnT(Eq@a@7230~wsk+t9BzL5Lf!V3v15nP`l7^uF%QwZ<= z^H0zIa?72c$3yNPX}Vr_RX}~*H0PvsPCoFG1oe7?c?^P_Y|z2ZRXk>ZE8a?E9OzCh zQE$LAs4$Y=&HPF!PG)5B6iK#=>9|>s+h=fD?QSLoBy?Ue$)`{cZ8f|NylRrlbQg(C z24;eiW|WExU38L)$#8&MPMEfcLYxjMO+G1XYD{WiU~iC+pKx>$XOep$90G5FPOPV; z@K_Ktfey0+X}t_r3+P#2v|dj~xU8`7c?lQGZKTo?4ePrNktKUub;-7|pSp2XfY)bJ zkEENlUa#DoRKn)g5IW#)ShFcuO->v7xdzL(6S!!uR$m_b%*r|U_-TCxK(=i^MNaXbN@sp4VU4z)RB z-m0dm6z;%Xt{x@D79N$SgWBXEoR+l;6E65^9+A5>O&5bGdGca|Mw+X+t!G7fP?A+H z+Z)VzT!Oc5N{}W8`DR1x)HX0f*TSe>G)a*;zMgJWV?I%Z!<#QrSd>Bg$S~D|Z%PMBAJ;m=IyOf@Nefsxd1?op@kkA00CJ zS@^!c<;8Fs-`C@{Om*Aj-6L4A9)`YDG7B{fNeoO3>Wr)aFeM88JC3*z*Q%M4k}?%q z4=fDEw9n|!lm-1Ih}O8KmDl!j8Zf$(3z5khop{hQ!7MY4*y|bTfwPvMHNi+@SWE${pjk3+>>jd9n4ltVlK`Je1WR& zvHKFIO3d!$WAOlPzbGE2$EOU3AvORur9G-@bBhh ztV!x(8EZF23(h(&W}XgsD@;vFR&Di0jnAl&d2uk74{B<5M~VipRc7j&nrphy`~$lg z%?d%Sz ziZ{spqMc?z?LHYl7&Z^#$=cBR6-XC=jUB7htc_7Jlf6wfyrBWV6p{QEaAWd zm6JuWY=x%MV`{X%VixtwQ@G(bxAS|)ev|m&uiFo?P{cO2%Tbqgg`$QmHOCZOz+5 z(nL~}-cX89Gs(cv_lI3D7lEb;-3k}XLAXFjYLdHC)NT$!{c$rZ!_b2QDAR`g3KgRP zxw#fd`l-?+kCGymtq4l7txBs6PO00zABl+;$NtqPKR*5!TYfvfW|4kO5)&hlsG{Ax z)>cFHBsykq4%N~rU5a4vhAP;@vlvD*G9w5)!bFGG>+Dt3F*e7gn9G)~Fj2KgQv+MX z&2i8xfqIv$BcOX2c0SAk=zEww6^S+XOvo0WGpNv6mja)C`7V@3vfgAq|DFt+P@%r2JK6(2%E ztFF%DLO2boB%}@0yPcztrStf%rmdX5PKPk|MrT1ax@emG6& z4|uKJxM>sf45q9%snBWFkEyt+p3f+>#;`X@6C*4u1uQpwL(9$qDU?vbP{5j6c(188 z@a|Wcm{W99Gdm&G+8QsZ;yQx23AbdD69BP4WNYz&8@^R}!ojgTm>SYbAQ;rLs z)kbdU_1Y>$L^FW-WN zWjNEM>3l{LX$P_~TquG_l>~5kbDUZL)1`{oxr*MxCy>^4gDh$aq9o7P+i5p|gD%zp zTYz%|Q7d(8VZUpGgtp~8h~G?i3FCrZPnyc7fL3u&eqL=nbcRe+%mFiazZ)*N}Pl@BUx#>Tfv6bvyw(?Is2$;Z!=r%OH`gqwPHy|D?#od6LqHh|8OD>&O2^m--lCkot zF4mz`f#}fv5N5wK_7DLzMvW}D^PdyHJNm;jVFyx@vd3*w*swcB9p{Fc~K^7h0c(k78~^%W%@gFNo2C z!zA13ke!v}K|YH+yp4r@2s<*abk2!~K@(KhUryMt$tu8Yt2ig0Z(x8m-Oh{(@d|^f z!V))1IZ}WYrdmbiBU+FllqdG$d@8SX7W9M4-N%W`HgVb&LBl-N!-|et9gRR8b1RP~8aLx$}xTtq>8VM(Uy=s%j008BFcRoCdb)j2`TmsAWyjpkS_?BZYsq3Q|+wW zOt&cOsfc=?ncqe3XE&zdNJ0NW`;2J78ZckmMLL_{3eGoE-QD(xhI8aaH`0TRmJI30 zHrME=Qt31+AL1Yw3`?bZQI{KJF@LNS#6Z{>iXxt${OV7B``!O~|Bc9+)xxLlgDoaH z3SKo|XUpR)0nEO=g_pl>4$=fzTSpPa&ELKcu)WUke7y@3d{|E2YmAo3IiG@YQ(eJf zX7JLj4CV~o5q+jHRK|Ms!In%FRk6zv4uS6ybrvh6-Y#lh8qw$4mgoW~jT`S}M5x!z zI#d|JreIC^dfS8nLu3M8aYcn60LBBL>F#b3N)TRWe7ZCrOkQaLQ5`74x z((OEGzKHxBK$qGetFu7*6{_PPB;1-3HXv;epf3>@=_I{Bka?U$*o`515U%(7|Ml@x zU)l1N)2l!WeiVNe~vQsR^c*g_@fTjw`Sov#*A511u>Q|qAtQq z!LVRa|?9)E5{6_-iKV0!^Pvv0j(GHh@`A0B43Z+LGo*qMo>gVzAdhW|*JIZ6o_b z+5tX>_5%Dc)Jedl^y5={12A4DP|}5!%iTi@yt|GAZ7^71pRaY{KFYh{H5N&8ExlhI zg=xcYD1%WtM&DWb?#X}HGWYlz@ZJg>y#M{?`UAAi6Z*~E5m`4W%=5sh8 zu!?!M+%OU-0jzpv#HwgLs1A?U=!vcm3>-2Q0!tKJ$*;D@_DB*)dqf4>F^H~%ko*I;^h&DN7*b1;_Sr-7;AF2XrouYZ%TH!RdeTlHq`Fgc34dMzuR5vjzI=5;tC2u@gByFmIf%T8&b`IA4`i}!E+t$cNDN#zNfT_>U`f~#;A7cA+(kMu5 zim92TzC64KyUvLn3)v0;yB+(mdg#o`?(sqSX* zm#Gb6(uxOW&?|ERF1Hd)Y%#~=>A-cIKio?qciUBJ^DceVk(P956szt3N3BQ2T`1f=&AN7X>z30A@3J_hYBc2-HSZ3{+Mv2XDkjhv;9D5n-KHgQYJ8%}Au9+jxf}YmHfOb0_RzcCLSxE;X&Y}!Qv1YyK z6Ty6eEjSa*_qai~rY#C=)!kfmd^xc#hfxqkX&&YpiPw{OBl{H5%N_Z0+ah5KGH8U9 za7=VrczAt2GpJ11atnK<9wtn|RszrRmY56=L~-AZ9+V*$1*)9i!<{(#f)TPsg)_LQ z%~-XdSeFSb)HUM~t=UE@Z)h*u)!;1f0je$TH?RoCDmy=|(E)e81{Sn(3I%qtibMC$=a!zuVFP+X#b8g}f`2H0^}&F?AHb)JJE zSCYl5EE!b_hRjp6`vk;bwqxtm`)ih-el zk+_8~_>pF92hNkg1+CuH?Dbdv=68>*`3*#D#jSB4LPUh`-GHMDtuXHNe$;j4)QRQ(=C)}m)npB_?fy9?*N9ZWGkADe#}-**yXyKn&l6! zn)j<>Sq#eoqerPm1lJowjrRi|O}d@^;8pxtOL1Lvsa?dT`PTfXz9>a&>FJ0P?n1M? zR~{yj#9V{- zSwt^o5C>3sF*Icn+w%2O(4j+m(rLRu>-VN8-1L4X@lywSEeC z+ZEOD8K)Vm2IZ|U7C@Zyb zH!X;5gFmkf8(%;*qEMDo;lvMtcHYl6rHv0GFW!zgIgdTIm50cgvU2`+&;B=Q(GFNh6>2TyI-?-k^(ccP&m!bDcy4wYph$rx7FAm6Sr)aYvXWq1DH2ZLAJdG+Bc)XrJE=<9ydTt0%&rgLehm$&zm|D zlGzR4K@};JGHqq1k^aC$N?9O-`lt@VqNZEpcIGSG_2?og0=;m#;2zx2J{YpYDDZ7( zO0|hn(<>{=(+MjBILVlBw96XGdTAI?88VfP;Nv{aNs!(QW){7(EnW}+;*EAd@^O=gy-m4 zD)nQRfBT=_Z#chF@!$B?>+h0wCM8hGq@#KQ!gei^oY=pHa1(rnt}_spiyFG1tPDV7 z@1ST!)G^kLw7!g+mk_ZR<<+d_DEb>VtAKlp(Ev~f1-T@t$aMsUf&HM=@1RNW_wTc}=S=?rQPTFR->iA8_ha7YZVwDR8 zdX6Xoo4-ojglHXUR$XFp3ia7-_V$p^P3wc7y#DCz|DIVzzQAI37Q8rkj7uG(NU_Q| zh)hMHAUk8Z3_S%GmDtBnkfjBN-cM2Caw$4u1+oPo-=X3F5r|`MD@e=)>QcuLMvDMB zoXoPDgN|+BE=QkZe5TM*fDMw9bd|;Mlm(~WhAKFkwg{uu%Brim1Aapv)w2@HG_A(O zXhngh$7?MUB<2LG6yvU+8EzrFh?|Nog^;eKxOUMckKpQ=VOH+^x3AHW$&Bit>+}?E z?=vyu;)YQ_M@=_f1iRmq788fv(yT3i4=4KEAPWBIb{KdIy0|F5!M}q+dZpu9azrReh_#yJ=B&XW9*) z1p>LsV|KT|WrMW4L}sQy+Vu`rfZlE6fwWiZ=TsCC(QJwG8jPa0a1()aA2nGaJ%WGF z2T?#rY329mzg<8dKIX%i)!-b(75I3}q!xHP2)LO*aixHL0IWTwtYN>(+AC4mSH88j9Cs={YXLxI21K{=Puv==y7C@hxutI&{3np@yAolzp zEW)dDTPpK==kL;aEW%rE|FTe7?8eEx!X^-0-;dG@cEKu-blLp(R(T|xKlXv)=lEO_ zDkq&HO1)SI@*%KDyp_NB=|?NJO#SB{dLZ%twGnFREG)Z1#gKw)Ts zWJRNmpX#Dm2!sIB?N%W8?xPW9QBVASCETr$h+rioaw1g6>tF{d9z*>oGE;7YSt8?X zbNvv40JcJKbs~3LoHjG z>xweC4`g*E))>9vt^{^NAquDPA(bq6PhEbHFH1;f-AIs0rHfLR*=7->zOWLu{0i@` zCj%y)j+H0}`vIr_U^@^2PqL-Lc0gX0T$GT{@ez)<3Amn`b0uKiS0;za>Me#p7 zC=R^3BhL5(eYSx6FHZTg)vxd)0xMxY977Or9NEIpyyeb3yXO*wr;>#i!GoKq`&--> zp}PB<-9JXL7!fe_obh4Uxn$3sSARtgE`R%nh3^T%w^hy9Afp&&1!8`c6Q@-1;1rw> z=daO}vvEw``Z2NerbD4qtDoe zs;z2gY&I&+Qu$5C(ARS%R-rwO09q5bN6&7MlEv@}v{bCoXgG3J@-=ZiAcRy+D&zcu zQK~?z1**lo*@3I4+Qx>o?2A69n$5}1A*!wG%J?z)V&sxiB2p7eUe#}(sK7~TOFqf} z?DAi3dGw7nYy=8OaXO~N=S>zH5qFCGf`OybEEmxPR9%a4;zC#exEnQT6TU3glbESx z?u0qyhw&mM5&TWDoPtO3c_LV=Vt1xpD6Giu*BaC6#WW(hYc3ZDU>P83yqXF){!Mh8 zYqxZ>IKl*G>3Ct=U3L6~g2l9<%Pi=u*jKX0`TW(Z|N3`}_@4Xc8hs$^yzua^?>PVo z^oLK%H4trYJhbw3VZX~zo&86($ilw z^JhAx-|jlVDu3H?fK9%4&&i(2_bLzEjMo|N{PW;}nq5B|`eOZ31{&In5R~7On)_Rb z$^W2d5~9}S&p&8FoL(~@yzlT&gZKU8G`=rQx=+fk=m(zGUYIV>G|h_XFBh?`^-Iy*Ru7Gy?~pb z5A?cn^8UH^q+y88_dt36aObjohFx5q{QBK{?#aEFz1E0(uKLU0%boPsK;*uLzGI!K z39ihY>Fc}}{IqtaC$;kY;n8c)@0nDu?)~`lhx%Xm@vF-{Pjuk5p6HA&&z)hD?@WH} z?v>{rm>atG>;scE5S)Lm9@6vAn|Ou^)%hnnJKuTXOpok7?F9(VALx1IJK3w|#~XLy zsSO<;`%34&AjIU~nLD${-?{lr53qhFM;xHKi8{@UEDA4*=_Acw<-*`5TK* z0P85Q{&nXM)GS2Y-Kzlh)a+du+SAyvQd5^Xlbf6C{Le$Kl>BqYCa<#tp&@+mnjze% z-1w<$PwxqS3eU>!dE@4r_q;LZKH;a*X^y%;U=^^%Z{*P5#+QRG?oeS!6&)%v76yqcV z?8A=?H3rAnQ4^QuKcJ3V^FK;2*vou3`)Iy4xJ2J&op=9| zh4GW&1ASdbgV9F%M3kYK`G{Q??9_jOt5cvYkLJj&2dX<4EAn3!Pu}y&qD?PUhxd2x zz~yn`l{=1Qaob@HPCS#9xjjMW8m-P9%ZKZn{rBvc+%0`&ysrLZ^f-HB7pNr+`cT&j ztwDSLqCIO|3SQ~FWgo8)mnVjmox3_0!%LyuZEUB>u4?=4*>&rE7vIz~C!TJ}JgD`D z{;Jc>VlIm-bYJIg5ho$8lD%Yqb`Rmpy$E6x&ucrJ*60ca`NOqL8MJAc^ll{rXU*2G z12t^c8qnNo^I~*~eiqPPoPLr28-3RmnJ3d@^eV#O^9>)0b)V=&9ePJ6E_%BZy59Lc zq$i)_KYHwxUtX5B$v5Y4ITpJ{{q8+_;>n>JAa_#bi&Iy@U6&^&UY4$9YI2u?HP_j_ z@^9EFp4%42A*h~5CeN(=;`$@Wzy93nqQm7G#Zm-9?1YzK4t>J$8JkpZ1jlVkWGN|J zhU4LILj)uEh+PliuaZDXgyQ>ff_h0r^7^^PygvB-?xeuXV*DlO23b!95BtB_d;9pP ztt)T*YAl-p43^|;b8QCDm1QFv^D>T;x^1?VG_sM6dGW-_Iw?(=!Rf_lYfAg@wEblnGq4UxU!ZiCr|oVNmUL;FzL1iarfKsW&46Q* zgfzSR$8Qte56Cl;M!NUhbI1(V~kza!6u&`Q2+MDUD$)z@nV z>&mXIa5hL`#9Fl$qS*HHaKNMVJX&OjIS0vdN`%Tl)(`a3m-l{mbHUA%{zIU^Ud9@Z zg|IK+G}9S}3u!9sYNYMfD5oVMatUZg*mNYeSds>rUL^RA=V&2u*cA-eT!tVf1*$Pc zbZ#_kv8s)dpa7s4AbA;^HbR_ArxpTvAXri+sk4=kWx`A+1Vn&+h+%)rg$jXqRXwC) z@P-4luuRNC5G2=TMUrP4a+tbe1vvH~>JU^679E28rz#yXK#%H@oY}~TaKZ6sU--?Y zpH%s8hGIMOy|sooZa8MTQJ<{WSfZ_?%cTXAstwVp&L!^`Q;y5c*Q6#rWuO0WsMKLS zmvf?SuU@gkQJ{egXT-Z41|mCpZ3z`p13_H)4jG%3+T?QT#T**0Bw?+r-l^#j4+~q# zC>2|q6h(5p)=w!`xZ2g+wAwE7&3cZ$v3StUjZCU81mV$h@p0|Q_A*$T&1(dl6cnrdH*i7a=3=ExN$FRE1 zW&E3T0Bk;{0!{;^?sGq4E%=B8&p-NQK!jgGY?6=#Djzsm%J%wz5uGful|P8mD+TFv6C=h-5O^4)T3fw?bVxl`qL7|!7~ zWj0UVp)^D0y8#WNKMJAUuvW{hNbCJhFW+Vsl5Z-Z^$F>qcCOeyh?QI=_EA_}agDb5 zYop#4vDv=vvC$*f#zYt=@QokDIraizn$s`7ZfF&xc7)Byy9aQpHg=FX%FhQzvWs`?Pu5n(N(Ev+{K6 zW0UP>Y7Om`X!js?*iDdG$~DbOkt8@Z(Bj%Wm*R%MQyw zpy$*&vl~dl8ZI`IFe3XQ^eya(XL=&Dac_Ik-K^Dax!bFZZQD}d=~EsMXY-7`Mse^b z?byz|8YSFfII0b*v@{=7NSCqe8F9>bC&jVpclh?b0W z_s3kt9aof3G$E&>+4)kZ(AwyB95E()rO_^8zq82ELGT)s!0O4ZuqNQ5Txxq2? zu7oV4)O_61O&W~t#oF`7fAF;}1z%ZsiEz=5B;*84R@6wPvk7B`aHVJFb=cc^yKbml zIYc1$70shzQr|P$Q<&?7$@goA8mN5RK0$7gsfuX_hs@?gM3Ie;X!EF0%}eoB=nki& z9?w#odg4^FroCVB7?%Nn?PkHAIsxTUh>51!b+z@{a78jun2e3 zk`E54Y>|;7mOU(cGQxoi*RvsVH5JS!;J|Z4S&YNJ^epQ%@mhK^%4(%Yzl}>=KXw z#5u)}tj2e{Pk-^6ufLlUF5tj3$WWr)5*L2Sic z2oCxvs0Ua$9>Qu4?weDWa;-iD`^}@hb{KSx1Cj1nn_3Pd3AT;}?lw^$G7V9$W^5aj z1GlA10o7aWv|}!(55YnZ3}F>R7OJu32nB88pj3~bg!X>y^o8fX{`7kv%ksh8Wq6^| z2lKeOZt8%t2B!3sIq~>T$L+&uv7^hIqdk0VsOPk2G>1lG{p9%v_CJ6Bhl$o|6c^85 zUFp4p8!#4@%1w0g2JcK0_O6vr;m#)^;Gn>C-aY9IaRr*~-Y`%ix!W|{ZtRwJYsacj z3(`=7dAL_@TWVO0!ObG}Vu~lZu`ch&a&>;;;EDy6o&ykMspfL$aOq%7Xeo6EVPV29 zyRW`uk?eMBB-bshtMBmsx_9y$X*{sn(<|)lrm{_GiZ3(m;_C}9f)mZSQO`}tt=885 zLl{3=5g#YG|1((L?44-Px7MY_gYFLdlsl|1As(8zLGK-CAkksTG$0eO=ao3y8zOR! ztSkQ^JKYmiqX%)0kvDRuljyp?vxPJNs#_?yC-Ud-SiirV){W_f{km-xTKh|MWnHty$=Z25(vLgVt6ePQ!t$L0yoGjOCUDdsygWa`{Oa8n2dF_NO0SigPO)0>Yxdsao6l5fzBZM!OEeaNP2 zi?zee_fAV8LYuU9V&(FU$`JTq(Tt-;IuT1U*SgWYQh-qcJX27C_Vrj#P*JQ?V6!&M~0P*VSn^v;^^YEW0RL}zq! zvEp`Ww%Lr^V417ufe#XPiqm$TU!>TD-teH)O-_F1q;RT)bvi59cDn)Q!TV_6@I)6b z7AggF9)f(-8OJKMBBlt#!Y}=HvYyn>ii+4}8mmX0PGe3hdffHSR1UgT6ZwbeDY^Do zTxe-<6+7RR!F^;*yd8E(o{|S++AYh0s4e!U-;eD1#AE-Q%F+pP*0}EMsus&7usjGU z9p-YKgPy-f<2&qL5)~lGAX1W@ZCbf;vP?p9V@KM^Wl_oB2 zt)PQk+=}8tBP%?$BY}YTdpL(8ma1S;Vw)@`^brX zlU;!CTGbY>yqVceRrbb7)UG*8aarBmI!BM*^wM%MN^jShPZ5U|gJ0RV~W-Hla#79<3 zlLlAAIm6nzqz1a-Cxncwo>bc>j)UW$)EezrhcIV#T`}fey&mlQtFS$D%p#1V3PXjs z&Hh{cXp>EPKzA4r5&6YufAfwHKYjhCEX5E^9v31Rf=lXYFvdg|)F+4HB3soI;$7L4 zD@`L`IweDRlK~4rEvw^Akon6&`F5_NfPV@+d5o2?7*#`BGm;^%MV$&xrjX*Qj5S}D z7Vsit<@1_3sOnN4LCl603m6m9c79vwPLT0&nR8NHOJ^z4 z=R11@%|2qHQ1MtUW-N2I3knZ|T0;GthvZQ}&?cjAKy|%}P;lVMKmU5ubB`a%whlJI ztmVg+4q!<xo0{Z%(aebqwdpgYS9&rYCU$bd;+o@6-J~la5a`zY| z?%5u1qOGrEw$K~5oOVlzSJP4iNniTnMSaQs@8h-z{{?Ima`W_JbS0^MM`S! z27PCPmo7)&VMza5A6&jAR(?#giozxJh5bc($oht^q#U;iW^=S!t7E-K3rIPAG_|{- z(Sx9>+QpIPf!iCEc%;87#jBEGOv;ts7HbC%d5&%j8Khy1p~swAW{I~$Gq;U7ypCI? zRW}O_GGw}t|75)M?76w8fvSE1prEM=d#%E2ahY~VYMP2V>+XZ_gC1lN`J2k3Z78iZ zcylO(TfPl)^C&KFv~qP~uCcJrG4?C-Xr;#1*VS4)P?k6Aydv0j!>p17`JY)hnP{S4?)}<6|+052?s=h&@!H z4FAKJ>ati(r~pf_aX%&r0gL6H>5i_mtsHHj^H9pc66vA_(h5yW;AZI%?l5FdePy6sBZ%LMR&vfNALqhb|3B5P_Cvyn8DA) zcq3^pF-Xh9u*a}-sAHL}_t81-f|gE6l1<^f4SA?GVJzbs;B=%oxmtKRH7gY<z9Nq-+g#|UPM&+1d zfa^I2*1HNL5bT1Rtuv;qh7~cqAA}D2C`5KOk(V;T*yuAMuCwAQs~yoE)Ib)pshcgAe|bmQyb3EcIAg%yD$&S+ZFz7-L zdBhWNrGq`*%~dGvNgEM&sMb~|_866lkt^U(uY{A3|Hv!&r}zKy=juPUUutp~kWd(6 zS2~bkq8MUEaeBC=m6A;!d`CrYSd;DjEXC0}F2(H*L(D1gNckl!GRmgju;oSUh$Cp> zBeC$2NzN(u!cV$0( zp+bhs7eHS_3laP#T*imMv8S0Z+W_O1Lp(e=Q*fFpR$8^e1j@{63=wK!2Qy^99fp6y z9E>K0!%PG+_1Q_AV>k6d1@wF;%*!IgF0A#)9zN zekfRQm|T7%@PiG_$<&L5e2&05gi-xJa3gahOfC3*7~(_#FiLWuM?$!t^WBh8lWbuW z3x*b9WDCCAeh^{~;+^|%&d>=)l-1i#Uc09G2@ z2w_>FFd{Y)f)0X<{@Z~aGq20|MBzf%v-~qq^z5HZN9Ggs2m0w9JQ{&XmGA^_T{)0btm|8&3p ze)Gc{fZ4KyxJ81POf`3AU@s$0Wp`ia6Dy#TyWs3bUb%+t6Uhwx)|MCn6KPuSx?-&2$ z$i?qH1{a`YsKWtGVD1>C3(rA*3Ml@gdH=oe9KUD$3izFq`Ivb!bd(j=vi<4!yfD3F z+5|U;Eq`PP{&oL}KudTUap5aG8-}&zpMl}ww;w1AH5XBMZ#4B|so+y>aLO;)v6UHM z@XZ5Z6m~f1nXm@UQ~h7^Fm>R!L&#FZXLl#laQ`it=3L@_XpGKM5h~)hI9q(q*#uj@ zK$-wlMPR6t9{7<4@tZjRrJf5yFLNur$$&hwFeTwr#Ul#3GMbr%+!)e-sR0yo0`MJ1O{kB0V?DFEZ-FvnQmYcALIFkVumYa_G4!e>VzZ-5G+SU zY1`u=S5-GEbulwm7U>lr{&)`GjlxHHCrUO=Lc~Q}2+^wH!2*LRL?(oa_2E8vti1u^ zw>cQrg-#4`*rqwfPPoz0s2epcH|!9JZ1BP(r6g*aefE$S!%Dc(9&<1+hEs~z4G&Fg z)gx@9?Jdxur2q+zJO*7=OeRJ)}{jVNeD2WAyu z=E)b$qa4JN3t5o87@|{A%XPyors|dqtDw%(vIwM5=Yj6`YsB#ihTK9NZ%$PSM4ZeR z5>`E|VL(WZ0F{FD7TC$B8Zs|zU2^8uf~I>fH7*ZU4eKUT=B5=$o!kf!J#us{EooMN z12ybWGc5cx9f2f^9HfktI>S~jX~nJ}juyMNi?zv^8}1@fN27xD!@80d^B`yqH59(K zn!2GP*wIT-yVOU=sM=OebTd>1OZPnvx9oPgP$MkXbzvc+KB+t0K(`JrQqpBW3D~03 zud)kuFl78f?^1Us?ZIt{l;2NJ)~!CJ#@j!5)Q$ zK5b&CmyW^ISWA~?YSJ7HR$FVvBAEI>Elve&q385ksZTGs?XO>|+IR6MzQ~0#&v>8d zj^CXg6P6f7XAfy>^1bE7-{&*1XGuXx?YkIj|`+gDP4 zma*{WHC5)#*}i<*@Sh_f~aL;ShfQ(9*&8jycUmql-p?Uc)i%7zFY-zy~FiMz?$pKOb+81E#%GX2Irc$fX)u}0FDk|+F&4;9-FHQ4Wp+xN8j z&3=4r;khxmhzjnxm?bfqLDcEh0E!=rR-cUaosJ&3F+=f|>XR9=mOh*~a9n`RkUqVq z$U2?gZKcPCzgxO6J)EKUWwOqoce3QT{pjtBCNlIcL-E5cS&C=*$0zB1ox-nxq=!0v_R5en zy5eLf(9~~khUWH)lUbsc{<5R=sSe@SN|xd;6SZ|F#XV^DL#6gZO+axA{o%K2z{UX^ z&y)=T8wb)jkj8;D4nRc!Dgsb34XFj#_+?upz{Y`z7npc~i5GY(0#8NYsR$fyfy3>x z7c+3U1%BheZyZd21k)eE^hYp@7tG=Xvv|Q|bTAp6o#79#ae$2jY#dF`IKajM zHV&|HfQVt4cd*_#ST-Lln-7-F2fIIl-5e&fJz z9Qcg`zwyiA#>S&T47VVLTM&vc2t^o#A`BvGX5u{t5jBH|nn7ULATaE-P`p84*dV@f z5Z~C3C>@094#IT%k+_2}-9fbZAliHoZGI}ge~>gGNSY8NO$c&-1i3$g6gEK$n`wd3 zgA_JFCZ-@0Q;>-%NGKL06bllH1$ptZnFy!s<{+V1kWeg0C>A6X3lfS23B`hhV$F`IKajy0&E;$;{Y3%2G}?fVB-KA2iQ2k z#%qd>2iQ2k#sM}Cu<>w!jRR~PVB=_jjS~Sj4zO{6jRS1_J?%h%jRR~PVB-KAR|nWQ zz{UYKP6ya{VStSTY#dF`IKal80X7b>ae$4-0&E-&uyKHm18f{% z;~>6q5Z^e6Zydxo4&ocXoNC@aaLdBf@OPUEkI~P+EFJvdZjauwC^h`ErlRBY^Bs{O zp;(YmEJ!F8Boqr0iUkS9f`np0La{{kDdL;d3sZ^jHu%_~we(m3DgaA89yr_%1rE23 zfx|6uxCIWkz~T0?Nu4Y?Za;eaqKS-2UB(~p#K$v?A*ddu$O6E_Ht6yir>#Yb-_ez;}J zebK3ThhQ=~n2Zi4qu;ud(LKc{3Wb+fxb<%t_GLr!q3D)tqg(p@i;onNM`EQ%A?+P0 zeUe-ODpH-ougTP2JW~1;f$!wk{_39x@3tSDuveV2_nf|r)RYWT%Pb8N?1ywfYW6)d zmj=!KsfaQq=jHc4-10wryW<-naa-w=ZC536Tj^76S0iy23}1Ei)!L0&5~G*3z=69; zGb-XzPXon|MXOIn`%Xs>+!%$6kG53*|J(e?@NFjd%9iEPEg6bmMd1y13cmubJ=A%L zt)Bv#pX>y-^_%-(zx`m!UNL>2m?HdI$ujjrz_$$9MOzisM(46j_STloSPD-1BB<<126*pQZTAiwNH+8+W`W8-o@7 zYHa*M3-{({;|v(Sr10)~g~EFyY&>8;cvUujHHBAjs`V|##xuV!N=ZmY&=~Wzb>c%-1gd_VoJqb4Yw6sTmR-`L1i#Pf053^tw#Dy}f`X0kB|j#o4B=5-!bAQ@lY$afO`7S{s+;#H)$o`GWOUC-Dqz z2ZAH@pVf9#_+q9go?HIAfW*^qu`d^9kQik1G;McPKrtmYW|DZ`PXjKVZhE`|v-#?8 zh9l^z7WAu`9`io;Sir?Ix%d^Zcp4X9G1Wg47Qcp|D4?gaBz`l^L!b1$!c)GsD?nSz zj0rrDy|1wDW*`dRqMqq%n`Yfz0otZevNvNH>@%^qn54MENpa&=pRKjNq7Bc=bAL z{Dv{bU*IwpUI^IuYWAHkI;KhEnI`muXIyf0V<|V;7t*A$`kZz()h(?YQV-EJ;&@`SU z@mmNRPX`sC;9dbL{&H-5g){~j_iAkXT-965-F5}2xN=p{>tN%n&O=WLjw{&sjuUTn zHhzVP2QJQ+oyM;O6*En|>B}jmjOr^u#i2L-1m0D#@$0fNNaJbFpjZ0arh$qn!Epr} zd;cq7R@f%=cF!82I<0+&zg^iV0*?0bvM*#uR^^_+cO^{&Gq2%JqX;pmuo@?^U6({8n4tHgmx| z7;rNk6|bZ4W=4a8$gpRV!Dxji=$ZDT-gA@c!jh*TGI^7Cb+k3F7cS zZ)^Y6mQ+kmW8?DZgI%x3#{R_VWk*Fr=`R7dy)2Et1$=v%#OcJ#8~v{!@y9Et6kdNc zI|u$hK6=7mN@CdN@w$=%`rx&FK~q32W8o!VeZ73aC*C#sz2HSj$@n1CXiZ29g{9j??>F&1IfNdAv{2RnF>hHDI zUR~*!_a(!O_1tfOjb974{rR^68~=B)amQs8H*=cwrQZb7_^Q^&Og4Vyg6_-E7}7cd zihDI9dVWtp;x|U(=?31E_|5n!rhxJl)<@Up0!i@(B*jcW#S~GeW}>s6p_!86`J2BU z;I_XUw_VlUHbwD(jjveVc6E2#73RlP+4waS-m_;m1Z@1@#Ky0M+dkM8NaO!5X&kU| zHs3`EVUxT{Wn9R1iOHs)=_7V;r3pRyuC4xA+@#PJ@>AtvIH?1_}X zA(o4wl1UZWi1!c<5eKU}wh?VctiTZ+eiw>30%H^saA^+7K5f)O1*Knj zw$A#~fmQy`q2ve}*2CI(NLb5||@ll@YN{O;)pn37#W}sRnwu0&_;9VYk3|U9kHz>q%>eh0m>Uh zBMd74ei7>F*Kz8>nUmSKPy_iiLY>*?`u7Mx4*!(nzrlaS^b)oF;mmSyfI_pE9KSMf z2I}tLPj&xvo|ma2Li0cJkG1-|fBRwfUXTmfdaz>l8E_X69OxrvZU}KK2hYg7J9_~m z-LJe~7>l(HzfH))IdDss%YY#MTTu^u_5056K))`23q7Qu2>fMK*5?+*?*}-4sp1Ir zAoSwI`@a0Pf}xk-u1xVcD8sbCTZVw);cDi*UkS#AgW)r9=8!q>A2PRKs71Cw)pV#; z<|#m}{fsL3_rL+bX2#=rEVh5V|2+XdhJ;$K0G2tBHWIVUPY zZ$e-DOhb{DFTn}82P)v-$B1wsKnfr&BeITP6U2xx=;7~-F#{*UP&r?2LM3dL%eFH+ z;L)&U66j3o^8~b-@puj@?+Xif5ontXJv{vdv+8H_ZnEGC6sS17ZGv+tvh(3?mh-|k zC+MIg40wg+zXh614c5N}I-gqbD>lnnESCeE>2t3I_vVmE(WfI&Kg+ss<7^Ku`QP9V z@S`5_JDK?B;BWSa@HB~ocZ78!`aFc;3b5S~xDI!U?5_8J^0xiI|CQ)}hv$g-8r7&v z7;lvWZ=$h$&;`6hm})+sC;qDq62cs4V#4loSslg_bP>A>xY24rtY(3ZglhYQV?{Xn zM9x%~fl)a@U2ei^gtH+oWGR;`jz}!z;6mUws2hwb1!~W73j8A))rkfT3adUdI{uKO zCe~OBTHxP_Si4vG|3381YYLv+2Cuwagv@C1``O5_fa8BaArVfrSnyh(Tu`86p?Z?f z)g~)uPG#xAqzMO;KZeexX|c~=rvff z{*xnrEEQi+ewe)$l6ZG1;rLm^3hOw@Z4o;#R--C_5^h4`l-xStilZWO4!X0Y99I?? zmzzcR3%N#)&gis4n5S8KLIlh~>@o?SSpsS_tmwR5uH@5vDdr^&xx{6~TQpgmqceLv zNwz$c<0^FqDJHCCI;@rMB1&i{b~zbEr6Y*#R<^rO5meU*z02!xSHG*twgs&S3$_TP zQ<1FAwTr!IpB>+)_slV|3$ThGZo=}S5Er-DzbZ9pli6?O+c{qNi|4kz!0-EW#6sDk zNvzt=<(mXv9Rq@kK-JKOTDP`N+Rqls8i+fGRh^KECW*XG!mC{mdn7__2Zou9IcL~v zw~dwtp&hI24^MeOTEPiRoUECy313Pb;_$4+=X&s>l*%ea8msatF3r1|tAf~~O6@8{ za!sZWD1=Z{rXmUXEdz3xnyHa6#NY9`eiM+jezMqOTU4TXI8V7>i@BkF2-5_ycF`Q|3vE)>?h7`>aXU^VCstd9$v>SYc+SbX_v?yJ?;G*l0%#7NEr=% z2FH&Y7ZwS{-&#IW*X@jS4t~~N^(SZjU;owIU;ZvjwOn$Z{^zE_b1vT;D#32G-pKMn!yyZg1zADjFiq5*joM_09yT-{F=c&7q-% z@?fE+BsrRE<{92cTy|AH2u<4!t2XWAVWqSjX8wRI^s*DJ!eNXy&Lr?}LR6*e8Wcv2IFy75p? zQ|JgGW?CHU?i#gwTyn@j{48$~-(U`Ey>@+jY5Bv;qvd9;B@Eeu>+Pv^?mk0~N|*>n znRcgT#GB==c9+#ITlG~Y zcFt-cV^wG}Cd}1~ai|G9wsS+p&2hUck#eZ7;^MreVXdU8&IPU_AbxBzm#?68f)y4Olv|%` zC}$hf!>-No+q~!zo^^}tJU*uu3$v4iwy3^^#yho+TPWqoAP{&{8792P{Ue zXwXw4HSUCzj7iK+Y#F1(A+)ax}>N~5GIjvj! zG_#(xH5{Ln3z847CEKSD6S4C@kUq0B>>#y9sdX93q{;D0e6=8YY=V3_{h%N0AP& zSV8%l!?;T(C?PVYy?zZrv%E50t}P$LKDV*r1c!9ptOOvEZ!%33aGUUS-xtdl6zpoa z)IL4e*5cZ3Surw{%3SO&^ciVDq90C1U5>@x@LTi5p6}hjZmNx4iDN zU5Xbk^4P`ZuABFC^q4ugUpICqb}NOGb!2JPzKvB%yEx&N_3I;D;h2jZLlL`o5Pk57eh^TU+8uU8 zs^vTQ?3m+Wr~d&*nT*kqGMkYrqQC+@LT?co(%vq!;)!yBbtSV}|9(9`�qDA`)z|q9C?IV za|QdjauSCkdR%PxmQaUBrvx|IqqLZ1!*UCj1oh}zU1hiEcFECl`pq~QT&f5g+zSAY zN=?O6LS!>`O`PbX=ICnWR?`&iVOt$2bP-#mxFMBks;*PC=C+HjQtWyIV?1tF?EXpx zm6#J;%KPFs-g)68zq|PUO<6k4CSGIi5KE-x{S77y3W%OG2klO`rO(hn?%CrlBi-dp zWV8-9QSX#e<9dB$F2>~=noN?BbUWuTiYJDhB{rbmkMg%ICHrcLb3(bX1++mE3xKvp z>~#h@<@s{bR@+Tc$v3H@A+V$xu(6|qkUU{hHEMfE8&{v33aRa0Z`;@kqq_MP-tGej zNRD$-G2(W0^G9jAlW(sl$+8xA)F|s3U2tQ z)B6%VrapMdkG$}*V(4zR4Dz}#_qZDF}?j31s$ZVYk9e`Y@ngvNzxTn*YP=? zV&?VMmGfh)m?Bd}5F8 zi%T-#Ra46u2IF~2Kq7@U*3Ptn7oG?({O7xhb> zhO-0rJBlVRq{k^v-Pb3yQEQ@Dny^cSI`XdT-uvwStPeh5YM0`>47t^c zTi!t7j|Y#(m}n?N_jYJ;W2sq#^Oa8HUTc_+NHPb4ynJL>{gRz5G0zKWu!M^S6(@F@ptCrys~=j)f~6dp8H15{wb zqRAEB+hn)QH5qV$?2+mngM_VoBah_DF{I3wQa6)#sDq;E=wXf}cu*9=ofz3U?)Bag zgXN9Vrqd%bbPIaQ8xbW!u#%E=#Rj%7mssjZz2J~lOoee2T*D2HMV#5VUu?5C$$eyk zV?^rvTRIg+W%i@AN>F->j&02(br#?O*$|w169JMiT;wE*bTnFKkV@ogQI(i;%>{z$ z(nk%km;h%;G+~0O9xj#?j6#-?=WhM?=ij^U#oI5n8DV0hgl4FyMHhP{Eu^A;6~mjs znZb}<07+;}@hx3R0CP`2OXol(G&E+(dQG1Yl16U${|e!|7e`{ z>4pOjML6togKF58IOK#o9RNo8RK5)!fr{a60Kmf{V#M%zsdmTv3ts#qocD{6C#3f& zVQ~aRpM@sZIO@D^kyT}wIbki2?ddxZ3^xX7rx)ct_&tS14Ktv)CEsY za+$|DG{*%*3?3obGg#C4QnTX8P8#drCo?Q>E5PM3$&NJ7ad=ROqOwghBr{LzFahwf z>d2%5P&m@gQq`5paV@nxzE%_S z3>}ZE2#;}WgOSriQ8S5=0DP+wuCY$k{ zzFF5_b%Ov*D!9mH6{^;mGB0)~x!iUw7pO0(0PdMGQ_^eQyF3D82pBKV331+Rfj@46 zTf$M>Kx5%?0qoM}KKg}kJU0F*lr2dPfl(o)4GYFZVzpwST0I=rJ4XfHV*_#t`3rS3;0x> z>T+HH4{n(EM1zmZHxqeCKEf|l!(e8Gm+9Py zZoAw$*#y0aNx0ELjEGDLvz(FUE3u~w`4SOEi(J|&R|sK@HwdgMNE#zbxpJz}R8TYV zZio=J#k5+a#qJj`KKxwiri;+(OkosD+a@s!VJ-r`cc#>|AZor}Ryo{^wpbJD?G-!& zKs96SXO0yUb_T}6xt6almyxboiIe6>8SUNvr6mf)!@>t zNxGIchp>C7QSKttD(Y>jaoC}(;;4p~D|o+@sgW80Aew7RikB-nUaPsTUTVU+oa2G+ z1}=8bk^3jbEz6y5ay_uQmy~0A{g@*a%g^gzLMbbNTfDAXE}+R-YBdagfvC>Xjryi%zChp)eIyO<#aLX~GVFJwSJ3pP(o9NO8Tf;cyG zX^_Jr%~z75(W;AboHKk`h3+l^Q0Yb_s9Byg zV8J|&GptF=H7ne1R7+k`1ScS>)w_ogVxT2yE`8Jl3CHQwMIbY2NOv6BLLtGL|IU9Y z-1Pg6AI`Qh4j$1x!vuE5fh4{adV6+G-?~7yrS61+||Sqy`VIk5_%WTV_>?ERN#{_s=o#U&fEt(~Pu&izzs z8WQ%bSYxm6Zo19cC9SvZ1JYl~UH3oBH|XA^tN&Iop8WQcxOQa&dA@UrCo9K`d(PH4 zhut&91;vkh&!kr<<&`huUfph6?5$m*)0Kb57xZfLyz)z4A0O;|^3)+*eg9rwR-V^Z zc2<34GAjJGeQe{s#<`}{or~M|68%N~dM&lmsM%3Iv8(ZOg9ob5)*Ncr&Yn3}o%&FH zQ~H4~SFQhNDKB!-7+PUpck9PTUR=6VTixcZo9OXs?j1YV^1QrO5)W3``<@BXdlwJ(xZ zO7bE1;u0YuANy5emjWhQ^|>}`o)Z%5jnCioeY#$92mga#{9q-u|CjS`!o{IJV&t|x zyt=|z!j~Avcdt8}^0qeoNPj|T>H5+|x+1b?Q5io=sfczg>8So_|8_+N`?e=`X4@0S zDYtEL{rjc(YGJK*t!#I^Pm}uh9#<|dERjdQAgvJ={7i6K)|5WLA8ea%>@8dR!e<|Q zv2$Ox!{(VkAk_!Q5^J>;n$#^MKKYTI+CAO>^l;zZw=8b_L2B^CZ-y()o4(YyZDisf z=xw#z`Mc_+rz1P1MfeBymhv;vZ6v+qeBZfLV)el_J+Yx5w_j*nLt-rlO?q!kyZMCn zEBT2X9beh`{eks&Mn~Q!-8O=kIL#+}Q@ncesXLpSdODUQ%~MTd4R;UP@n1BbTRhai zw0&LtnugZSx_?o|otiH9aqr{OxOt)Bojbnw#JAlY${BBT4c~kG-sSi92-}?Z?|jGg zgWKzNoR=Rz^*B9Po&2}>x}H7!3VVh8wPuq3d42I|@9Ey1W4n#-(hD`eC~@1Dtd$#{ z_O3g6G2Tp1z2nK9PuFa|zVP0qHH&e@m+#uM<`Mb(hI1y?bVHNz&GCPF*YXbt&(f#x zwvErOXq4w0UFnjc9-W@M_waRxBIIE0Q_?na);KMl{lLYNPQ#tIxjC9#XJF$lvu!os zl|IyTv1PTqKDlwL+1g`#q9M9-Ks`A+-uq(x>c3AJ58}7I{k=o>yY5n_KF*Gb8b@c=sGwsxKwo|1?>& z?4ojS>IHrMXXOjmui)1>yC1z--)0|{E}mYg8E^0FzhR-$Xc8H&qwjJ48_`o{efHXc=tafd$JzNuCuj$*BCpYKdZ^=Kdd%AjE>b=JD+Wr0I@(WH^>&2p#RlOri zw=|_r3#|`Utv7Z4LgAkFHC^SxX|hc_ShZyVUA=rq+v=0=miW41?jXT<`%inG?(iQk~!;AHX!Osp0>w0c}fFE6b zS5Ge-yL!CY`i{249oP;UtI}FmEwAl1&E$LB&aS-j!{#A7ue`KwL$YLmcWM9EU0R4{^J%rbKVk^-I346c zynLo!sNE&>-zbprg^*(TIRO#M$pedz)wq%9@223F{ zOu~e}f>G1ms+p4^3?Yyv#cr&w8cJ(gyX}Iwm({MD84h8f0R&@3w_AmRqO10TxVL-n z-ghNUTde)_7TK=ryLb16Ql)P9?uDimYj=I0sQdZ-rY0si!({S2=RE(P?>YQuhra;`ZYK|wAIE`-0n3bWspoV#kvU13Wk_sg^*0rs-&*g8`UJ8 z(kSW3bz;D_0>}8^1TlwKfK>w7TdRY4og=9B?0QM3iOX47rcrQo@I7C!g$Z=jD?uh8 z9db}>mCe|-g7LZj5GQUww(u<_8gk?*lppz+ZY)ODIz}qsk!>yM;8c|@cge??7#y9oE#DC-YclWHhP=VF2qJLr2B#=%$&5~tf=AmFlMZ;);Z5;QK6uSIHSLS;yncGKu|g}ynzXG zF{YaLguO|IK19o8k>D~W6Sd4MzvC>7K_(kHQPGdohn=fg?5(2ABt?~%Mi?8Tt#cAs zkLDSR!7JsX1t&F!SD|3w`#<^Z#&^?dP2ZAk=Xcu{21oD1zxkMNv3$&r_gs6kigte& zx1vSGf#ESSRrk_1rRmNy+c%skui=H7Ks7lgUQouwW918Is!M6e4c()3DR_pProA7y zYUtV~(2|c`akSoj#W9)}4K&^t<)e}68pl#gjvbRN4ZbX~)WvaOG~7wgQ1V(f_zu6|F#THKery(RaRXs={t-l- zsB^PJ0hZVk(>u)<0SMB(PYO2+;;P~FXhw{s>ni}&? z2hNn(&k6^GGtK>Og!`+MI$YINH{8Z7>|oWH3(d5m>y|T2oPV8LYhm2n&gE!&Lw!2C z5Y^5XN!?(hN!GmbJ?qLpnq*&Zs2V?nmraLo?4F5g@q9J+ToGM;@3m-#vVX7@eRQR< ztmk_>EhVP&h(7Hq@9*NLsS78#meak@E5jF3UX#*zGFslV&nV5b!qV){FY}|my~6vM z_iXF8?7`)EE+Vat?w9esD4SDeG8OB?+-wyzGxjn_JLPk#3QF)GKtV2?kj zzJ2PR!8Y|;xQZ^@h+)w*F5)7xPTGVopPF({mdEyq8_)b`{LtF3Ih9yc`3q>zVO*-* z8_HtUun>pE-%MSntEp2jQbkvydwLG-!^G9$Wt4mFhe8Kbpf^*W^Y3#vieXvd&m4ib zhdDR)Rcyd-RpHW;R9Sks-@Ro@S<5kxbC02P5Kb-Z9>YUwjnuhmM*krvzr)Gb$djV! z4>Gs><3sq?uMT1RhvJOo=hc?y2CMH{4Bd^(Hu-&JT^k$ko;!$|>5m)H5|Vz(sP`~# z>awLozXd&%E8aQzR|mS^g_fHRw01LzrPaFqNH4wX2=1knKp8c+GgELh=4IiF^oK2> zMf%H!YKea|wFxcbE0$t!V&{VWMs@YV#Gya&^rzJNW?P?aY-bCxfLcJvwCHE}A5#~7 z{pbCe{in*6_eo_8@d1;|wETXLEew?1u_wDmX`yQ*@OXdHrlZhXHHa@Fo zC+KND&b;5KjEhuSaf3Cl7`XOHaCv9%Ge7vprT_g&<+ogGG|bDRncg+kFSV0nn2)|s zj&XB%eS|Fy=rLpvOHrvd8-(+v=3Z7(tpu1r#TWtlo$hM)W8Rd+ozi?wb@gcK=DGT{ zq>XD~*deM?ij}dM_qWp{*_^=3o+7`@6733L}JbZ)ASCG?Pd~m4%nVA z%BgccjC&)TztA%U$zP~$n27ic?R}L)Q#D=nnal!t%*;foY-cmo&*#uqlp8TQcRA$+ zZwu2AT?7OIWicf-0gftCUd`9i#Q|we1h8KqEpCYP@~D~BONFyAA8;+ zYa|!bXQrreX^_jr+)Z+zRw~2TW3E3@JR*c!q6`$c@F`ejAvIWTiTym^fb$oBpgA!txFxE6pnVQ=yu90icnJ*n{vM~Vh->en z<|2Kp?7*|g>P1;-z=Rdchyv7CN{<(d_(xOx{5D^I>)~c z&Od##qPOzbH;2pGk6fcqsRuT?vz^zA+$8zy`M-Yp2l49BkEL7HhmOaIm(QVPl(#Dq zaQhEk=(^6pDSr@eUAYhAr;dCqEf)SsE@L+?f4__~KRpwVbZo4(mU$zKW_N^(|0VBG zW6Ri=Cwn6kpEZBxS+k)4E(sY+&8FgTjLykxOdPj|P{_f)I z%)WQ`e1U52I#2HymzFN}Aou&bZi!{x=Ck{1#6OF%`#$HIsV}$fn;5x9mrZP+?)oD4 zw@&hB`7P`-cU-pbc7LC19$0R!Yswob`^ve&d{kdxIja9#+*nppH1C)>K5w5N{^E%a zzN*=UZhHURGB|x`G5Q~yI+Vi|e>N9h-ZMWmEA4b=Qa{FX!nG#%4rcM`AM7g2*!L;D zsQ;>YW}3cS7B}WnzeVI1HEu`b^n(wapEJj+YZu-&mOfEyKK~bR)#(ppR}k23#r{W^zBY4tJ*uKP>O0-q~|S;g(O5W$Lao z?hkgweu!M}#_svNhIW^S#_=V(h4Gb5T=ErcKe&B)?q0Eg2{ogO`-i%jt4;l{o%WRJ z3qb14KlaeQVkycywJBB_DkJf`FBg8G&8z~n)f>#%qOj(YyLC-CilB1 zFWe?bvvrnSYLjC@mani`Ajb*Hj~^Cnt< z{m#EKA3XUMUqm@n@|ox!({Q6viZ9AN`-tBB-2QH+>JYQn45r!l8+UdOqP#j^eV)s+ z9?{$N5A5cbhHLmrWq-8wMB{tUNUKivzSD4P-|g2W!#CVp-;*Qn{I2}H>e27LKiU+! z#vkvw##iA4?-rH1!w+MV5zNj%Mw>RA@w*vJ-Jok}GX+wxHC-()#?9ubJcU{CK%Ia~ zcmlt$o1TD_Vr#_}#~r1S$Lzqma(Ki_?6vkz@pnVdxwiG$AA2$1_{;5J$p??MPVE63 zF;?bvp2{fwJ!N_1&Yi%xiY#DUrelIfafC~RH;D;#vi$K1u;+j6=N6)-TPD4@{K=t{ zapufKtoYxk4>R8Zwko1_cY&79n zN2@T4(1Ja-2gix-OHd&9Cwf}{`_gIs3n4t{z;b*f6U9%#gQ+v+iTbH0^>L1I`?oD& z)}i)27UN>CA~5P1u>o3}VVFitVMa;A#@fN)B5%i0qA15E)sw)c%Ia7?rLL6( zFfFnvi$Pr_7voXh!Axy##@g(9t4*#TtK`xMCl*2qFpMG+)_eQKV8w%+VU%U1Zj3q3 z7As~fuYkHXG!CYN{zEto^9T#6o1?lx)^?0x8(bLt;W$$}B8N$8nuM}Sa9T!4_ikWWvwXk zGPrLW2Eico3e|jSCQc$trFt8DnQM5Rml}7jrUC*dhGY>u*mcH8JNF&NKvk2;Rqe%; zI6uOO>yVb>ja__3pi*fJ?%rJA{hL3(WlVdy{9EenrWF%1pU%TPUS|el3yHxoZnrPU z4sVbTC^|*)fLFq^sFO$pIrqM4ZMcNwO__!>GTO!Resl!GcQ}3DiX(aOFT4A^>1+ zjU(*K!iGkc#-dZ`nnTFy=AF~@Bx^^jsdaeL7I7IHS;EaA`Z6O~c$x~KdMX&bUx)?C zNEm^S{=6;VrWlH2rt0eufuRf&WD$w`9r%iq8nR6xQ~T$8|MbNFz7^Q~4JlJTT|m2m zKFc*{Mp|0W5r6$&71jGQyackNG2qs7PkF_;*G9{PJ8w20*#4g~NV)u4<|tddL>EzR z9$c4-QhmX+Ng2)Swmv~GN7dLp{`O51=lW`|)X+6qd#R&`?j77-e#_Hg&zn4Uv2}(z z31TGs1F14TjxV&|taIy)x1<7;r}{<?zM4u@N^o;$YNqq-jd#SDc+RsCE0lN^`*AtjJ-!(9`%k?*3oUi?=OKLhz zEe;%23j(z+s#pEq-k*g_=I7!}&-^dYIp!azmr;c!y5n?f!T9DD`|@nTC;I<|uX^!6 zw)}EeH&eU4n{hK&E*)C>#hV9#{<%m^zdqwq6^vTY^JE!B+QLAGdwF>(o zY!NFJAHsn=Y+bUuOrPYRE@Q&ihI^TF*XBoyGKDhAaRG^!98+$Q`)tb%@7@vO{1aO} zf2;7$>Y->#8Ef$A^*^<{vg6M^@A>4orx($Y1D;2GuD&u_>?@f388z5?bupGD(wu-V)vjPdvA8=wcgxtUzM`=&DW&5;kiwc9#sXV=yF%7 z$^v(n+1@Z8%is=nY&<6&psP)NZvEYZkKa~$h`sNGwWa*l3*ot$O&`;7;_MyUah$e8 z9I5#O`r+Zz%EAc<=l!s;jlMyInCq6S5K4G6!Q6o&+Fd-ijMAIy(FWW-b4!7ZJ1MQOmv{QLHaK_ygaLjD_($^_|)fyb@vR2VY!}-$k=}i34UD5p; z=P$)d^Y26Se?KTp(>(C?;O#%XOt#vmK8fwM)}1I6Zf)t_eunvko~D)#|HImA9=Q|_ z)E$0axpLm|V>(tTdEXDr(--M?oYB1U(C1XXu%Gm0oh)`Ppn19Rwj$Ti()KfROZ4Bq zD~^XhA8td5Fi;&;j3?`GStYmR=@#ooGW79s=6nU34UeJ3KVnb88O!nPPohM8sZ)v= z=`B5;YH~3QKGg5NaAdXbql6YBK1<}Cw?^7|d%V2HC*7AFdIz_*&z;Nqtd3YXMJ&vJ zS^adE?fSlNGbJgf7Q`olrVMl&%Hy_JGk!iY_Y6|t$Zmg!p-nkN8oVu6Z zi|;$@gMicTyZya_K3Zl6bKx(%dwa<0raOlIMjx4TwlK>lx|IF9FZ!D4KS|B>b?a5; z`lhdWdI)&R&$Te+p#1GOwuF@%>EY-N{@hr%|J+zxXz_4@vpv{>eVL)<8)0$zSK*U0 z`kTIPyZhhNRrC=kTYl|iCSE>Cb+p}ee1HC)GDLsYG{etr83xypnx=nxg#5{Na=(4= z-LZQQ^K~b9_X+C_tGL1LVwMi)0%!krN%fT`IQs$mG2M_QbXaTs-P_*}T%+Ie)Ryll zw`3h>GACpIje)uLr5fOB(V4mN@TbG$J(q@8Q{evtPfMx2xI}b6M$fb?dE~QOD)qmw z7=r)=L~^M7Hdo7Wm-pp5Ykh9nophX$+sNyBK9_i(Y^fjf-}6XBD9@|g2%M$vLwawo zT|WjqeK+=8WyjpPL+W^w|1+-#W=DPNkK6(cNxtMH}7F#RNVTHck&T zlfUL3=s~&omuyH*3_pe9=GwuINE>w%{lFYD|3REq=b6#B_#S5-9)6>s9jw@8Z;w>P zxQ$G}VO~bHOsO}Q>gA<4Qx@Ttym^xM*O8mv&@gy+gXW(&<7W3TH%&JD{H5EtUMJaj zl;H!9P$Ea&Ywv03^-K4BC4#NX(bzajAfEE znAgrs4{UT}25yKca1y-|T0qke>`uoE-g!KdXJo45JPgAvkS{nl@ZHYRNH^0XrQ>PH z?GRPuFQlUgZh8arHVIBuG#Ay^GA}zxYn`#gS@dEJ+wCkPBmd5TSH)C0>v%T;%6fVb zoV!E@7bWo#CwZBlexOO3EC9lmdJjc%;>P^;>46EbXp{`-li$g#*0>UVpoCgyZa(WI9j{ya5?9JQNn@0T; z>2$ZQ(Xg>veUe91Ki)u!!8PP=x(BmGLiOmfH)7^I?ewsoPwu2Z1RWcc8X%0R9F(KF zNQW7s9)oR|dEZS92AuLT3L)lWnobyVOd%cTt2uJkIrs)Aw(#uk9~e6&+>k-HU*}y6 zd_LB`6fvPjqkLzXkq6nPPUlSKmQ8|xA$UZ=DAHrBGbC#VtOTsvu zmwlX6hbWVG0&9s^ovR{@QP`b@OK~xz9M&)$`LY$?Wb7WvUKkGGcu%iYU`Q zdJISN80VmzDRZ+h2S#;`1!n008%9AJJ1JtYQ}me0!Qh=mWO{pY7J4@JiYFLn1pYP}etBblyOe^oz0X~YzZ_gF zGkk02T$*xRQvbyoEqL%O+fIMT=|3!%KxUe$y_+yNw{iQ6>a8vR1@2+508YUT;vC;e zCFJHaV++xzjx0o%^ACsfH!d8%NH<3yMH)H&B4yo0&3KXYf<53N&W`>azX{;TgS@mG&n_gN+DK02@*$_(w<8M@UUb}U~6nYroDff@bp z16DWD#amyi%TN~Td}U!KcItR;SdVtz&Geko3;l0M{jXgl3O*(ZVwrRMg}s~5t?glS zwPD7h+^|Yz=C_XbnqNQOp_CrZf=8zR$MifrZZw@0daEKA>1)(~m-m)OKc|IU{d2ZW3lII z=v|ggTcf@oM^olNlN#^a+L<=F{adRh!M00NRlv;-dw2-A>3OT7Qdv~Mt^r0bTn5J+ z{b4k|6ksR>(ebc9;8yuF`_2c>>~j5|K!TxG zdlx^ZE;}=n76@I~56^V!W{?NA(j2~}0 zSH>iG+5Oacrsv`WHztA(yxjN=H@)XR&8sY4YLd@aJnZS+o-Q6Le%RJy}XfS@O>)X43|W1f!-tYgQL82VHbNVblOig=f@)@YX1?*RZGH z(BJ-kBki^S{3k#4U-Q%6J=9TicBnn)_gwBZ?j)Fyz|@dqcB(E^?-n->^j6;UF+WXT z)KBX#e!V^uYjpn|3(9iaUD0OqLiAYq)ekSyPlRJx)-$`t@I+_~FCO1^aMAt@wbOpg z+ISzPPlABi-i<0J-oY0la9bScb?(T2{{=-1z%&(|LdZ=wG z!Ar-T%6{|Svhc=*OJK`9-b{a14cvSKnEF#=U%~0k`~7z|O7s%H>#PU|w+C5P+lNp^Nl$eUAWtDi5$o500jt*6Vl1XH_y z|8`UO;;5x46V+t8yui>#w@^Iik9*I(mZ87K4W8e!ZL*Ubd_Qx);Cf1c#rX-a^uuGjs+#<5)t^>_Urqf zOgntyTb53VjzP60fXpw+-CJ!#!sDb(ilRg$3jOPFw(2>6q!OkL!=gm1yi|v5LdTd% z$X4=Iyv@@YqpIaa6s?jA$ri>ux&cxsGhEkqsA{fy@BmrUXc#=gJS9%mVij%RR5#+H z>CX1C_H9flH6j}uxw>t1FDSSO{W=T!ZAlIevJPCK+kFOuCj@zm=_z`Wu{*7WG=?vb zTB(GK6nT}Ib0q8fUq?Ljd>d2n9IBv(@^E3S7){fw9sPA?I^n(tT+gRK=$;>@xc8+A zSO@HH5*~aSHR{WRHUp%N2N2Wc>E3F`(`q8Y(v~b%k*_il*D!sVrJ9^_pC}tWQlP%F z=0q?Gl8_>JT|i)-4g7%0K=ZFNQ@%Q+6BYwdk*E?6NzwvJe~L;$Um0so{Oj_v?i&(A z^Mip)RQAFFAStPW4rMuph*hCX-Ff<&}o4aFh7a9(Nr6P|HGRk z*#OU}&uZv33Y;u!7%0#9`tRga(w4YdvMyDZOn?2gDR@MexHSBQHmHF^0uUwY>sJA! zli?~FR-@f;5H8zgU2KGG%0dY();}I64i0>)sdy?d%bR__xdh)`oif(hi-b^)A}Xv`R7NL4)wlU z0@vbqUmq?wcy!xze5BxUIPHq_mbvb7*~Q3b-Y>mwv*QcrpZ!v6&0=wh`KWU`{#x0= z;kdCB|F77~Bm5s4m%P0raFwIOZ{3(UKppj7gx7G^NGa93jr_t{d(k~&{o?UQM)+m# z`66@^y$sRc@KNvd(jho^air|f@b!|?$kBrn%ii9DV>i9Mmzf*y9vx0Rnd`3eWxJg3 z9=!f~DfPE)(^s5d)I9PQ4^KvEsriM8vI2Ab-J`plpYYTFe%XBe%`z%<{ms1-N2!sU z7kjCZxvfubjB{m1bG-fNNcx-nRwL==D-SdJep?Yuns#n&Y=l&(g); zlHPcG*+mN^%C_DK?xS3C-RvGI{_uXFBIKr3ZiOja>C! z_t%c}-U%n{yYsCZ_-H}rt-lAqT>R(6@{+&C;HE*`fZ;z90`7JuP&qKqJPl65Jn?Hh z&wL@hPt8Hnc$!{DnfN150Pd(EYxr$+o6sA9@nt8JA2A0Y8Q+6n#ZQ_85H_7>TG3@D zF;?e&8FMgp;8-ow0phudx0IP-tQF0gVI0+Yb8(>>&3iXI{ZdmW=lQt^!?Ukj3bW@2 z6QhT5St#+<+iIED=$FI?dYz6XsxZ414TATGxyxoy9L`$EQW&Sf;&p3*5Pre6h~^iwJ~4c_7Z))T?-UvK25o=9y+7-u&Pn#CfflG{o`%PP2%%yGc<^2b-rOZoOO3A}8%p``}a5PH-+AyQ7 z=;B*9&;8G}pTGBwI7ui8=twanQ#?o;c&8x0bgoZ|>5u?yk z4Uh=Ql31x|E1`Q+69vQ@rkG4Ju)?H4!3!u#KskiAQdx(g!wwoO4Ia#5QBhbF)Fg`v zrVPqF$BW5;lf)rg5@y33(vYb}70}i|w?JK?AnK69X$8&zHwZ%ph9c2QS|eB(By$`o zA!zXsDT7Ay{g?mileAyo4`(F~D|U&#+8EhOpAi)HO{jXT8+h-enOetMYhwmqaXyEJ zNwz?=DXogI!!TMQ^Vw9YZ9adKq-J3kGsClfld^^=kc=aTJd69eCn@0*E`Y}07Ew7N`Crir-= z^IQW{77l=Q1F$5RhS2y6ZxZtl4!b7#>qP+5Euo^}KW#8!Ay8U_s8|4j5(acbi6x<7 zw9vU1gcmU3k`OEE;Cj&21|Nt?1{l_8WDX3tuRnngH9UN+njFNMnX|vYlJ;pu;xjm` z!A{hY->lmC3)_$JM+}xLDjO>ybObkO3m_L&j@x`K=2qH!uT;b7y!>|id$_AzbvrbW2%nwt2^lj~-J1le{6^+x0m2bSQ=v7}4fTCi%IJBiv;QjwQwMtTgKBIG2@Pc}G z@PR#%3dSYADgS$I)Fa$arzckuGfbdh$T5S5(??Q;4wjUuOmfH#vySX2MWh}%nRPX1 zlyodjbII>>PzK&_x3*7~N zGHR7#SMMPdol#3ioE*7~Di3=ePtS39l(QreT;>a0CY2c|Lx1}`>wj*&X!x3{n(xqh zh3;y`X~VA~ZTndM9{f8jOiT^*5XB7<={Zhjv?kiPrG{+7!@VOPUCK$#kt?`hQt%_x zK4(8-KUgz?8^9Dpl_8djI@lM(AdoCWYxc=kVVkJIj?rFvYDWguEUu*E zvTeG5ok-Lh0)k|7_*^tyt7E2A`>iS?sEQ^pr#DK}0#AC@WhJVGb!dw;|NY7x(l5PImS3|L& z>dOwy4YI^a0GbO)DO9o%k8{38oNGSBBsHke1_o$x9Nk<@Pf2z*MI3HJLwM?hqEV$4 zebDVsP1-639Ef`cO4_G?!}f3Hl5H9*f)|osfeHqQB1vFSf5SySDH17z5IZ1 zM6FyYBN_`y?R-Ne=aI5Ro4>R8Zn=}{bF{rxN9iP}$)|!z&-U}#B>s-9ZUB^lO`IHD zEarm*`iKF&Th#_aG11MNww@_K z46phWHK?-uIBUMZr4$ckN+i3|#5FO|;3}1hSc}Y35uzDGlpZLQ0XVa-Q1NxC1T#q+ z@TAVNQB8+_c0b9QF+0FB>TD-zHA7({pIX`uJ^nnC5KJo=#Y2m_)qz?0rD_4M#ZI3l zLescf=COdktFWvMnn;^i(r=KdCq=6*S#Z*Li6b&;afVnyj$??CBe=RKbZ|PTP|!{> zos^W^1p#K;I=O`iOCf7taWQnQ3WUPQOjy)HnJ9q%eTUB!QsrC{aEPW_$(;Y}ygtAA z+QQdD667jp+fl~SeQoqW*pw=5?-JsDTzcoKki-O=tvhm%SEjNNwasW+K}+f&%BIwL z>@&&(Y>vO2KDWJ)#lkdrovY1(DjI)eq>L?@t+p} zqdazmAIQwvZw_x{JF3r(>LxRIL6E$vxH%FQCRO_bqoK(-f84jw*+!3VpHUU1yx4yY z5q6?Md_&&#-jc+37aInH$2-+Mr^!7kE|f2@$LKrvFv%ZeTk>d`uQxO+{O8?YF$-xw z-1p7u)QDR86-|4pQCv^newW1C=9`i-BoR<9;(inBktym?s)6DR3`!EiUQk%NRU|K1 zC>>#8b&_TUJDSbXG99Hd>Kit)o_vy)pge<&PlZ$sTV2>DV9;xYPgBLK^%?-lq9ZOyO{lz%rZf#Y+y|(Qt0|roMd*n! zFP&`D1}zf&99k^zeSh>8`G5a0^`mbnk!JK$(KfC=kE2(s6$52v(^}3G;{26Q4ia5a zy04Qyy#>H4bD1pfr{isfyQ>A76~o6=6d&lNmC2smTGQ+oLuHiqaxLN(Sz30^q);804)#4K=n9{REbcb|;DiZ)Y&m6jI7cY(% zR)mJOX3+lV$*ZmE{d<*@eF~(P`9|WE*!eE;g5s^^`H&Tl_tWgmz|J4Eg(Ab1e5{GD z5xXhE7IF8JE2bVOlCdp76_dvPqb9it;1j3i(Ggjmz3@hrH-wR=m*gJoM16ZGFMFtO zXEy&YgMP|cJvnSkp}^jf$LYYy+QC}qufmf9uk5y4#IeB`;Rc0XOl%aY!2+c}l)ah$ z(J*z2dfYEyk{-^aA2bjQO3S<>E*63w()^LO_{#{iy-i(mR(j?>xWyga`$5|4r@ozi zh-+rmK?mh&(DO~0<|c=;(Xdgqb~KZOSc586K^@dh_Tb8xpiEUVQa^WF8#XbFHO$V2 zCPgPT-_A62*pcNB`H0ncyVfj_81ir&7~9 zIZiVzMmLpn7ua!HmrkP3o|kIXo2P75pKwmVJ~AoXrv0c5df; zmq|YLsK|)BNqmB}xAL>}s=+@)n?@1fT#^UXttIjaB_I|s3Mtcn=eOW#=-*$>N`NHT zkX4u)MRF(I&_+`fR3|BEEx-7C==PfhhHr?u2J)Nar;MT?Wr;B~$kp|NRSF6X11%D( zM}s;BJ5!~g0!yk<*Fvach-#rUVn33MnW>c=nL{5_E~pdyzpJ0HZyaDdyZUW@>uZF> zLePpXuj44XN2lv?@t2`h2BQ6`(GzE>^ayzc&>P6j+M`7Z5`I z*k?kkD4TekbP@8B$Wu0$3Jrj5|GQC2qSjHxVn9&j%Essk5xhr@G@%4ex%dL1s92#@ z0=Ls!sZ3eYOa?)5aU}NFQFcPMD8lo!g_XqKFS@^)`JexD`rBE!Uddzzpm&S(IweM% zU!k(pBtK^$)E1@ z3<*oLR$y^4^^xRvc~gUmz)aD>;$*u?#!*gi3H`YK4xc^Y#l^s56;}ed0VUETwHoTg z>UG1fjANfp`<~UXTH*qtja|*jbV%UuG8gs%sFg-4OtMRSvVq5%;UL~*Qt?J5>|0Ne zK~?6PKGM<^xA898s(9KO9*iRTgkPg2Fc%_}(JTT> zYK>gQsBO}?Ym<}nri)gcz==~fxRY2xwxOw<^XP_x$|~+o+VUFFTgavHddb>}l$BkP z4s3We*sQJ>g5ECRFkk@&*YHEOMbx!t$xj~*@!*Frm#K$@I{rsqB4ipcy}1|U zvZBP8aFkh>uC7C?X(5du$RyZvqQbBp znZHkW5VkqRscGe>HfxKKC=K$GZkk$W9?cMcC=Q3Ds?`G#9j03oI2f($f^Ur)t<1OT z#3{zCYBTwI*|4<7P@QC3S8t7#n3<9iIe1l_W1G}HMulx~T!1Li9Jije6Y6?Vepb;^ zA;DL0QavTbt;e7fXr4{=aF$CqQv(*pqqKr%I2ZSJ)ah#=-wFCq8lLbtMw8*=-A(OO3 zWd`KIyR1{~?4U?_F}oqNnusV&H}M81E7r%A@*tiJkx}=;`(I@~d+Fjg)YFKKH1EpM z`#L#6NMY``;>J!vGi4IS)b(@+#;nqA7aEJz3v8^6;?GPP_5DU_IExRe`GA`)Xmi?A z@8a!V*_Jdz7P4)Gyn+$Q99bC*b2G!1F46BY`V7g^U3>~|umM0vg^}!+7}pTAc6&)p za{K*L8G+IE96SzaUZcI#s=w60e2zU zu!_$l1~|x4rSci{YRX~*ut8OlVpT*Wl1{BDS0>s|65BZXJDI_P{-HfaEe{fT0`pX> zFVh-&zLh#q@ag&NZ4FSq+Y8Fkb_a$z(mp`c>AJY~(1-D7LX<4vi+spjCoQ zGP+Y-R~-$)ZxUJ7kcgJ~f$>@$M9>18`&C_%A=L?aIZm@i;yBPtx)gS$yxzgSr_u1T z3Q8;Wl~lbzCJ`KAz)US#!6g}_)M5ZcODpmIxhd`40{AIk9}q;&k@|p3ssM2?HW8nr z>12b3WfUz97(JSL*0!QhBMYg7a|fqc&7|3Iys`-6@=Vu@(ZK3Shl25G#-jDfIkDG2PwQL)C;y`_!{U7ON5*SA*rnS-T!)H zDxvASfoTEcT|Qm%>Rn1*ULg;`0#{ls)|iw!o8 zmXm@A9Jv`%Bi&&`Y1QheP{#(748nRsvGox)3uUX9LsU7HK}C?Pqv=BBv z1g(|C0A0+az6ik!pfzA8>y&=mU@_S*B#=ibqMA8YsnKXz%C-`7G{wSJ6f2nI5NtLP z3Gz3)UwGn2pL}2Q&%-pCAyXpnOftf>;dUEvA|h%9CQ@GJ6`);=(`lw)rKK7u7ReeD z`w`Z}X&s~O5~iVtUeY9@&Z#Wpm5k8>=-64gl6KoRvWD5*4W&Bi(O= zVS|^YIbE_LiOXS3w6B~aW%3*EichqNaqSsV&qYR;U#6W+*xlDAuFM*li3C#2oW=; z5v+SrP^s0huCxZ6OxV1J?+OTN8w?=4lY`nIt)g|@u%ff71`X0Q7_^P=L86M3Re3<3 zGO%!oBo*@Y?`->*t7(7zXF_QW(uz=0u^KKlNw!NdgGSQ_!GC9xqEi_vNqCQ%y1h^I zgZ6<-f($}rko0plssCBDhDx$c+9Yj`@|2iNDM5`^sI*L?w;<=jR*|+;nST_~9)l!! zI9QYLlQddvAh7JkfS*RKVLpNdrAgW>!ewF4l+=bt*N9$Q64H5WqDELP5*j%vo%#+z z5(bSxQ;HV?QPxL6r}Qumehn#r(;*io1(hVy4yGc^F-|apUP|pf(RBT*AALUaXyUpW z&AQ4pfb*&W{=)`1k)S}OX7B(h1mJygV=$4u2pG69sDNNakhAJi6;`on(}B1iBb_{n zIl;Cf9g-#WLyAt&nt42B8L;uwf341liTRW~dFM`75sS zYB7buSXKIbSSn@CuO2*f?iG|8r6VBRvC%w)x0s6c*FYe-?B&_gTJ^}Ga1bkt1_3+PmP#0sZBwKq7?Nmw0GGXkNhYirqbSK6f);k?8_u4XhzhGB>shalgSoQ**Su2qy^zyQjb*CYq$6pmyP zTaKmLq6Up=hz^Stj4mqDx(gIID4J0yZ!vf=%3&Zdu9@!lvtCI6K!${H4{Nlx1`L%f zYeX&usfrdvYLE#8VJ_yV{^wwhC2dX$YJ*zXCPCG)QKp*~K_V-#pp#@;2%db70t&+( z6aoOk_2;MpT_M_F3#v{=^e}8Om70wj6x~X4r5urwP7A97s1Z!kkx6zw?eV|-Jz)6q zzDMCl!tovM*-2`I$G_`PXFYN=Z|xR;N&8*LnzL#;)cigkL=ZRqX8&h+khDuVLm?&;{G~L^}Co@*! zeSwmTN5|>y(Qy78DK@cqrutuEQ4`f_UEqiB=7b{NOhY+EgZebRX7`ZX$zSdqZrfY$ z^!pX+KLSqGpMz&YOFpTJzK!pK?DPcNDt%YAy#z2{Q`b$^nC{=unIqm2oL671Bi?_V z^4t1znM2XITXoarHc3*Cp&YCMo>ic^68(AkvHP%FipbaX?*CE0b0t0mg} z8}GOLL5Nh*b%T(f8^{zcQsPy7+(=tXq;~)PRVNRi;J{<)=R}c%I+#r*tlY^=?s#>9 zx&$S4kJSq2^Z$130o~1y{_odJ(X22n%RT(}F7y=ew^?4NrxpAOzADU<{iW%L{vT8C z0^dZP?*AttU{R3FbXvX*q0LMu?W9*z!WvjsGm~jMO>ZVv4S2zniUCwkYuzm@yPKI# z(`gB<4S}sJUQ$ymh;o8O-E;Qr(ikv+!l9zey1Tl?s&&_+zlKsS;_mMWa(@4RUgSb< z&3vEvUY_UseBKZLGWI1F^c=<}nUu?K38IdJ&pGIgcXRY~%~$%?>@VD!vVq@Jm9W#~ zKkn^#d~7-?_$ zk=#?V5i92OUANTJ<99cqsgju@r)5o@GGHEwSMB>i87eO$=q>C}zlolzIya&%tlYr2 z3BP_h5b9w_!63Z@XJ3ir>Ty0ZD0WbDhLjF$JW!d;v^qC-*7*A5#!7`b+q|!~sKpPS=*M{Fq!p`>5Tz9U@H-FKdgV*`b)@wLuect$&7`ZB=^!JE z$*SntrgrS<*opOnlf3J-z)~_-sW!VxNj{HMLiwFDKVeFP)gin1C$81J?^xDfB0ugP zZrnXNJ!$-|_MRWivyjERHge~}t@5(msl4jkk&sExO#w$(aX3BCw z{vNA!|E!4g9~NC3o?K)&Jk(h9+!p*S{z=P6p6jNZ>`1={zZUBc)$sX}>u3lQ& z+K=()(3-}QeeE@dXs!X?{lY!KbT{OiJ6sllsfVdySpE@NjvbZAM@kb z=wvHv=_=)3vlcU*JiE<_zuuUj^34{tDk;qg>foOKL!%Ik9Roc0OZ^}5O)H=e~;R4Mn}8R0VHsTISW z1bfz(^7NSS>swwtvvpzort!1|U4ume=UpvDgWQJnt@Fuxu}E-EC6ef&qA7j~b&XSS z=jlq(1yxgmn4*h_DPd7QMa&Vus zO&-cQFeTi$`&wx3CEup53suZej`SCPqqJnGoToSTl7HrAnyZ)tOXWf?eFxZ&X5}^c zO{O_W5AHKJFOBv{(LQQvR8bmk-16l#GpOS(aDzGr+}u+OH+YqJz^DObt16u~1|A{V z4+`jP)U=DVvRyT8n3Z43?;^cb#JL(b&NYJ9fT&5%Ed;V#O!O^y0mt2flZ4S_A)wle zJC{RUm^=4^L39!29G+Z^6J-vASTV?#$fIVNJ7068R8E$Ldmf&Y-816-i(n|lr)cHPL@E961b;00pM$2541_QS&Ih0OM8oA`VwXO!AU zjZs^&YfPu!NdhE4tiuv4XOIml-kmL1GFnQP@bXn$M6siaEcvqG*OL9`hi@J}>;v-| zJ3yJs?jTPQei+clPZQIN`|;Og1Ym4Cr6p zXK4C3pd#s}2>3ntkpn=Cm(eZNrcgLYmvt{d!5(D|~%&;hU|KJj~77EpU!njVgcM;J?0QK8h zISs(c_JP60j=hm~?D!EhPO--+(TRv@cBQ;+CvRC&*@=w`5Q6Iw+JaCNBR_DmhxmJP zUi9|fhS;ZhPcGt~x_NT02PO48$y!IVy@$B{g1Np52MUB^MRQ56GIgqLlUTXNrP%X`Oj zJD1}dgCiFp z%0a3O_wZ$Wu9R14kUug6TKm2XyEZ-Xs1+a^T~B0U@?ais+r7Oe>22Kb-Z=Ww=Bp0c z2kx%Jlw%vjQNx>Pl!mHrZ>=%xi;GX7Sl70l=h=x3=f(T?9&F3`#xjn$Ma{b>l!5BB zhMc`S%ubKp(T}Z3{%y%1k&MEMa??nOdOmm0aHYK6&QnNna!4 zfesnGVZ{^T=qj)x^9c%_+BQ=BSP6=C&tE(pFAXj}RT|8+OtC-B`DP;2ZT6Kr!dn0( zGcgDs55Xiv8?NA@=k8?@Euo%@2*~b?aiZC zPx^0~l4~h*db&ZEI%BGfxDL9BaRINe1KXptnMq4Uu_q!f*t;V_en5+{6x|(WLS@cu z@;s79L41mi$jl9L8BJT5X8|zswjz%LFU=pSXL>!cu9Qsop08LOH3ms;T!0j8eknPE zRfmm{XqQA$lj4XT=PdA-m#k*%&5>fv-T^5~34c4YQO{PQ7y zMPV4~4_A=v|>kvUz@% zfVY^$4*WzV>&qtp%z{WYo`r)>7<5#^JstY9Y!i-S&ypa;B>+PrN!_xEyDGQ~hv}NG zYfqFf#uhF9%gvE)5`&qbvR}`Et}(Z!X5DGUo$gX*h?A z;LmsIJ)lXx#krQ@#`i=*g~KN4D`6C$AX|3Go#FUWvd7sjkB3}EE}_GnVG#D?FO32# z;TdR)rbaVBS&oE#)m@-Ct`mBu1zos4(rF&WL-l4_@ABm1k)Es$JekJfki9L1VhQOY z3(b{~O*y~?#ItOyOLgBab`%G}Ko@lhrm+J#T*y@(7H@%ISEw*j{OZ~d7cY8d=}l|Q ztSb*kC%#JN-MQ*?-uk8Aj9zp9p}BW_&yg2ghur%vk;Qws;yn%AA4Fz)BaCK0WBz%h zzpM1}$YyihWrpl`@17kl4+kcPt|Y7XmG<=Gzq=3{D*JM63pxAzw?1w*XR{Ze0_9JG z{$(@w#c%l^Zype0qt{}+`BTeh@fU@MX2Zp7{fd4nd~x6q$WdP1I(oJDSHC?u?Ogk+ z`>=T`nreN~=}CR~3e*4d+|P3^W2eKfyYrsAaH6`eySLG7JIu^kXuPw{I5;Y)1&zJBlCSuW4} zUt5IM*N^`iZT@*+99@c*IhQOg?92~ek{Tn}rPXKh&7&)3f&Nr_`FF1|XSST44)?E# ze?ELwuB&@nzBKkQxqZ!_zM9GWbb}}5e$ZRa)V;14`W`B9O`_ifMVAr;SkN-4X zd;0JC`~z!g^UQxWFxBQK(PpL8Yp-r**#PuFYEgv zo4XK$3Ea1N-R@ap6KZUY+wu!W93SoH?(Re_cWqyqCW9n$xuq*k+3L3xG1E%NAr$>C zNR{>8$G**_S_9;4tN${1H?6tDJ@`Zbzl$p9_T^W6vFu>(27@$9mR;!g;{RgUCm}Da zjKb&1&oJw=&O?IPodDJvY;=L9$Nc)x8S>CYIX{2G;Co_Ne+ts|IH;o8Om$C*= zf1&NY+{Yh!XB?j$8OAT6|3SrQ14yCrmC1bNXRF@3b6pT%LH7pYOCbF*mq}*7lB{|~ zX}5h5;lJ<(X3SHYx!p4awWGBdIRYc=0wY!2m4N^U6_`P@{l2%~L3}tkv}4NwTgScw zjcDJspz&=tHAT;O)9V(Oe>69`y)`b@t~9o+m-6{L%LH_00|1!3PsO);i>8R3V;c%! zwMkV?BnsUDat7s;1-6q@Qgb!l zT*>{<_Ajm@$~ZBDf~4yjozh>kLaN3S6=i`nY#SB@=yFh+@w`upQ;(y6 zY%}`!&a*9;fgjAP+;@ywKl-EoA>RHG{34K*SeWt`i=B2tS~Z zYl(sL;3%JGTUPy}-FDK1j?+-s0t-L{3GW!-W4n|lNUxuHW(T!{>tfE?QjFPpxOXSp zaImxR?{Yi2k>|fHYrPio|LTLAgEJ4jw=NIxzC~A&Ft>LgUlu^$3ESw20urhMdelY{ z61AHjl3U6+odkrGuzEjltHhzm`|={GBA3%C@(fvt*j+-Y8KO2rywXWF-=m}*S9y|D z9}o!e-!*nvg($F^A$svi*g96*>GVdd0N!hySj>Ru3hpaS%>jLpoI&>R3rNDo8Y?)K zksCOed!eS33{#%#r3!&$;QQ?vyh#A~EFKl1z@~<0ab5b$C07ZThQ?v=jGmpF15bU7 zTnbR!m@J&OVYmV6TA$Pc$|l8$4s-Od-%8a$F`O0>e->zX@Mlbbl9|H| z6e9=&MDoc|0EELDwUKnXdI*}HpGA%oqb!j;xtKFJ7m^VLfV7kd88#Jej>se@<&Zz4 zQV|F2KH)d0o&mPL^si43m9Jj($iDw=(!yjz!%yVC%Ab7MU)AvmvTfMf+IM;CtBQfg zq-mk}ex|R&*I&8fn~L45E{vng%;);cwr|bxSfGQ8MJFc48nbZz{{A7`Gw7e6^-Rs0 zPxvmA-*%ji1%4lh1!5n475we*zY4VE#vkDNPhR=RGfAF9Gv*!M+K&3V{nopF^>)?t z@drl>xGVHf@v%c*8+Xn#LpHWPGGROXNw@V^MDtw_-c>@hHw$getz>Ze4do0={O8^o z^3>Z$-&l`d%>D*Vl%e%qtt*^AkG;2BdgzP#N3hxzzajFe z-*TSv0ckQ)HAy;VRwuu$*$ZT;Q`^2p!MEZtn){!Q zOR>N}Iq}X+ev$MB`8EkF;KzRAJv|Au1Y+XbipdSNguB-8U{2m%@|m4iq{WWsUt8=l zeCOIhn$RCJQ>Z8V0vcHNv;FUO{|C`-i8@wHu7XnnL;*^2tw9z$65k~n4T*m##ybAG zym{-}*Z;2j*SPTqtKMF(X6WY`Q*PN#YYnMEQ zsvKW`nLlGvo^rqh&Y_vX8tX@Uc2@VTeVgmx|C0kJn%~D=8Q#I};QCDvZJ5qVu5GP* zC$lH*@ zUa0GmgC8L2O(oQ5*)c7buXsIjOu8D(Cu5upn4$B&87$dI?**LrY0oKCyY11~@w9j9 zv*hwQV#?9Q%+?5w;N`t%NaeDvK%Ne=#prIqGE#;YOL?r}@2(l@k(Qj2*lEKX2|AYW zoiaRdB2OWwHvm1SJ?CWiy1n0yBh@S_HwOlswpifKHR0+0Um5@;U+u6=@}VFHyoN@m zFS5gYg6R12JGrp0aWLKvf?{aEX!-sN*L(iYmFNF=F~)&WKjUZa1>k744SH&EM>jgu z7KFipEkeV-KD?v9y7Ut?l9gyZQZ}fmOe??e1SU z2$tRR*6~>2P3Wl8)lUsJ5ccA0HvDN$bTRW=Ymj!D_fvwmJ z`KHeuSegm!;n3eoC79>6kfL%`` z2R9M$HL@$Je!e2PnMD_cM$T|V4%J&~=!-bH9^BlBOC-ShW0RdD<(_nh zUG~Xii}6oBUrL@@^*>~-p(+?ll*AGfC#Ixr_?0+>0S~sXI6aatOVNIt6oWzvAfe7n zTUZYr_G@}sZe=~WgS&Ax{~fK~h&nEOmFRePItV>T^Dr(->i9;K>(hK%Div!=P!WK}UI zb$>VPU^U8gN(MLnD=sDXIctEfHxnro8cB0AsN(6u@OD%?w1#fZ3)ADu3|&T)n&BH1 zh&dd<#?hqQCSSvsGQc~N>G3_s^5reUj95Gf9~TA$_=9o^-yM#ok9p7MOl-JANE`~o zb8rv?H6x}rc=&=)TLY8<_7YR8uY!3U9Qnb?=s-Q0C*W_(DQMQ()eiHxaztMFFbLO| z3|0eMu3|08B(^Kn@aeUHY<6vdC~Jy)glynm3miNQi;hdjiuJ&4n;bsNr`&oz7LHfRiE=_k!=faiX4?JWB} z$Q&QLxka|XsZczS)*?WcNl-n^xPF?ktHG}_DP#8Z^IfYGWF46fM|E&2TlFyQ!~xzm zoX<>(5Q&1Q%#ff*1lbdd`e0!ul{r^4E~T2RXAYqGbO+b7vVq}ilnUY?jV8(4XeQ51 zu+}0R+rUwDpzAQhZPbgQL%8g&kBG%MThAyuACPUrWln`W`$z&QcEHA;UWQc-vl0LpKED>}aESMk!qSQd~ z7}s_WFGExT0nQ8s&h5o8iDARK0 zX~1}2hA0`FOfZ{Iyizy)GW~9T-?w*CeP6Ed&93+guC-5WLJzJo6S4JQ$+4fFknTQ( z^1oibyW5g{+)~f%x2BY0TXo;Y(T*?vAww(|wUqYutLEa`=mUEnIcoD|=sDJCgQ?BefY`#9!FtH_I z2yI+N-M(s{{L8J*t!>HIxc-;H5dgq0R#Wl;kwQT|O|+2b+sWiF zT6d6jUiM<>S15il^h=cJ+Z!)K4ZI!V z_&~$`o<73U&znE7o%;oB?3`&0z)!bT!{k`c6r;_kqHemAuFmhF?*;5 zH5=A*Z`DnpOIcI*f^n@s#$n0xQ|Zp){NAw(FDHw={fC3Imd#+^&vp}UltEwv4D47@ zwRJ_6ERTjxQtMrl6m!t6PbwyUM4dw1z ztK+#LC!5$RCb#%P^{p^7hOQYtFhDH7h&bQ*RdCXqe2OPF(}n~rUUu)mPHlTKZCYVE zXpg-+$qnWTmb?~1o^|YSzyjjwxC^F6F&0l7wt<5ckH-S|=F)Fmqr^8Z*BLnRPObWO z5Ud)D#}YpcU5V!!{_ulk+2F`+z9&hs&JvS82#C{Xwh_~fkA$lni3c3H@7CwzkU3F?fTh`Ub}wPM>l(I-{p}*WZzqT z06Ufj%-KJ5+jFkd<@}1%%fY5kl4sfiKH!xw+tH>>xGkXKBvaCwsR z_-2S^uyXNZfA`$zxew;%JEpk;=}!8cw$#hY83qMs$uoz8`|{HLHhZG>E^tq7ENEZe zTeU1)?l4@n)v{+|X|omCTOn8E0jIcY#aCJmweebpU81c2HPe7S1Q5|D6On4JY&C!jvsewcb{=vEFUzh-*EIj89s&d&`AX=i@GA=eHRa(1D!oowcob}@CJ z7KCWXVI)3xFNdGNDV_(~+CyS*jl{mzL%?3m z|6QaENLz#?d`Q96rElS)PLtWV^ds%fd&phB@qb9vga>r`CCI{ti}?Q@u<8E-kp|uX zNuw%Id%_JUA+BWo`LD)Q>(TUcAoQ1}a4y7|8HS>8Eo@Ga+}kt}$4~)>(;5(z$(+Vd zgt!t0vV}dQ5g)LpGlPo|=Hs{XR0GltaCYthz*og_MNK*4_%V^e(|RHGQpS%Dv1Ad3 zi}ZP3l`u047|Hrl*jt3DNHyk{pzyg&RZ_R**hNm01(~p#d)5ruRs{{elS7hP~(EKoGR)=&8NYy_-SnXWYvigVS_@VtIXjUzw zg9KX~?7KLai^=BIY`yR*M@o*|BH zD0JSp=NIOgNV$2#q&Rcr_3{4NHP<&ZHWODp{dhS2o^DsaHM;tcX~WFRrKP`zecD4G zg=cQutY{oQ2vlYF8Ee-Ey(#B?C^i0j=i1U*z=S#7-*0N<+*dZhI=`#rzrt6z{^fMa z(7(I{Dh!x6%?y`AJ)P2-W97R?;YJ!g68U7xkZRaE||G=CyLO6W;X- z;KZExRBd}0z-#x1Q*HgG*Jg?I&1mTgz_@L3PL$3>0MF)jPdTS+8)0j5<0Hd`?oWyv zO{KHeL*&Vs(#__Rmr4K|clBhWdweQBz3JgdKQa38;H>+FO^uJ4XO|x$oks%a_DnD{ zuN4Oy^&i2@!ymiaN3WghZu|A}et@`z0pd0b8(Gfge$PketB-v2ov;=lZukG%+4!0_ zb?;6g<$BS%W|jaR^$bAV2>7GcVIaA64NoW6k~IKvgAK&h*P{S&n>5YFUaje8*L)A< zxHenlBfGDmJmT}z{fhz4w&}hb1h*HQYXPihZe;ae!yMQ++y%_FBm1YFzarU`u-5EM zHT}Ave8;BA;hEuA|5VE!Iv#yG{P9EJ>baxW8|1 z#P?otpMu>p)AX80%x9K&Z8k*15uP;kwe7mf~t%Otx_Az+i4UXj5W zn*e_byi>Wr90h-Ll7v$UygxK8)SC~P%pJmb&gbxst)UJMux?+0pFG7)`vCdotT&gE zFA9RIZ%re^b%d-Hea_r)nHy3AXMDhn2iiCI>Cy4Q&uMG^?iiF3O}~r}b~isvcCo2} zQnKGEtPVnXj%o8|4`-_~_pGQ7_7m9nK#E&@WEdr0BZKZ4IX^rK1v^mqDtJYW2g!DF z3q)NyJn^N@Ql-zmy#@9VP5H1hGMe^scfw2XvJbd$PLu6JdUiNJd<0xxTfOC8ITgB_ zfR|J8>@IX}$hyPb2;OiQLJPt>@G*s^_qz}4*Q&-b~1O6RCq&@KE zdr}-;4IfVNr5w=OxIpA;Z56avBeYk}8}hgw{%|8>p6IfsUoT{`Z@iua_df?Vz4dRH zH#C0vKi_TFT^TXnw6lsOV19xD3^XFT^rQr%oyBoCByno{^QP5V{itr)L{hemii21F}C71x1+00v8+9mcu2 zlp2!pj35!RYoDDDS_Oni+^*94)5NxP(!Kx>nYl1)v1&s0tKcmvl}q;(^+uf=8Lv#V*6*C{N`FS_&6 z%wPYzJ$-2Di%WK3V}8*ZClE*1vP>6p`@@P+Beq!lEtxc|2_Uk|HrYj65@YK4l7(`u z!W%8yNvJDH4>*~DmJ*i8AE_sd^K7Fwc@n1y7w>0j_%uZb6j4Ib6r|&TVdS~2rU292 zhngYT#YB98npuAZ-Nmb=s+?f7%;KLz%u#NU3J7hcqUL!DiVufQM1ZtHG7f&eNQCq( zQW+{4HI=k?0NVvB7c<0eQPi`lk`fkuM3OB*p2;BXL%K}b_9%)&og<$^RwM(~iqS0y zp=E({80OJ+@Hn*Kpr1{$RS4P*CQi<+)R2n0F*knYA4fmF@fK4;i-~v-EJOjd%^gUeQbZhpj=o?Q{@P7%kZ6H3>+*l{wcn1Za2k4W=4=N%M zPNi+1zNq~$1;d#Ni?sBAfA}+S7qO>%fR<_e{^Im^|8{l!Z|T=SCWd<(NGCC&Tp>&7 zDJD{;WKp*|*inug;8(OHyh@`WGUsaLX~noTAhm(syoVx@P0S~x2XGeA+;$G4yG8Q3 z7HgN(ojuR7x|#v*RV^Vudah7(MKd$!B55Ac(o47W2m=LJQGzUR5ko<9OD{UPST>=K zXc8*B!586GXRFXU$ZH;_Ck+c}cYO<2SOLnltqDe+Og#Q?l&hKqE7s9@EdFteE3psbYd#wU{|%QdB(;s$z_jB*lj77(VIxFfYhWl_rko)7t-XyA9t z^W~>WqNzrqHHa#w3P@`)!s=ZzU*{A;Qokq<^)OyDoM?mxJyK~*@Ol(oij}cW^KB$m zNwXb{4dabc36w84*`)jYXKCLqzUgJk%2e@fyY8iV)-KshRM0fwP^{$6rsytd8kvaO zOYER@CUBpgju9ZgsiARCr2>^Ka^xohO5H7F5#!Z0L)qh=lB6!4LnKY8Wu575YMvlJ zCudn*iZMl2DS9-}-kn+aqodzD{6Dm(ieyG~;VzJlK;QE+et?3}+LDQlwnAmpax?Y)YQw|uX7on#>Xh3Kpu+{q&0xgr{)~&M3Q<3Y19S?;g6k{TL1lDM~?o` zAnKRKSEw|IBXk-atx`leAGC)W(Q#~`4+(dG2;E2tW5CcNNqUggJys`ZhytG2Z%<-1 zwA9;5RN+0^d`ykk&?BVK>AH34d_fw}wdsc(orI@L7*}8`UBDEhf_ULPPYeNd`W!7L z5H`Zf9HNM-;5=n+BwlH`jcvenWBEK0^{ee+>7`{xpWjN8m{)u>1K%#Mlx<7o63L*J zN=u0KO2j|LF>C=oO5p@`7L;C=BBYAF!z7Sy9xNvb8C->%=0=uOlWgD}I&?`h&EbA<) zI7HH!Qp-rdgC>^1?7au@WaK@uL!K+or_}oc zLw0YCgiE+mw_C1>!q8S}T_eOFq4r{+gzR}}&?J0nSPIIbmU1+bfiJ-}D6PtQ<*=p8 zHQ2xwG3S(_0D*GCdCR3pJyCGb23%8CzriXz#zeZA_zobzoa`Sg*eqr-sTM+Y^ z8C-1w_<_=6J?$mRVx2)U+6A1X0DiKE6f}6SYSA&d1ECHyjzkITjODAbUR;_$KpuD! z6aP+U4UI+dd<6?ZieUE(jdwk@=$YA@O}Z1=IU9()@tq(~okzJUQH5W_80UrAP+hVD zffr7|i5MS*+>!PI3uLZiUEI7L(ENK@kXcfy3Yw!c#g>uW0^Fz?BS!d4oR#T0L`DIg z7>i1<$S|@)eiTkikJax%p(j*HMD3o%Oc1BF_D+poW(d_xuaW%|(qxA;@&FC(VHCvM zcuc32s?D+SupwjCn#ln+eqm zb@xMVZn=+AZOCnwDMdzx)m<*Wo6>dAE|$o{rNi_DonbbZSm${|n+rD4fH z0IdHov5@Su6$&TGL_JZ1yv+MT9ZfZvXtTZ*oo$xJ1@Y;L8M(XUVNajQSvtgJc|Ae> z?U;#Kz%Hw9d71a}XR4G78-rJ|yAqFdP86Btb43+J-0^pc;>!o-u2yFE#=BvYtlzvV zkv#!LV#q)8P=Uj_Tk0heW@Sh)uq{xHNRXHCWj4$1ruMp*PY~FJ#7YC!h7!b5A*i_06=iZxGc#U6pOwE>&JLv#{iH!2x5ev8qK+F|Jn9z~X7*96RLA{VHYvW4 z6i}v9T(QYM(KbUn$sxgHwH-lcdCR>!SayPJ?X4WJw`D)kH83=mRWc#U6A|fW5n`3_ zpH!*PQ^W|wJYia)&gjviyFdBohQICn_nR$`N3+>PK8Ns27{6Re;YO51@Ucm$c^&Q1 zvF=Kar`F42m+0*lnEj;2h-EN~DYwigg#e_t;`6+sQ;DOV!qrgw*=g7?gISIfw4^#v zlgn8G42~wmTd;Cc!XTxY1Fi|_F;j}*>7|))pIk>&*xh`UHENKn0?j&nEMl+d$N72u z0*RBN*>a)4OPInZf&z~es0GQ8_w7EZ#Gj|JEG6Uz$@S_U@(rf5ioG!@Z!vb4EXdD7}7I7Y7h2iUQsH-hkQ9^?GUk4vQ$3iH+y3e9SERwCrXVZrdp`b2({&x58+9 zf)%y)F&}2H61_w&%@}|&{a}*xT|W$4jdBFzHu81PP)ze%|#YPS`L^JERtJ)9}ePi9Mk zqMDF3M>BFs8;R~_Xog^Xgu+m|uOsDjw%N}``-bf2aMtR?P%EA^CbER+(J^G#3Pyyt3kxJ~jhx{a0IyOcrD`q+%bW;F z=|;g19fNE*1-nHyV3031JSHtpcFLSGkyz za+|`*J6NXxI`sQT7>^|D#Ca6m&S?82w)&v4SM3ipXUZ6|3Xs+22hf_G8KLwNs|KbB zErfBubfu%;v3$|g=QmrY!pJt6@lX#I!!4c$R?1{3bc;Jvd72-T5SOE92{}ti07REt zd30QH+cRqM!jT>TtT@4MWgN^Gn5$@c?NBX8RCX<*3N8RcFLaPWw0HplUSjCkgkR3D zquc8SjAL1V_9esJj8JW`vr@q=xL=rWW68PPVWGK6hYE-dMSO;io{KsZW`b|(L5ap3 zffKqWWWYqUieEvRUC`Gg)$#G|LT3+i0oHBq9$}5gw*=)7E8w8BlqQ4wEZdCxRfZAq zFF<^C6QLq3(v5YyJv5(H-gwJ{28l2Rb*>d_DNui36COXlDzdC z?Us9(fhVju5rOIRm=jiD3#*8eRFB* zhDb7~$QlgK&L=w<$!3VJtP}B-@6d74pTQM?Hqg!JxU;0X0~LvKlJ_GzYl>YEl;)ue zL?=UV^Q7ehAe;6*B)~SP2;t@JELra~@vX8}VJiH$B#Ie{*L}=$Q%yCpy3;$ihba;v ze=Z}yKIfmC!(jqcc9Z6ElRTqXbT+<|w#o)!^|SB;It7i+1FXV(**W+^mr>J8dv&b0 zi;*Hq0cLO+eYsdy=a6`m>OoC@6~}TJ`$0NLi&2MnPSw_+ivOCXMN!(&2Pf_zONdZ5 zW~Y%5IA9MA`n{sinH2stb!b)JoTi)SSEW{1tgmC|5r<8QAhP(+%Z@8dgKXv+nw*?V z>Z{_+xc5C?#z&#|tDRVilQ&|k%eUYbu1h)M}{4JGJ0RJTIp zh%UW1Abvr)?(&Fc#`glsMd8JW9W%1wZhRHf!85dG>1cORNz~=980fz1)8lX5lK<$< zR-A`a^oIY$wqwQ%=xbO+rGrli**bLqdr{t9$e=dmv7|=YWcNer-Q76;gmT$qBa-A@;o9ry+W6w_>up z7dAwktT4xvl*not_iOA4bgUWnRGR(Prv#!iV3m1gDN)CBbLGJ3AOXJ2m}sSUC_#RX z5TbCqwp4WRt%_|8aZ#2UD5;Wj$?r??J{KR2d8mi&v>sKyT9^0*& zgMp~;tvx^8w&-WQH=9k%(iH6{TbN!xKS!mSkH(n`BQT{65PrG&Q8TP9Rk%RUqg1y6 z%CsfhQmKZ>8c)p;wGell&vITJLE|#y3llEZPid+^6Mb|bt{Y1`d7O%!rWHQ{6Fg@Y zX~9M86Bl5FRhDw#-9b{!C}8J8fDgLt-&87135>xIdNGQe3K)5A0I;ciRHmTmL1TWG z-Qrgv z2ewoBVB6J?{{8arpS^kHlv+9(M^Wg)UZd8pY65Mw)t_P2CH)%CuIVJS)vQei!gcs4 z>sRp_k_K;6Ob|TlhrrbB1Vo=Oh?F`>h1Tw&!JZn*%KKF+4{ih~CE_$M@fxElBKuWp zrBhMcRV{yGgmhh|beO6F2uLcxHEWG3K(TOYKSQXEcmagQWUZgcQfX<3e!`?CX#5<< zij#_pU%D~_b7ZNT(oy&z#Pm_7HD^fd&v+Z&Q-A* z-Mkuzh#6HP#MCl2B85t#J5&RmIAD}CxX-T|6mt{^bdnhkMavphxE6A-RVrE%+};Kx ztOJ1`f`d`1dIBLona)V}V@M^@`D#kX;iAiqQ3JWaYjf!+UcxCAa(b`P3K*7gxWj?ilZo*8&d_fBndarQ8 zI7AAWNP5Dqri{>Rv@T?vl#o_7QnE{jTB;@8{2>jd+%Id*aa_^rcGLC@dyF$QQFgMo zLlo!WBzBwCM5u^}Q)G6tS%Mo{)oKhPe4=2ELJQ)0*{D(n6?G?vwb;oqIV{NnvkHxf zwBN=X7wA=#OhYZL*`EHpJ&WSssD8NIhS}#yT~J&EX*oLtd9t~5r4b0d&%u&dfQBfp zDsoQ-6lZZgs?t;vH$HS5B8)PjKs;5elXpu-)h;`bo>U!TL@BD?GG~BV^MVSE!OkcUwImfew?KqnAVDED(WufK)jlguFRSghx1GCrY`c|w zDFXt&@j7NML*{FdLnHuw*bHMYrUPgeA5e+&=`cj+RRsbpMS4{9?>X35$5pK!X)X~` z1S1j37x9crInI#HOuk_baYbZw+J+Ibm}Fm4-G;Nh6e2CuqoE3&6ssGst9J?&3Xki+ zp%2M5T$~XFyTaebbh2vsjs^UHQB_e%Wl&sAfy9L+fz)||_iKbw&X_4Gf~KAVT|^}x z(SgXHj?i|^lhTlG%;>hm9zq9%F=2)40zj6!hEXwdTeN_?J14LiMI-n;m^|(3{?}~R zKK$?LKNxQwKf8>8U3smaqrfNcg(l`jK!C?(sQ0EF(NSB|V-2gQSP5`o8u*Z)f@B1L zh_}xnp(CpOs(FwNAoH-dvEP1smr;e$>S|o(bUA6e%bv;>m1=?nV<=G8$J4{n5x;6K zJ4T3vkrGLe%lK7kaOqYkrZdLsGB7*r=tvN3&*tIp*yr^i82k{xRW-W#V{<*B5}XWi zl(7n9m|L7ZvRX=OJg#t6w1$A5PWPyl#jKN3!=QTm;ZMuMw`_aq=3%NtY#ym46xfhi zK#l40RjNSx6*6M1P}qBLltUKH<-x||M4UHQXJiS!f!-K`UrcLTa*obgtIyEUrrqKAwVkoK7^s0LUaP4n^vS{_3r$HD>0f-2oI zK(E%&ewCV&dD$+q-~au^-MbduH448aeF^#D2Ack>1+@@UIg9ss3hN zhQRn?&&{tA<`bBjyJw|eBiRAUuWGl5nmZus3%hbIj_?oqQ3hqih`9*L@B#Q%V^m4f zSY1{KVv#fpxiBmZE>(IT3CicuD%b~vQJlj>`6vd9l=WDVw3Js15LfdWVICaP;WfN! zP&XuYL0*qR9`LK0YnY5KS^JU}8Q}SHP*MrTuyQ?-xCC0$xd9I6;-6 zPjsL2$l5rq7JNr6;fpql^+ zRtY!N2_w3JqZWxbva-f5X4K^a1Q{3`Z~>sZvd1 zc)X7ik~+0rE&8WOc%!@jw?DdM``gvu-gDysRde2+b_k}CtwqvMUov+i8N~8)K5ClS zol)}8y=S-M59M5--8L1WJV)VJ>gyd6L$OmCGP#> z+bFMm;gKRcvg5>>@z{CnILSQYu`_a<5DUXc?b2P%5ohrIfwhq;5CeZSQVOpg?K+ zen&QK_rCA{ul|S~NtQH6=Q-zletl14lRW*4kRxTXDa${Tm;WrZ9ND6EWqx2^nLJ^nFJHX)g{yo-dX3f2j;hOayIQ#U!x zTroc0QTz3sxm(@}ev6Ph?DjtG6N$^?qvo&LX_xbY)$-X_$4AHCIbEw48}-AfMfH1U zUvUocL*!`u4Wo18C!>c+xg-6U9wmeK$u<&sST3~ciI2*A!(TFo$7eAf+j`i3<3MxjmA)j4kFc7< z(t(dh$xv@i;=s%m=eYO*GN-piz9R0o%ug}*&aE>;^=kWshS=_x&QnsK+w~lFKeWFL zHm~_Y4Zqm;3G>BUh`StK7>O^93^uv9OynMVqr1;MJh|{w=^tMidSrsMUsS(pJVam8 zj`D|-_f1Ku3DLTDSSswe?zEm9>wEjhpSi8Gy6x_jFG#rb_vYF9d$uNrE~((Q-rg}0 ze{o@pCGR%By?9MBtls%~FjnkHj2LC&dh3LAY|nVdE803yJgMI`_ongl$aHJxKi$2y zwoN;|)3~OY&zW5Q@V@qahuWXhe%|#7YJXKe+daQYn_$}8O?TJZ@>S`WH1o2y>1_J$ z-SuCQHr}*XKA{gwuiSExwfFqnnOo*RW^}e4Ir7QAOXNy+yZpe{m*STR+nIvN++e!}d?aGumSBa?2kX z!|ua-)Z1zz?Gt9tnSq~wC~@M3O&|DNQL8;fk32j$qJ1xWR%-u`GiG+r`F;APRM7 z_Xgb+zpj70vAy-Eylfl8-R~{zYdX<1YQOHDkE|nO>dk-tiI?R*S3euoF3-;>b;3R>z1zRD|Ae(wZ}5I`_v;O>_5VbF{zTi8BRl&u)}piUXAD2aU-&b2 zA{B0Z;m>+cq_(SH=1XIX)44Afua52A9#&u4Z%r1Yy3H_rC`!-&4>%Uh~lgeo36(JMf4!y+y;1hBFELuTlEq&F1_*Y0qiCSFWA;;;nMFcII7s zhg>fnHeV~8kAI&YHWv%$#qWclfAPgDiTXHx_FhSBKCngIueF{xzHeXB2A&+)+`DD_ zUhOG!r1+KAy&V(g$kGg+*mKpY-Q8}+WbO)e=;lmffy$EV*Lr01 z#0XWCm5X3*=toP^vUq~mQN)fj(z$h{k6~3@h5o7uuID9s6bPJYf^U>rogN{ZHOz#X z{6nh1lTwH#K`*b#U54$Lq&RF9taDV$KEmAf-J;cE2C-_gdpZ`}%(T7^(K(|JbcBUeE*Yw{fY3p zH`1%-X$|^lSj-<21ffpwski%71*qNvwRH@@3D64-J^nO)tz?2PCQM?89Za=&4uG{S z1W~&5ehb)&9}%$k3?zL7!OJKBS5qaZs8j#~;YfT4Dw<#ccDVN^rhr0y48I^VAgSK3 zz-`%(k7B>4g1hlq(s&tsdDWT4-)_16y~82zUfjQ(^r99jH5rL(_+Y@P#T3>Ob=MJg zf{qgbcTy`AE8U4LaeRIIaT0UTT`nKv$_7?P7y20LjK_)9Mx{utbClWTlrEsX91G70 z7=eh-yED(75bh)y?yXk^;av>mLEemeU>|G5{!gEGXNDmqdd2NVZ8h15J+PtmbOdi) z5Yb_u!VsB%Gsm7)tBl~zMH1}fDGXk5d=u#JL$<`b6AMW+jKOfH%s|(93lox?@v^9- zd3P2_4HZ9)0S@mfL05o_>_kUp-VS-Atlm|690s^ZH0sasU=!|4b#uYH^A9f)ugkrQ zeAQS2W%J5KR)1#88_(SS=0{c$US(rJ+)n0e3wfqFTlwIIB*B#oMt4w*U&fBAkEc_y zx7qd1Qu~5&l7+rUiZ$Gkt`G~O2x}o)n&Iveg_nL^N6R_BA^p?LhIHvmW;b=?-)3kN z#gN)7^3i18t{tr!O{t9>QgOdW&{Ekn+&RbXgfg^+#4fXHCSJy%YKGyeAl+VUDlN}c z7il?Nc&>4YuEz@%Uy+eRJ!;x-CuMwGgZz}TxZ63p=uM24^RGHb+EcMtjSZc32AV+@ zNpUOT4&)rc$F&ARhsnK4GPDSujK)4H&1E3td?&T&k--fruDf^#m}T%nYe%<7X&qn7 z>YO|9X^V7kdj|WLFq%SOl-9DX#5hbAVnt<{oM3k8m8M6Fc4T8l-CPVML-B8S<4x9) zy@UI|_M=~Z{Kj|wT`6hs|BwHy{ndBgzNb3=+uwZz9BiCKgpod_bgBa(FViC+m^acm z_Xsy){#fZ;<6*y-VL?=d*guBI0bwq(kTLI!BA8mAn&=R}ungypk6Zd5`{o zybm%|-lKRO?@{k<)yD^irLb7O3#!A8*TTDG{|*=wZpB+O<) z9mlQDk3je<+Zqz8Fc?8iBM!EwR5x9w2BprJ|5E8w}e` z)@w&7cq(?yAO{SMuAspYqa!Q_cQR2#G@QwTHqxxI4Wp}G`xhsst8?(fmQ-D6=3w;_RaSecD*4(jHR1H$0DHV#|D*1sMAiIM1?%U*HFQS zv0F7nYzea9vlP8c&Jl|qYN|9QW43L~V5g53wG>{T)px5gDm%e3v7@<9n5W0M+F&En zCPA0B@lg${d(BqEZ`JeiruEcrqRu+G2l=uF$Ge{GVgtrwFKMck>XE?;ZejPMBR~)V zH?%}kwz!8Fo@AD&C{@VV%ImhbMk66PvTH_^Vm6^VW<6?>S&NQRpR&gKIX+4iM;1K9 zr_EvF)AUfKV`5LkK)N71SdSvh6rBE9!`8z3d52z3R*H7cY2;1<>Y{Lcj%U_qP#sD291g@0*Wzo#7eQOk#-M_Ns&IffylM$OekfEu_iZet8MB!8PmDC zm9`-r43M2v!N%&?21RST&`uPeBct&T^dMSxH>$z9H(o5le?f6jSg!Ag$ek#>&eR$x z3z8YJ*+9<#wvs!}j2Jp3t+8HG9#<9&5qjKU1cs3TWOiB(*fDcGWLICr_%cMa%;YiI z!CG)*-XX&b*TQDo#6+`cEI$8^p}ckYUshz~A4SP6TL&I?7LocoZ8A$5q?wTDgA&8| zl@ti-Kifo87(_l|CAU)O5L{cKjsh>iMX9`gj$x?CFUBgJISM86HDJvAD8y99WvrA7 zP9WuhA`BCcX(dH6%RNCg(SkB(#(5i?rK^e*hp1ZY1hxjvNL#q()$)qpfsZ>IFU#CW#WG(TOO6^eVWoHA2#HcaR(eTL+RM)&8xz$}_Gjbh1 zXNArp;MD0j>4^K<6#-rxZ+VHOdZC+3H18^yimvED|J1Y!bWrp+aesqzhGNLRNu|b7 zhV9z^dbGKp7d3f}k*_6_>K48W2XD!k4Tn2uPpVRM&USMZpusI~QX$d^QQ)^|6>vmo zT|Md|O_W+tk`T5BwL9`jUfj3=o%M-&x|=AUE@GS*V4LXNZ=V#-jD z*`u^BBGpeuHjcu}jT^Uy3_X!4WP2lYb{M?D!M&P{MXcQ%(N(Wr0lSJe0&AwW#;Pb< zsvc(zj%uMd-pC+5*jpdPjxw>?Ig+XV))#;M@xOcbhv^j!Tf;Bm6plrtgQQTeCu?XG z^Y=OO<9bZh@@_L06RGGC*~cWe)ws+c3vBSMp^)H9v3wIZfT|+$gvbk$-USKFY;s~W zYpLXf7#c`-G)g(}640TJbk;a&!W7kaXgw+Iq77!cgN7%$1y6EXgtT~Y2)WN~JSv>?3+A*OIv zwJ$^Q)X+d3Kw%CB4Z63-a3RVDf+LI5nKh+9(7qhLum~==N0(^)1g^pL)NghND*Dfn zj_8X1MPfLyP{3{EekMPdzGxdAB{|l>`m4EP9OfS&7bv)!+p05{t)iX|0xnk?$t|2h z4Rh(sh5xFCM`&UgN&t%y@ec0ZCSXOC-p>?09xdr&Nsx6%DE)y9Wo+W!(lyW4=|`=X z^o9r~Xojhn=i<1bS?yz!sqVq(9z8vUGfh$q`bZz?a#UU3z*TLSJJyVtAz+aT1EQyA zTckvDUv>ZWS2pi@Oa23ugw>Poz_wsdm>Oj1$}r+0&|q%F<WTN!qkf4|zl!=;C=!}fu<20}BB6i?c|rWidb!ZZ%3yrgSThZ(mJ|&& zRB2RDP0l%bSAB4=1E_=VZDF@0(s_Fqz=v|#@ENfgc+wiUnFrH(@dQ&txC@x>S(rra zxNM}0if8MFMK|ZU+YlxyL)M!1oE@t)24wCMRSKatBrdqX-b>I!B@6$7_0co+S|n6K zab84iCT3B25!eWT1m!{HR{6LQmVwoJRoqwWWUXexj~I#V#yG*cA17JQMe7V-%hM?J zy>12+e+X_Dfu-fk5c=v+rHHVD3W;VbKJo8+zu8xP>(t6w79gXUP^8WYw$U|crmuB_ z4UwV}387$C+^&W$=yo71gRw{zwfkco=v(WW+W-*HJ?Sd?`47>kJRQt)V%nTMdK2mT0sK63a?=gP0e}wa%cO z)|cz$>75LO@6b3;V%DTv>p)-|INWZ|tg!@({@k*Bri5LnnE%$LGMK;Q)=|w9S$OQmPz}WByc;gh9ZDD27$w{ykIulhoIj?5bV9l z5>+8JU#ke{yaMNfUG-W8m+~dw-t6Hybu-13^A;|}s$&eeRiIU27xG%_$w_(Ql6hzIXeWcO0ov1Rog>`%%>%#v`o-!?FTbyNLoEJ`DtO!SO&J2KR&3F`&*Wis<1aW1*C>DoeGk2`UJqyTwz&upF_x0K&t4 zswc~w%SyPf9YgZa#9%E+){Rvm!xGu-+I<@SPV{mhi-3Nk?5yv@v3gJOWQm#A<)!~v{@8}cV=J=s?bA?JD<2K+pn#|X8jbd~Yf3rK^IGC>;Y-xk z0Gv!>0tIS*IAwJMj)cFJR07@s1@`D_839=%67YQcIE_Vvi5}=7^ zo3vL*rC7}vRV`UJDxJmzoG{Wy$&iVdt3uD8A_XeRZaq4L61o(Hx6t)2qs+lem4M*n zplN~&C#$OkV?7jmBhO z8t3>dd4^lb1~TnAUwJM1vQ#)<^Q5H6RGrpF3G5<;?YlXa$I2%&@4-RxPSeLfckTMd zQ!8RyfrGA?N@ggaEP_|hz{!udF$gW6j=NwVO%kaaN{lVz2ugZn2v0;Xxe!ym6tnvy08Z>a8)s(@w6xl(~d0-IY zlj`7Iv$5QGy&BJ`VhR_Tb7R1N*Am)YaMn=mC|i$XdvRaiH}?!8&NEZ@keFu>Q$5y0T~0}mvsyQg znj5)O3xE4%J}x2h_b}l_M5@dSMurp*6s8v#FyZ{f;&(2n)r+55Jqb4- z1o&8tO1*~sV+kG>lq_8Y`aazP?hm&lPh&=Aifq6r4l15F^B}Y9EroV_MO3zdW5(at zMx4p_QQ-`ZWF!_fGUjErOio4i4gwWAS2b1A>`o4S%oT@WA=5aVP)Mr=<}tgTW>hdW zK)moQu=#^T!<%Jf|Y?OfEibsZ#?21Bwtkoz%!+K9$kFv>D@+ru%ZU|>s z2FwPi8$sg5wxG4V#_ zNGGywXCELWV2*^aC2E2;X0cI{8u@{t=CY5fdvOa<8H3t zd_j44Ft7-hB;8$-bPZdI!{k)&yoZA} zbEkzzW1g%LY>Z7NT4;V;-@u{8C1J-A*c3;cyb=d$R5dszf~R=abR&aj@*w$T2D<=N z9{HbdOSixE53vD=#uWA}ag3mtaVJF*#|X(N+jYY>~~Li!pcxWYOeqAlVYtJuV045rT2*l~=M zPdCGO1!MkNGccz4K#wP<<-64*g?~w%)St#40EfywKV^ z7LM%@zn1ANb6;vDKbDf4Ig&TB;qf0B+6Da~LnVy>kK#JLM=#|8;dov*HM@+=5FXQ= zmLeTyGbQimDE&aBI zp*5q>$cHrB7e@^h==T;mMwWB~OkrKE%M38q*X zpv;mqv&P8O%vNo14S6Vrq+wA%#zIi%VK2vgJ>0$9p@)Fr+-XI)UTY?jcTcMb3S*>! zjbOw>J%RFNY)RSC`p^kd4xT1kfaMExjNAjeht z7@$?k3whI1tXBjWuM(|+;?_?Mz59#r{^sOkD`&Zc)nAn%M|@#SwV;-n{7G>qTT#pS z$P~X`Mqb5JORum6`+Zz5iSkfW%8Riz8y*BDR==y>%i?zF4>4~42bvkFC0D&fH4so9 z6+Q#(|ag?$APGYg8x~v^1q16I%&H zJI8-4&D8KR8~36ZHE6YuPo-kO&~(6q_vGH#B{=@KEt5BKocSTRC$kQkdV(kmYHvM& zP8#z|nd+Lp#XqV3*#}nyEJz5l&&xw( zd1Tgr+wsB3%vK}}`Af`mHBi+SZadd&^s^k_K47|lgO5pi)!aeHO?ue~67i%-#==LD z3i6ER1*Ax<$_4))^ERlon&ZR8+)64asm$4KwaNxI+N0bCN@@_@)C(n>X(Aa!QrNcw zEZWF@yBS(JaZV!gD3{4hL!+0JOxVCL2+QlZA1Mp*Zc4w#)uTxn3DtXHGxNu|C&zmm}z~nF*vSThqzxi5Pdqm^)Q`-rM%=#lkfdp zx%$rSt4exOgI;AjshZDF1Qv3}%S%FtCF8jcdVY(`*nVg!g9tNTHQ9q1xnrgmkFN?b z?)Jge?h{W?=UxWy0{@6(fwBf9S;vpKa7Ya7tX!=_WatTVq&{W#q*5mK^uUA$(lhC% zp>SKOlX}8*{EZw6eOSvKM(W&kAu9RlXN5RCnymV(aBxYTWVYO2k=pR_y!K(?Z%#Wom%Xipmy zRdYivEbi&4tL0bpbl5G_blUNi@Ev9KIHZdRzND)#sv|9^$;4*t(WV6xPOPM?aR-I| zZf+-GR0-A4vn7ywUmbLViOD+bo!qjUjDb%fl)MaddCclE#jQ`cjEqpeC7`J*KyOYx3g5asD^ zr{8i;iY++*UQuR|KzE0Zt;*FyeZMoYGgyI`VI>QI3V0i={Y8?4@UdKa8gVB0mW0Qx9 z<^#`dp*+(dmLv=-8|~w{jHj1C3w;!3;lJ|`4DBsW2L5YRk5}cqz&7b@8z1I{5*(;? zrhl)=?+~`l*-kuj>*?}tv5Egq-bc8aSP^*VU@G=CbZ8)B>`6S;36pC=ozWn`Ee7oh zI-WikU~@gB`l_kr!QM~P8$=COcl69RdaD!f{Gr$%0t00g@D5O^UW?`69z591Lb6d# z&lssrpo1A;tr_&}Sb2LGS*SA3S7UY$mmuh(0O$Qbk*9!y12&5`#2Y&E&0#^)tJ zLbB|jI9s1UEqaiTi-oNW&PlA;qT6Wcwbn@*BZ7i`$M zVc=Axq7tZyICZuu!^V~Jo}Ord4ZQ3QGM_#`gLKa8lTkITJex#~GoJ>=!p5p4dapJt z*&Xgbk`m-UmuMwrgkN9l941e2m)S53Ugk4C;+9Jm1CT^J6Rp+ℑbJEDFyhMq^k! ziTFQ0K_`Cw>&M>v*S}nmqW>hU0uuemetPwmDpalEfls!tq5~u}@sX~tD><)9!^>gF zM(C@YbO2GqrlKyyVz`&Ph1f*`;JPAAL~@uBgXUf-LD#g1r<4E!awZVySQtdPub^5$ zcEXyMQhRl5(aWTG0>u$(fG*pz^9KU;Lf7{t>ZH9CBLoUBRySq>xFR#!ZLVmmCsKUm zw(=F^T7eS@S0?RKd*r*fFm%KOLoIkQ@7@%|3h>J3IP#;L+;b@FB1_;2wtsxeD&7I7 z3{-F&_^O0o`87r8C@xFhr)f4!1#o3T-8|}5+W=u*JJAI3e(9F?BB6hH^?e;3YM~Dq z2nY%yR1|#$z?uz`XBSp8r9dEKlYXe^QYlmVRUThe(q6+YDJdCOtH2LgY(}OE(N!rs z)yU^O5Nq2X6yu(nB41h{Uc%G@p5iCHdWWZ{Na@x!Ep#=4D|C_Is6nvUl#;UQ)J2m0 zJ&J`(^A?zfnNrKXu^0fuGodC6DyQC$7KrtA~_N|Bv4Z*Mkh6bn*F+xkmyOerpAHdGf`K zt8r$qd7Xa#{ z*-9dt$LxLD@6oM&l1W9jDcVVIb;edi9h&ZuOId-GGKLcNA}CQ-^mvYUxSbxjg&upj zxCCyY#wqh2Pu3-LiwxZc&(yA{FZlsi0k6>RNGIDp3td9E1*BxAyZPbw;n|GbN!Q_2;M2k2_8RbTn+U*A z3FMX>+~+Ys3zUoOK6S51@~~TSZ`{MVl3jvkD6p>>-A3rLmo5xlW}Y5<0a;solm@za zwp;bU*-AiGGP|y+k9x?#DPc3y18hKD7wv@@@>`Z|{!WoSyNkqYT2^nhSM_)!S9I72 z@m_7+6mS_Wef)bhdpqgoyadbMwhG!X_j!TCVe&N~UOfuq8?ccDE7{&h%#2jgMk_tU zTkaGoFKIA}@q&ts*8IfDomcKW_vXO46``)$UJ*hSosh*)go8|1E$5t`{E#eqP!TP_ z`gYqZ?yQuF_3UqoVQibdOh+m8a@i}ucSW`<$hRb18Og(_`GU^X4yd$ONdfRR_8_U{ zd*245!BIv~aYGC#cebs#Dqr;|yQIx=&kBzgfRxqL;Hn*N;ZhVLF4^I&Jfq@Sz`pQ0 z{l}+Feh2r2T+Wg6Pk4C*zI_2=mgDD4wNxKSX>`OX;WH>41l^P&M?UuE!r#5~ztevp zXAk+d5(pac8IZ5B5?UbsISvVqAgM9rg}{tq#d?FW3frK)%W!faPSNZ+-Z%qWjKNk9 zo~>vvVv7|iTSr{LGriP~blK2sJ)SYwk_Wk`z$XbRF$mRCG2LJbUD)^#K^BX*!P?b9 z&LwLMbhe130`=gpXC;^i&e(@wjWfVzfWOdUcOL>awwBdS$-j?)Jz%y3L05FBw+{0~ z5lRBjsP?X8X$vu+vKG+H;{rW1VYdSOfgBt<=84(UCG-`o=(1-h0LnIVFAl~rE8*bR zO=})jrZFk^dMb^(+W;t%C7}@`%uX;mqfnUSi;0!6$l=%{vvd~q}-4ZbuUU#?w64%v*TWQ zAEhoEDpK_P;EICpgXF!;B5-j@^o_9MJN`iQo{E={@&8-)Ug#0+^Mt9)OF;<51v|mHwC(TE)d)E3)SmQ$`coh43BFmG1zB z0hpOpUGL_oY@Bg%jUF;a*OtXR?|9Yn^nJ0Bl@#7{j_kjHW858`KmP2?Tfh6}4a&-Q zfPZ}(&&=uA4 zg=zlA*&I{UXe`$cqopO3e8}}?-6yRyN^L$&=B3qYt}~&K7ayzB^cio@?J+iDj*D!q zZL1++4LhO@bn3e6XFDvgLspWdwke}CFP=gE+}y1xleV(Xh>b}fG;Y%M4yE`7Nt2?z zUBy;wz}W6=lH$C}F;RHYh8g7#Sjc>3xQc}G2F6-!MkmAI4;VTwnWsAPI%9QO)M!!H zsTt|RRy~@QKExD?;i-)lNKC4*7 zX%R+0n)hvaTEa^I;)Pajfi!;NFY!+!4f2=}K-0UYC>Rb1;@iY;Lhzcq+pd1`FVEhI z-@O}_pFYrre@<&yX-f4gY->2RT=(GV<;6#OuU_}$gZuY1JhziQI;X!$_t&<)(fd(4 zAva@7&yMX&Gs_n~&$jj+xYD`5_rNa(LCt)+4GUdIK&ZSpwajkSmmmB_|3`O#4x9CV z4f~vT-n{*V{WGPf?7!~GWqIPd(|93z&rhCQ+yuI8*8ffl9SQFo+&=)0>*Mjgrzfs^ z@~V7I^OMVyPtiSZ?)Vt}M(>ZT{&$Q$#x;{2SNDJ^8|!ov?@B*9XuW&jN0ebvYQxQo z4_|HGPx{~K-EZ~(!2I=|kEjz5cD#67^N!`Gp8^y1&>>mIPlMt`wsjt zx4-VX*QVy4#&g1NJ$()SdElwLtv9io%lqem{4?t(Phy{reBHZs_dmV(gXR85_w-{8 z(cF{wU{%puSoiwqfd_xs_2%i`hp+U0H2;)*`rj_#IlaBh{r5L4*M5ahH;)zdrZ=hwxG^{3iLmibfRyT3oZ{0cb44}N6+=8<3Y&P~2%f#aI*zwX2>PhEE! z#K{kS<-nuio;UN`ny+ytleay1_KHVFj_2TuIPuEEZyfmN@Wc~ecz6F}zd81wE6P*N z>K=NQU+SMLV!aZ(nSXjP1xE?;=Wc9)y6{W-v9lOJ)jf}MB%j*Nt{=rhCVI3t`NwSY z1#B#ufxV3*Y-pd5^F4n>FMo=ZjuP$T4g0;CDo1SL2Ub-T34ClUL|IYYT7v$Ypi}@BDV}-lk*ZQBa#r zU7f+4iF}1)hQheaFwVsPWON3ft$A4-Ay;Rvq3+e@Z5Ybfo!LS5_j@~h!VBLz2#q(f zxe5rhc?bOuCMCl1)yy5mE8(4!+j{nMdZl-B_*imt&xxjE%{!XDl0=?tj$fVK9{uah zf5E>*_RZ{u??2LhVI0Wewu22z;hXRd&D-z}qyOG}Wnus6!4KAsA4SZvm{_8(Jp6X} z7>c-^xo7y({Ehya;w9-w{%G$Jdg~4>f%<6A$?h8IYdrZV&zwcr@P_qq+8epfUM^hk z9I`yl`QD|b!_qrecl+BG7DbTHl06;hfKOc0+;o08@fB7(efqQX8a|Umx&>9N=(n)f zf-bw)(CtT^#LoVW=FWcaaqX@xNLfNOSaH8BJ>dNFuYZ&K?mv5epmdYL^&tpJkO>Gu zstBS#R%rx4o|#Jjx|dL}DtKn5d04 zTghvfs8U;|+J?apcdMjEJe&JsITt->vW{7H48&VX9KnSZE0gPpIODaH3jae)f_;09 zRzZf03|b^RP1$ZPfTti5(l2Y%A$SucvSvTR8maKr#`V-4v8Q{P(H_eUOZ_3?5OHMp zD6LcH<5|{e+iD_2A6pBf0!g#>$(w6hz)IVE&%fN~(yxBNNI|eQz zZ%qXB0NWP1-uzhW1?{kIS7ewCUhsUaS=graUAw5Rd%2mg zb#`dC+h1qq`9?eR)tO22?{4jL{<=>%&{cEBoO6pKBE5)QoOMQ$tq!$O?pw5$CWr8) zu)n^kIO_V_^mU?B$Kp+iYgMg5yD4S%nn`!N6qcXeqIEW(?>=NQmTkJ19#zMp$3>Qz z>K<>;=t+IVa$2g*!mDQcgpoM%%Cq{O1?`zzZ$vzbJ(nit!cTocsEyPhuPS* zv1ap(b5|#OMc*-9*y0|;C`!cS9a}_Q-Z8va5=R^i7#~PB8GR@R*oomm5Xf%k zX5;G}L;>M)sPW5P+z>8I4jk9N+}-#bccoal6%hA|>Cx$t;zu)fpFI7N*7eJ zT|qM07&bPL6K7h*=ff}(jl#4Z?g^5eg^$<#Rdwyor>5S%UsF2X%r<4&vFBrwaEq`Tcs>Mi8kX|A5> zuxLk}8oViyl#v>k@+tF%rQ?0Mv7nPt>VVa(*}}L5}Hy9dz6r zHyRQ9yh=FNt60ubK&%E%ClIyBviKsI4f!pP+GPa9-zVfzqZ~pI#5^Ut%GBpk1To9w z{RizeIC>}}qruV~GK(n1SjrjEN~O!u$P-AP&mm5$JSysgJERyr9D$))t8Zc2IK@0O zjMX~hMsBMrX#ZHFBN$~G@0?9TK;XXVI}NJJ+Qao8)pm*siRn zkuKX(y92ii8$R;UiNAbvPkKcNK9eYiGe{Mlol2(jZFV+2A4;}aM&=XbTOHOrgV*&^ zYvx&#;mc6YM%dMZ#)z6yHZ%-62aThgoEe?zD%_|2>2}H5tXiAHT`kWrzma6IO6^d_ zt)JOW7ry79H?!`Nm@wR(+NfLXBpurxDh_Lt>BVBA&$zcfS!OO%P+F{^18F`VBe|I= z3S}IhvS$zIS*fm;)f-1&Lf2k;Ve97l%V_)<7bl%oV{#-q(>+AwVCT$3dl(tDXh1X0 z$!v|zL^B$@t0NIRBzsNZcW!-ArXa~q?+i49gXmlOaGO&lR_W*G#Vf_FmVJp`7Kg53 zQXG@S3$U;NwV4{FKK z8_oawIpsIAtMUwLtj==I5R?E&I-sa)(0XWCmc2AT-zKa~$vA!l)xwZO(<+!HkG{xLV8 z6EPOFSz6Z$6JM$Shfh}j&6ifRO1QWWj0Y!G@iakChm^TUp4}N4L}{+uY^oH?2>Vup zK0ll-pHy!Rsl^&vl-z^HTGA#jchp8Vm^JFPyOUc*t6ll1g&vk{#Cl9nRYmvU&e-VGYmg)=rsxptjI8Xbv_uq@p*s^q*+8Hd1+a_NB=v?H-ug zBk9}g##FIfG%s{q&Szs0Z2hu2?L(HFd?J~3%nwDC{)JnlfsfdGH0|S>Enn%_of`d+ zEwZXDpXykYTIblnivHE=5b=XL|c(XY&NUlwYX3b>8)pD6xn(G$b_bz|u-+x-&dwf;KIa3a${z9&8cvj^SrmCyR zc|!h5pV4M_lCb*;sj9Y^Q7=+KbAuD6RA#)@F`@Rkk$-g9iFTVDq=I>AS`!*7M4m+2 zb&C}XXrtnKP~{I^a4&`3b?jta>=t^W$1sPmt|Rq?b*T|lk?I<&oht(g5mjynC6iIM zV7@Ru*R&*IIXSuGkpq-0PwW`#BV{&<0}b{~#=fi^()suKL)%6a(J8kRd$y0dKIc#6 zjA^|Xvkj{*`>cFaIEFpI;!^P(j`^rKOREtnZ2jO?M-IcLOw7F+mPIJADmN~j! zxb30iKfhGn{Oqa}QO`qP&*Uamu|Om#5I;EFyX`sK5*x7|h*{B$abzZ_v{gz*cBm!E z3b6{!G?=TuRI^Y>Cq@3ZQaUoFg_u3a9arYgxLueT>++{BWY;*3Pj<~3hYf4Gr%#Oc$fR4SkQ~_`dtP^YG)>3|0{G4ZaOo>SF@P>FfeUp+)Yst93e-OWh)}r?=)6i@ zaxfQU%qxjz#!R0Q&|+pknGUOw?S>8f-ZJLjRi&$lD4ff#YX4Hc?a&`pzxef4y;vM7 zYwn4s#ln;7sr(6@#RAT~4^U&!G-Ctxmde}H$&jE{xZ1z9HcP24bv%63ym&{Y8t)Zf z-c@GIZlW>2#OdwvG#I__WlgNTrm!y6;ts{k6uHZEK|E3(4z#tk1wx(frRn2h&VE@x zW`tZjxp^`cx5=}{f^60%jCPHm)VP8Ivr}W28A$UU>W@Ba-e>oiisL2giqRhv%36Jq3J}Y_6>}6EN0}J zd-P|vy~-1o-hgy?Q}LpF1(e6OiS|Cao_s(H{F)%i!H07 z`Ux?3AeK)XL9LkzNC~Dd%(_EiA`Iev?&3B2Ldaxh!QjZPFSNXfITi7|7UpM+a%4?O zwchB{LJJ?%7k1~)=|Tb2?NW`jhOM>I)G1h|b(#;1POXvF9GpL?XNKZwvzQLLajd~) zKS1(za)ekxxT<01@8`VJE-zfA_87Mo#%kI5aWXBX#Y?W6=Sk&In{{@9io~C0QhTvh zCxMt`ua~+^-(yS&yfCZ!(^kA!BEp8o=VYA}v6hgph zbnIWyGV(p!CyiqQ*^#Z!{P4<;Z+!RVU8|>vS2b+VHs{CbLYO>FvX4j=Ma_RAIXXlR z*(b0{@xC}Kq`z=u{>!u1gGb(K-RlU`8;SL;dUG3VjEc6FV#pO}RpgNDPdGXihiQeB z9cuu+Mq|18?&P@fw7FNHKRtlCu$%P@hI706>bSWftcTf4z0v|&C}e{v4;q`1XxE&( zwa%q^IwU{QcQKP2kvACHXDt_PJpgv3qI5xp_`A|nAIWG$8Tw~FaI(r_76^2Doz6s<# z6r8S!O^%%w{e5#CH>T2qX>F~x)kWW?;dHCdgT+1qo?^m#k^7SVp<)`k2|R8APgI2$fk8 zH8gLAOfeX$Ky@`H$RPN={v47$#GX$FScnC5#j(L=GS4NY4AbgP=dhPj@`u*Q^GFuu z#n^BLsM!(9WFa_!Z3jp#<+Vmyslz^~I>s!9Y!hBa5gNdVYU<#{M5{k0BGZDq^89IP!#)k+9YK16w*{CLP%x?GNAR8g?7stu+!Whn{m^= zV!EhWjud3wXYe*W+NaxoO~HHr`od>^@J#hhKgSDtw*Xay=~%wQR77_`!Rz`$ zc}e4Gze1{5a*x&)<`qf7r#p?>3~~bKP6l6!Fks4QHd%AMAkUGw!tn~zx~x$pg|E%A zB{8UV@`_G}61_@X2$ehKys8FGA3ntv!lcU5vS~0|XZZ7GnyGW-j1#OiWTygq9c{s9 zKz-6h1(SmxfJ>HWdn`EIV>^D`?$ZRdx&z}UqBIy9rXxPlcDZbZ8cDeuCFx)&2>KRf zjTofZgXoiQ54bcfyzv*CjKBQVt> zbs^y>n1Ch#WLEn?8}@4%N+jm*w7pG@h9aS^duJ3)AULiu+ux*?o3j|A7GAJp*O=HR*jo<4E&XBy&APKf^293!O@y5vP4u#}vEq4PB zSGnQWt>>Vz*tUm8oP%DYqduiCQ`=K@eH(Gp^Y_8zXel1YCaPz2Cn0 zcmM0Im1`G*CzJd}RkED86Xsxi8&q(aY11!|8V=6M?HZ}yD zm=MhF;-IqaG+2dN=7P#7if?S8+m!S|EaJvgp8`@kN$@KHQ%sY9iVlKA+6mV5P)jg4 z)+&4D4JrtNxF+RK2NVqJJHk#}4+<85C<;pRk_Z%8?r0!ee2%pVgqOM^MHD=;`e zCi*d{0-Ir~ICRub$g#&eT?2II*(}zza3K5uSM0UaQIPf*1yc@K*z@B|b-DOpPz-&( z2U~8+uwuO2wFo#yvMl7Fl7Rq#GXdM8ZC~0SD}?&fzr1tfTmSjX+it|4c{hhvkn(z% zsTgVS5JOONHb&!(9P==G0BHVuCa)%QR&u zDXH#Ql zPUQ`n&%oAgyB`RH83EovO}_%U6nWZj+xiV?_Ne~%C(iz;n!H~l3)Zy4B}I3km?7{n zK`6r{vLH+qc6q2)R!x?SiM<=_ZX*x^Gld|W)!msGtFUt30*NZLsx$;(`J4)q;duMF zrU-#HG|Yfkdkyi0g7J_+f|e4fw*vNEmY*;&Js(vdZ4nk8t0fo>j9AfAj!=mRw2Ib8 zVy*x$2mVM{K>^<)-qI9YzlQZe^L84K^#pn7ycR#LBWx8GB!%H~zA^Ztd;X;Q>AiT} z(k&N)v2)wNHk}?+d@(;p(87&EyCla1e~kvY2GX`2=+T1aWAk+^D90w#7aclHcB-{K z3ml;>Qn~&SE8*0esxWXa05 zSe6$;IO_<_olB~4#{GZTd;7RH%B+8QLTQ^;Etz4U0}YS~Lz&P}grb|ewPuC^hCqu+ z(L3!{EtD#)Rc|Wm;>+%x87445AcAQ{t+m0R^hLW=-0k|fdzX~9>H7^uw|cwlO-tQ& zb=M7r+Pd!gI}_-OYkfY?%dgKL&u8XC!ela;mvdcnuJ1Y5Ip1T%w1%l7;5tO`@r^L6 zB~}?l$OQs-O(RU$0S8sUiU<@!&%$*Y1E^0yE;q4g6yplHgk0VLz#DObhfNoqMLzNE z=2d@P7`aUZ=}A2HrV(GcK=?7!@Nz?JF0sWJI^r?|04lH4V9e>43+d^|ct+_&mX=diKp(y|lOzBAH>;HW*T z+;XY2u0Llo~s;%JcvBXgCIr2+k>L+C_%cSA?4vEg)M_`GZ)KOzRq{D>G` zVy=3nqcBlUTeI?f_6wyYyfe#bA~tZBcvB1ir>2^Y-J4@#nOgB9?wmX-zrhT=WHFk$ zPm;^)Z9(hGLnszr%hmf1<-hdO(wtR!8^qf47f?4w)~;Cn za6v-a;L+Xu3!%EX!r20TAn))z?w&QoSyKG!PR_>kH{Q|ab_d^Nbr;C_QZn`t_AdDu z*&e*-K}&t^lG$~M`@Femcl>r?w|r(_Sw2C@L-L{d#9yjPN$NEF;U%dy=SUK5rfRAW zzD4NGB6UWRRtcD)S7?bS{bsn_xQz*LA!nZ8ai+7rc-QC9)%=3wTjBI)fSR@FaK~B^B)xuwJg03SoZKbWRGZ}jW z&7On#U*d*^(Vk{Z-?4TXQP)dUuiTbNuvsiY44OWr&Z73F)NhEiq|?UzON|Mp%|fvt zJYT;q0gu5d=}nE@qco91z-)BeF>yY>eWBwxK5tHvG0U9R*~FYfJC}+n&by=KALGw2 z>c59ak3jDBlJnB5*sHRK9^m*MdD%x2E?$&g>OgP%4P@+7;n$bTRXY;A7#b8a1$Wn3 z!TyuBH{J-grwd*J9VVQmOvkdM4l}jw1tFM4Dh&%BVd;I9%@BQl8=O^Q4Lw*dvkmX3J9J?>YJfpLq}4XreCRbo(n*mcX88O`V1KeBaz{k$>siY}9Vp z_>8Y}`GUSelP#^?jQ2|0i#qr7#eqg~Kff-}Ejz0^_|p*vM-}pWX{{(?G>@} z?7KeEp3x5PIok(&;Jvw>?U;v6yuXBJieW4Xvn^5nIc#g%f&XfM#^k^9_IcPAM~z z;0~$#B{aBA7TS{L<Wgn1=+$k$!)F<8847Um>`|m(a*VL;ec710rnO? zjimgotRcD>vPVOlp@TNF0uv?RGG;i90{bIBlcBL&2rJ{}r(t_2?OIW)uybgE9JLm& z0EZ}~yUQ~f?IIS*xeIMKFwu!*6o$@64a_Z&I#80z6Pj*%?>ST>Gh2PSAZDO)O>{p8 zbdk7OuInYIV}e0M0#vJD5N3+N8V;fN045x9@+njzr}*3U-iC@sMs$(9yWe_?=pTyRT$C8XS0ONfZfLe>W1jvC=(~PyM){5tvPs_xQ(_V<0NkYT2uhy zFnKM(gf(~q3{C^sut44EqfJKQRsddAL?a5}6H2uou}#5`ZDk@M=xyyTEl{24fbG-? z44mS(e@IF8#1zhr^ali~%q?KM(^EbEQFw^D7c}ihY1FVFo~>LYRa>|PE&Yx3NhnEL zlq2NQvkP-i;0sDB@7`IT_r+;@3uH#lU}rdl8e`}L*q1qaPYoIRA6#5kT2&ynuWEbF z99vRJt%iQFYBzBkcAo25+Ro%IYuK01a8CThe=v^wce(+2WSNiOeOmgdHRK8lwEfqBSwORMcH!)iZUHQ8I1{ znM!V>r53_fOP(j8KozO+vbgvegvX=e3oU5AV60_&D5I=xuJCk9p@sB5V+&eB^m&K@ zX-JSi@XNgk9S}Zv%F^C=m)N(6Zlf)+Xs8Yi2?^Z}T!#_%VoMPgY{c7E5^XCxvXhU* zqMvj_cC6kgy;A~nSLi9RZTW6s$3XdW-m}Fqjprt%Jd$@#k?6%dIX%y_FR^YgasNF8 z+b7V8%R)=>`O^HnMN-YeZ^|3#7Y@+_R2w}fmrlVKgbo(w9$-RW<-PWW#pn7E5|K6< zesv%Q?+hKm%+McOa`Xp+No;5h?mL%dDG+-K_x05rWRvUDAz>}WNDv?Lx8?k$y0l^` zK6}kPVv)I)ELn+{K0(VD>!d8QwRv}PbDP=HQ$HZqGW@XU%;G1cf3!W2a88^-*}Zfh ze^QwKdU8bkDJ;>82U3D~11`nT-v1&0!7a039M4z0wp+D}WTj@T@^Kz~6 ze0e`*Ab0y_u^pyd+>&UiWguQ**fvw>w*deVH--F1AW8M8v1$pyNnW10jVHWZ_9@=? z2T^E&x!i?p5mranGNFBK@&+`RXWFidk4mAX_TKGv-d*h<^Mtxfb{toLe%rkqQf(FS;IZr&b@QYEqo8BbOp;zs$ zzXdaU!Zx!nM_<{~JbsAWk2a&Y2dAx$778tnP#MkQR{Lch42P6@;&4!}+pt>U?g` zf%U0%r-RRtFmZn(&oXPRr6Hkv7n$7DQ{VRr@feYXGyFiG(jf8}wpr{Y{y_H|fpd27-dZTk@uS38V9WEc-I)tKq)$q+ z;4PMpc=7x&fBxJE$@UxPBv^k9NBh`94B*aW{0%8wT)=ea+QeVcvN4ok-j^y5;#6O~ zG$4&jgcnjJ$Iw?LcsxQsCEj^izri;w^@xz05%xd^D!dlFMiCnpjxw%nvH>lnr8b%@ zCHD6WA6LUD_UtT zJCfGXz`8~*J>OqV6^Zc|Pq#r!@VH4>8JfR8V_w$8piOpc--L!_^ zMqBbEaRv?~3FxdUzQOUluT-M#4JIa!7X0Lm ze#nLyA>oI=&qtF&i=&t_NNt5A-HKE7AkGGM3$F*paK2&=MaROp=c^2edUvJS#@OX0 zY$KvAre zte7V8cHexSaLZkZ%*!%?<`WPx1B7K;Z1`3u=&X4 zk_F8YcY~v&h=L@kR(1i;!!#{ogo4(s8#2izQ1IY|!y@wyV-||=E*rTs)XTNs5|K#$w zH^jYs_VsX=x#Mi%Wm~b54l(}ps|UP=3oniAl1u|TYx&>PJ+^VOAnj0T!GcSn()b^J z+!22CkB9D|vj4epSEZ@y-+XV9&5Is)%r-sl%ewzGq&GkITQA;q_{+5HPd1EUvwOc> zYx}@FG=G@9*wyOGay+u{Nd03;&BQ}*T1FjP>hCE?7z^xroDI|74THkih@m9i`TkzJhNJ%j%W zzvTFIoLuhBrR)tKZgHfTo;LPohcil9$N7w~sZ^wYPhWDR{K$0Lck<9X@}T=O|7d&b zmR4I6rE5%I&3mYb9c7Q%AEiHivVGL|8vd&>e+s^PjF~qFQc+IF zzC-*Io5Q|#h#j@PTAuGYyYOi~EG)IH>=+LGMjG=h@q6#z_DOYh(lw2IgU3$O|*#z_AmS6H`KAAFqPE4)s zifUUVDQKW(&+)8E%i&CN=d#3usjL8_?=X9g?OH6Q=WRYPw!l+Qgeg9ALmxc{H{mr; zhP?60=<{00Qez3d%6Lh_S5N-BUwD@l!fc5zywtq8hCKRI-qCYQ^74rx^H`uNsp8y% z^pc*{`wp@x^+aV>J^dliR>VPaP++#{e9fXoch4Snl;MD4!7|G#q(k$_FRDk0FZT7+ zpA_573oFyaFo(OH@|eA&nQ0zwW{&Spzy}C?Ah8{tXO0tdT5Yq9$+kr1liVSCEE`Ca zeyXn_g){dHsW1Q~x6dWdfo?gMGX!~nGVb; z;)^YRtZt?c6~I9BrWAW;KYLdm*=s(YP<1@jGh)&ITIzEROU@ErUI)+JK5xOIql~wF zb9>8^W?~kdCx#mdF99gQ^v|9KGU*8^ej(vlzfia!GmkXtJ8FM=s1E(2&Dj5zv6(rV zI)d&#DxUPzIhW_u=D(80JcFU3U5$gEkH^f(zS2hXrMZRNXtC$?Q z9t0EmQ=YzIYHoWYH#AqcD0MGL4HjdI%to*ylIqeQgRRNJS4d)UE7Tu=y~6=Ok#37Go20 zQWoARnd*Ke&Fv)dF6LC{SoNF(uJIr5ooJ0Hiq+KsTW?c)_q}490SU91Q7`4ltX_gz zubn&!Gi!Jq22%9!qzq35;-13B;M5fM5Oi(W6==q0a5IcTj-JLK>6kkO#VMzeGcq<7 z10_VR8EOe~{jMAdGS_!HZi9NI(QdKQz{?3>g!r3K81D)AAyTEwY2~p*(bvqtY3wi; z+Cxqgwi%6BvOrr2W+R^_&p<^Uic=WmfNlolqrra5B*dR4fJ1o--EZQWARita?GV@# zO$$oKJt4t$MZ-Kz#8Lw;dsahQgN;}Z@a_<8$q=?8WiSzAP)6?)c^O?{kT33gjXFLn z-7q1YS&#+7NloRnH7J-kq%D;i>5{{&P71}+Qaf-=Wit?qRf~m+6LFY-HTKIi%`745 z1P(TW8X^&3n~(P+EIx=$k*123scxPNK@R*NaAB~6n9pX{q;YL2B+FHjXck3B5khwg zi_2{$V4z|zq|Z@{tz$JK6&o&-?FT zh37smz=Ly%i<{3qQd?e1G>nRcp~WQ-2n2TWCr2+nDP^r8ViqJ1Q5R>MDq}~>im`j< za(xACS$uG;qT>W^@r;??ZcEvae8hwe)W=>B9>>p$mFYqj7${#B`j0NjJD#7CC!G$z z;UQm_Uc>XL{cPbQzdQPGh5tM{hw<)LhJpN2R%-v8XTHqs9~7VJa;CFcM{}N9{nvd` zO|JB{n0Z zFEmRmXvrS>VxGl+XmR^4 z)Oe<&?QxCuO@VWHa$Cakxj!eU$ha^B`DjhYFdLexfGf8{S=& z?Jz+cd{dS+-EE5FUETM z5`!(|&YyrW*N6!tXXLTZh}Jw)Xl&Ul!)|^1G4fbW5#|SfTZ;Z<=!E`4=s5muaclz&^H1uzp+$W^&+|S^bb3e&mxA|IEy=}{Mx0&vyQ5%lk1*8arW z_Akq&af)>QbEqx~z9-9ss9t~2;%WQ4M<;Tng zT>nxjrC@XY@7HgVV*5`fy%VfuYAI)mzLP(fHv)N;7oTnsy@i`U1`fFkaw+Kvilqb? z7T%KbQ0YqWgmHJA;)jx6ZZyA;N!D#S?P*&_Tb@XKGE|Da6LOai@=q;%kGO>{uS@JX zU|G%fh~Wb(@o=#o$XAA(HQC?vwWKyPQWm5CNrgA|cwOz;&qH@Tv{}rFgB;14#DA=R z?Y~1YrAMzkiL1Gh)s^!fd4Krgv+@bDX`dHN^B2K155w#1>+<-Y2yY=Nja9#NZczWi zG4jx&_6&SC>o3)ZLno3igbtR!`dCBxmM88Y#=%8{!K;_}j2RgPFBk0}5Jznf5b5mV83fghUH-?ESIi?W__?cw1oMC!o!}P`ZMqf@5()q2!gBtTmC7q<%MRFY$l%|g3z$i>iY1BmHOxU4js%( zJ~o?4TH?0!>X&&L{e}1cOkddZXQJoqTUKR zylb#ytSd{BM%mYFEZ7Wb^q2(s+K>?IYcMU9(((E1Y)J0)+8meIlC&}Zyo9A)SvJ;P zO+Iad-2>Fqwu(6&55NxWFguF*yf~;o*xTQd_8M;+G5y&wiqEr``UFUGXW2{a5|f9o z35>!n>~Ex>(qn;i)6zf|X=BgX2E`AIA916;7F$~WATiW=nOAO#%5)U64fxxR6e%s3 zjBSz9;Xsbc7E?o4mQR92avmEt1&g`u_OBgD^p54FQd%v5gmnJCjxi|<4-76}H-{*7 z(;L_x-%m|ow$QN0C|I{CI8?c^mBKMFI20}&E@fet8q=7R$(B;!-VB=xZHd%KTG+P4 zv`GltmZoL#kas-lcrlRW@V#dm?10_7f~}4@9fiJn*x>=Pm51Z~6cVxDl|C+dn(y(A zviCKO(3kl_sSfM8ChHd*kWx-HNUsC4zNtbMe(mZ<>4y*wqT_15jC5J>vPIo@T zzKd6zp0;g(>VLC+Z(}cX0pUp3dA{0|4OcwqyjwHhMZIFmARju^ZxOwuF6Ns4L8o*!iw9Vf@^U5XM<)rfY zoY`d7V;e@_``foQuF1wINS5Xo=%tI#dd?`XQDWz__MOiRh{N#E&nM4I6dUsZ# z>5uf#)1SaVanfu*w&4h6!h6`Z!Y>zj!ti=(;b-qWweX)kjr3`tI~>dQq6dU(=xQex z`_d2oV)I<~+?mS7Cl;+<2Fj5=@nn5_=}!*Sg-r1Dn!nxmex8f(CYY zpA7yUAImk{E%)4AnG3Jy$n~Is*|7onL@9kVGw+KtW0o(@STYkz`7pCHr5iTgWey zx(39@juGhx7nl5K-{LF;Kyy7Er;aW3M-O{%EPMzxQBk`g!PY+pJv0@8a}c{2p^eWawo)PSKd>&XV7x-*_Jvlly~&mk1wnT z6}*&KO0*`gm)5VB#-7~VW&eZQt-p})7}!WDPZ3}DfSE`V^#|^$J^=l>DusCDJR3f? zc(ZZwi94Zz4rJz)qz=(vycaQu_f3qo`#iz1QBQDa{)u~1TaW*k$XvJy>I0W55RG57Tb;qIWm#?=e4v z8GBg2@-(0QQ+F0a{E;5RJi$Ty0+o+{MMbz~u}yiJ638r1FT27J|E zybwOV*q258?0gXV+?m;V$=*fAJVy&#`ec1u>GA`0wQrsdhMRt2PM>JtQ|HF2!KXy9 zW4W2-OIP#Iyd2=EI1ZjNFI4Y-^z`BO5 zC1$$_Zu?2K3r;u?9FqfJX3ml#{3rXXq62LQ!ZH2g@hjz{u3>@4w%+|rsc#5cW6-7x>Yp#I} zF~1Dp39=DyHwv7fR3Ph=q2@E&F4PH(eJc%vVLIz`f&VA52o6~`kZnQeAn-N0Ldri_v@Ycx4gz6~&&zNWSc!0Y!A2P|!q*H$3L;u74``L)6W7Fm=PCOYLk`PD-kxm3-4N7@ zmF<(ZL5j5%IqmDGV*JgWOS5G|H81-AO+qQJm`e%L zOro1iFbYw8*^7RI-)y@T>u?#%0llCSKZ~@XQBV{_+eF;gWb_Fbn^yp|FC&uNBN(R= z#vv#x#6ws$FZc!4BWWZC%EXbKOn#G=kC(xd1VJDOR5h`~gqy}d{n<9PT_7q+GdQ8~ zX2yCOu*IAv{BDBFO=D(}8O#iBBv)n+0qGuLwAb^~IMT>t8ziDK8I!El9)Fk2QKy5^ zde)hWLy{^jy7lF*KC9iONfYszjWc9Zuz zBEWYV1?1Kca6uTRJ8%~=1;VePjiy4ZF~ab0$w)L_4=rmzG|)&CWz|@n2G|G=FAgG+ zD{upb-%7I~YXwxm(r`K!qV9vPXkD}miYf`yC_EZ!aD!hA)(}6OywVVD)!>o=pis+ z_2!uSO;Vx%T75SAYsZOYzBO67U1xk397|}g`Oy&|yLvnEA)DhPYRsK=AopG0;fDrc z;^xF1X=_V!f!E7RKRWIP@}aS}vI-pG)ulG<95YUqj6|5HF0A-H{$=i47k%gFRRPV_ zIQht%S(|dfV)NnS3NzJsQAzr!<5R{qYWp<9c0t;;0?5D4c8$3+aX8Rr7Us;pX1wut z08Jo!ytp(i^Vt=nn|It-YO;-|Wv#&aPB@>m*_1wT_m$8)6**kW#Bqn zYkN0-+&y1xtesdeXr5 z!@It2-$gDQOWT!Zs$FrhcUP?MV$a*yD-S$DN#kjcw|Vt}uLpM|{$y^Wsp=sxH~m@b z6356Bk0zcsjhi0bUW#qHyrMb&A<`CJ`XIf8ZWzwWTsual`**eDV{LDL_}T{Fdmf-z z+js=~>C0jM0g&<94I4iG?~%Y**RK1eG5_{g!V8P#X0)`+bAf#*n|%kEzr1wOkT^Q{ zyA|>NPpD_G@wF+K{?y7a$!Fb>Hrlb{u1`%e5VfsHqW{y;zW%{YFZ$?p+CxV>RT z3e7(awq!MXR$&BNY=f^yOqU!29QeL`qS`bnrM)z!nCOYIY)@_g_`aA+th*BISx0_H zb>l@T4H&)B@p)(=^<&JIe~t}omY#yi+57Gz>|lQ@Y+kACz}yXNn=L;C;S}lX9w6QJ z!98{`uz{M6#h@Y*c$&}M_F74e2GSYAgy zV*~mw|8AJo=H@wzm&~)|Q^2t+ogPGMZPnyAQX0Pj8Qm_1WG%-%xz1ErT16nUC3-hI2VwQ>?C<$M# zFg1IrZO+UO|6|+{Q(QOMs@g2#_K7+t4zlsQPvp}d!E;3KuQ76E4xC{9q74fQWWUU z$bq7)kX)kkyX>yZLno>piK+NmWHY=;f~WmE+NoCZe%x&&mZC=l?40KbVAtPl@@fGD7968tby6O)XB+$^SFutw=Z zqG-UQp-g9#AknTU(E#agAPgkRg+#mHJfu~Ej5=6=_zV^h5KJdU7NH#l-Hj*=kb4e) zc7^b0UFky>jlfDcKA zqK6ykpbKcdrtlSn?pBF}HtmFZbk3~;MAcy#3k^11=jr zAXr5$Em?tjWsvqMNv8PdeGj^Sc`+jC31L9&Aq-;36cK@FMMYeZg#pPz9?ca*Gr2X& zfJAndCqC22WG?}ML!uKb&_7{el;3q&m6gb6W!pr*#9>W2ri@MjW;qg72J2|UOr_-@ zzltvY*?<1#-=@FxU+@tYElyZr^XrUd_GZ@xk!;r&u%BV5T)}Vb>yuoG{$!(Ww@s{k zAlt@GapHp9@?<0j#3Wd^&vro)n`8whh*QP5gm8d({vaBXU#JEuuB4wC#x&+d9 zL?V_15`wy}saSRa-6mwk66qSVAONxNwG9xFA? zyvt?kqY^o?vxv|T0K*3$p^==Lwui%}ogQpLMnoRhG?5(!jl8#=m=JEp* zoer^EF{Gc2qn;DV$~wH?z(hSi1JeS7A9Q+JLkO|}smamS=sdE|8tuWNF`-`Q$C}yt zMvgFAct3BDq0?&hxigspoCJbs$XF{ENGmf1N2cJo!1$JMtl5ui(hPVkza zrEv&BMR6_!LI*`dnL*+xq0{O&klMvGkU4^mW+4Jv%UVn}sgklzrDPzEESF^6j4Bej zjgbo!6$8S=Ah?~Xl&yG_q?;nj9!`{}@K}Wb)Q|=evVvC75`qQ_CL3iI$!2v3)#*ZL zUL&{=4iXcAMwo}|Uyt)Ty3U~!r;!>r4Y^;xcx?RRRsY!aJbVYsG=kKWpf)>Y5CdWg zdcfgLv_Vk}pg*LI+eNeikLCSKnIsI43la~Lto0d6h7#Ji%-oH(#>snYiM4V8@K2qt zW1#G1In+fDXE2;B5p^=6HR@4Gl%pjV@AFV1(^1$_!YSCmz_ z1ryv1gzI(G8%IRuLx_{N=ZMWke2P&--{H{CCAd^fw`01hCbW(oM%z|Zxf<;l*OAU7 zaH81bj1DQst*WT}u1b;EL53BmQfii(n+{taQLo9S1H zyvBigHi{QHO!xOa-g}P6{3bHOf+VBe7;`I0+j)mBdTaDti^jtkgRu<5P{=bt+X0-_ zGCz~RDNRXTIq<_QrxMXBJxy>plpnV-(QyQ17a))$?wJNdj_7mAz$_rb*drhVzNxU^ zG-HI3xwAw-fy=KfmNV{Vc^*NhNn#Xg9c3X^UZ9z7De4hBVdnO(-*sbkYV^=G$2v5an@XJqB!&d1azl>7qL8Az~e#SA{ne;`OIu zQFU09$hu@QnshOv|7bY%%gg@1!9Bw=1(LC|W!43mqDG#y)>gb>=r%BqB+xS?dt>*E zTW-3Gf;j#T?aMucvk?*J|vFH#J$dR zu0(>ck06W15z)jlm@X)gwYNu`jb#S1&0XAxR71up)rlF%>4deyWnW25g(L|Zo2{!b zLN!7RkXpPP#W%$oEBMsAj8Uj5H>&**6_hh#={`^Ybiozst0NFc6EK3#w4hUrB}V?U z`@fQ0m*3tSkqTs}OeLfIE{P!+$YMq0GNK?=<3o*LwbM~n010>;#QhdAZB~jGX@oaw zWJaR40)woJ^Z9jyC5UM6W^hbmB~<6cMT#N}GdK-u<*})P$g$;410=;twAl6lZ-m@$ zUZX>iO;Cr93$~ba+GS;k%nEcpI;C681-}N&-$1>&5{zanP()gAIc+`K6u_OcSOYRs zM&cR;++hy*^&H9ouPmq^`F>gyq!~2u@yLvp7Mpk=%P<1G){=eY!pa zavR0DG>^}<2I-A5XY9*oOj_3rY+8n}kBB$QQLbt9EW4|pvc-Z zLKITqXii6YfR+L0$$DC1Dk*tu6giiJJ|MfM^SfQQLY!7qod8Zf+EDJ&8de%pC1Aip zGy=y{)@T=uK|0zF-ax;Vrl@RJxkuvsK){L9RF#!lfw`jET~j%v--?8+GmHq9CRex! z;FZ_SKTh#Z;zH-b|Y)1UMLQ98wLV_0-3Mv-_6ae%cWgV z9n$)+JX@d|D;!oHsWwg%fnHW}&5(wSWS`58bQa)IC5;1Un2`+wXj3QXKeT&x(9%^` zCOFx~ju~8EVh0-SmMN!8o3NhQn2^w^qk&VZO%%|hm_~>B=H0odl7?g>o!#&pxf2f+ zB#KxxE^vhbov{aaM`vLd_C}gYB62g#@qnYZpAr`Fn2DP85#n3X)=Jz zU@9tO$aK1dnj#td8YEp53+G^`2wDSY2FJ4z&@vsZGb4wKP@e%t0udNcs$BozUR&|& z7`7gnSionjU5NL2N@SmNuVn8AJNtPYl^wiby`QK<#T=KYMXeGRMO%3az%1WrBrODH z1$|;g=xJ0)JSuBZ1J;-?I8niA=mS#7z0hL~@*pF(qgFd_9l{4#&Fuq>ybg+?4@VpP zx{mxdjQ6>G;Qz$3^Dup`>?Hv!PXR53M9rmrZcLL4Z3iQxh)+jtw3f`EH$d>BPHYPq z=s4OT8DwoPkLjXHK#>wbN!|)$aE~#1YtHT5PD6lBkis}klblN+shv7(rhMy5^guTP zd>gj+?_c%peh`$hM9Gql54q}L%w!=2Ujl|U3^LwG;2yJz8dr`%1Ab9zCdLoqGLlQ^ zfa8c(tacb=9R_VZaVtmostnPfZxBX5%}7OA!DUcpoytb(8Lqon$e^MrSH(iSmIO)( zBt#_``$U2;Xu#Xi2amnRYQD|L=QCACAlQj`fTy4ugGGl#F_;w**cpVZC7&l9q>cwK zHTaMv7s@NuumpiHbalqG%1C6<|j|)^y9CTU~ZV8kcyfn9i zuGI>(OVavvaCk`zX$-N5#zN{aO-A>(!w($#_tDo@!6{+U=#Y2~@J68;Yb@we<*2fj zDe=RB;YO5OGGK^?L2pMjB`~o8HwBjtd-JO(B+9Cs44x9@hqAWZih6+c11?>}G^?V3 zUET`!r`$eVJ%R|cmDWZ8NKwj1II*%>5dadaVSzsg_CqzRP!Wy+M2w(`5DjgZ*a3Fk zio_)HqigT|=lcfbC)XA@g#e;}BO2gMSt72UiB;w26K(~N3|zhyq+y7B6*=+bP5|u? zSvkZHEYO;8i;)EyDYDR$pJGewa=W0q;Hm|44#A=0W zQjUnjHNig$_H-!{nYeN|9drkHUA=Y@!9Y5&Xsv*T5$)~Dx^k|_MQaSo@0EA>4<}Sa z3(Gf2|FL#a%;&F0K3sW=oG&!7gR*Vnz9Q#^hJ!<*2xyha-in|!G^|e?FCsS$Y>Vuy z^iD)`FQRlA*aoN7teU(oH*AD0E)5SuEBpslsQg4@fH&nnVToDs&etK=SH|0w53qP5 zU%)L#E>GE_QF`9Q?r`Amw+*Ez$S6PnSC$C@P8=Z8ci`8N1v=EET$PW~b?XQM&=!^} zf(Q2l{jKdQOue6euv#n7tArmJs}qs2vT4t9cN;);|5XbkWM3$(TJeSG9Y1ty-z z9&l0MNU>ghcqh6h>=jXYN;i7LCBSGMr3?w;!-RQM2Z95NDH-l5fOIgN!oyDsz`$tbpqWJ*l~SUtpREZ z)oJ&3y0!^?xegBqi83xlxjb5H6Dn#M13ZNmK?m;s+oJ^F$itAPScHy^Ieg;c#=nhm zTPJS?6tM``J0V-R0S$-JaCevl5DugPZ@7kphY~amG#gOc!tG$9Mkgtfih>aa?%1WF zNx}eKR_PP)?m{&1)bWA=dW=Fbr2I0{5umrC@D*c41>h5c9ThPuJqZ3Q=fmJjxRzD$ z3j?Ca9+Z?;SEu!Me=sWk?Lx`(uu)lHeDWDI1f$Eo7lp}HkXW=!xr_uFiPKnfZ7{NN zKwAcwPSk?v#F@%-ax2g&DWirM#mie|LY^sMv~mfY(idg`>6VO5F=`Ca2Do(q@o+ga z8Mp-K7|{Y;qaHRdbV{5C1JXYcr6#U|Lfc*NV-N=il7MPi z3Lh{S?=WsqI%MhPa>LiLdzHHYgc2{c%bW|dl(2GC8kCS`kz7j8Qp60r0{lymd@Ab1 zGP0g@8lsxWZkRi7OOl!7^|S>9=nI7`wc`TRI=u1G}lZ@QE_Zr z0ST;x!9)?FGVBuNGWwesV7M$cg} zD79P>C;BDBEh0=OY1|~FSs)|@2LWPf3kQ=eaAt{Te&G61H~_Gd{qXfCNdOfhmk)&F z%H{7F{3iW>e#=e>2N}xmm3lVy(^wMspsA3Z!|};NtTaLnb@g%;nRK4JGZC0&jbBSU zSTNQ-Y}LK)O454VfjLYT!#a{-^3@S9{~6tYe}NA{5lA`9Uu9WNJL1G% z{E{!-x4fGww=sX`ve-B-H;!;F^%q0Pi*a5c9U|j6p~W~~dXp^fOp|Uw_U#pe zC|AS0>)XS=1Z~&8iz6@DOhZPxy=6rb`L>u!?UBkdoEzUT4xsOnHRLuohY!S!WZHMw z5<20E4;lOd;!w(K%uZCe~oEI%Qu5n4za%3oW^CX@-=mPJIhvA{Sg zfv8qo5!FNB7wI>}oz5IfORCCXsEDXW&|a)}LR9yoRY1j@*gq*LdO}ix6q$XRdASa( zKIUVX3rfcG@&^)}B|V+-+-T9aowYHgrzV>XJFIKMf~Z-*4x?tj)^8@U5Nhtjj_N$X zCk&g9!Y6GX&Cmj%(!D^39jmc0;524v=Jr_V5~y@-6VKcm7hqO!Xn?VCahere+#5_{ zCH@BEB!6&Sirda42Jr35J~v#iBG*ar+nEeypDXLV_ztEjd!0|&-aE4wtHZVl8Qs_p z*A9Ws&gj5)3K^$np2FP9<`DLl>n(w{U$;5dFWkk)b_t12%zcA(7xItJN#&)vCKLZP zQBBCi%v=+d1p3H>c@#l?Pbyb8;Zj5<0dTzHfeBGnqHi#^$~aLG+YQ&dnH2$aJ7WvL zJ~v#C7vsB`bpcG-=gRsH#?~8O7r#x}zQekMiO6KTkg;dxo(WOiKql9V>MkNSAl&6d zyFhF=SVv+$HmTi5?LOB(Qfl{6wa=s`Qnk;uf$YkFr4C-|;PrhRbVIyzJq}X4kBWU% z=nOW73Y}HU>zXyHT3+C8Qw{g;8S$#&t{U#D-$(WPTyJe%zplCsuUcVOT!pIJ@S3r% zT3%PY(O0{P>UF;11cqw3tCJR!(_reHmpbQlZ5~jaGDXxWQ+3KzopD#95Y!oWHDKfW z!5gaOrN;ZH@jglfnF^g%=&V9#b<#qev`{B4)Jco$Cn~OAS0^p5dZSh7tU_lMI;+rG z&9qPxb(91i6*{ZXS%uCjbiN)gU%#$GXB9e6WL>BM8*0FY8nB@zDXTf|llkr{bXK9W z3Y}Hxd_7#geqDvmDs)z%^AC`+Tu;_=wVSA+@@lBO%I~AnLtUZFQj?U`BxRLd>;^<^ zHwcfvo@q>F7yDjb`}IV1s^P90?yBLg8t&H{>({TVhWk~EObr)P!^PBaF*RIF4Hr|x z#nf=I8*@3Ume)15@EcHptCrVw4C<=kuCmIjtn!g!1u8MGO3bSg^Qy$WYDtvI>M?3b z6tyIZT2bdaRfg1(C~8R*wIs^-YI~{BS%uCjbXK9W3Y}HxtW+6P<9*b4pMNdTsX}KJ zI;+rGh0fn8i+eS$N6oZQGcD9i3pLY1&9qPpajSLQ|E0FQ3Y}HxtU_lMI;+q*z}UD5 zOnl>paG8s{wq2!%Qt6>odMK41N~MQV>7gcSSE;eiYOJ#w>#W8)$EjZD2^y&D;qvwC zDs)z%vkIM6=&V9#6*{ZXSt_WtAFJrN&gLF;!~J>*+ABUstIyuTEyD)R-zYrb>;e zQe&#rm?|} zaFrH(hiivGtMNYA4n~E}aoZ={i7L0D%5A7}8>-M*h0ZE;R-v;BoijpetaDZNI$!*D zCZl&|FIJ}ndUva_&T6c)8tbgaI;*kHYOFKXkD9OW_|Xh4&?Flk2&huU}VromF0EmDgG2byj(uRbFS6*ICW;QuDmX z6<0Bln&+kFd8v6`YMz%Gtf~g9s==yiu&NrYss^jB2>ky)ShXH~gPC-TIm?OiYaX(C zygm|k;PhWh>}tA(5hpiK!e-iU3q%4Fs{9$fc=HwBwdR|UAUO8XUL>+;%>FAgfPU<- z?xqY|e7W=cpm0)3-AqIVP1gr!T)%!TP=ohhu`VWL(-=vf)b>QUMz#wXduHyL$fNv! zn_K#R_JnAVYw|e!zsuX>WQZ%(x3B9nD=N|YE9_$RS5~DsrK9kEke#yHgl}getJhO% zG-1l-h+LY$5aoNWM#kR+xm>Ebd2(67p#f&HT0`ZvhY198^})I(qzTE`0@t3biSBd# z)6|4*XChCN9O)*LPm}Us-GrxUcjwKMjM8KN?+NB=mr*1zDI?-K)pC{kTj?@4dD?G( z+Rc*;^ciWg0Ll+f+k98eT>l9DpY)k-W?kUBkJC-)G+*8K%L&=s92I;cJ>x7-yvkyz z=$Y%rrtd3Yr~hiF0cm_k&qO-S&C{D3jWF zQn^GrilU^e$SUD#pQ&n7^vq4rz!&ek$xqXSWFp-}=^Fv&yJ%)o7f+7alMmB%L(|0S zzoHqCOEa$E;Hox7MAqj2?Q{p>J8pKDnW(e$e?iSe(C&>iFyOzYE8y;`23F`FCPj0T zhNhpdxv5>|JA?LBoJW*jT?K`6V=eO?8C^knO_MUZ+GTDYMaFp3|J-CX^8)cCQC24{B@oBe zk?FdJN$Ec~LI3{Y-J2{J8yBhP7SS_T`^i!Q{M|ltW25O`Eu|X{+1L8dCdvAPp+2Dqt%uFU^ARCx73}lu-2#9rK({|=C zz_7e*SZRxCslbx4y&di+oy?%ecbB4fMe@LSVw&U;p zceU}$2y@vnmG+B09}?zL+Ts6Ln7tSpT!ML*#N^}t`=Xb!CoeCVmdir!%j4`P)JwUU zMFVUH+KXuBlgQ1?e^*(e%qJBXToz7V?x+7ZMCKCYyM%uMw)`!cx#+e3T4)~syBhTO zgyz50ruIL5azgX5+{`7Jxhzd%d!|K#eJla-am2UejTSBLCCAi#IsW}5{L-U8{^Y2# zn3?(i?3exq&0Ne{E&A-GOy?&AfX_VfpP#HYU8K#Wyq&h{?;YAA1L*dnl-1u;rAtP} zro~4I;3t(Q)&JvJ&hoGR17+rtY56GsaG7ViC>)pk^k0VtR{CYxyuZqGegcV^vm3tg z50aQi)rf;0MfnsX`4Zi&hN{Sy$Gv6}xsMCRkuDT|VH zX}!^ZDKf+AqGP&5rKQ~XQex&45SerPH-3^Lb17$aS!U+)l+}L`GF_IL`8b&Q$YtBE z>7$9JPrzaSq5U5yGnYc9OWyk<#P_#8`(kqZA};>>xtUMYHNAiCA4r@34HJA>4)|{) zZLldzLHA`7DqUchKZ#)S1N9%HPM7P@qTRhrfd21tGnb3Zq6z++1n^>%{Rx=h|LgES zP-HGq5XV??jmJqiX#-2inwu_vRsTpxx*?)IruO&PQzApE0hIY-C>79F&4Gh z-R;IX4 zW@+c9DTl5?Zx{#Mwi7l6+euLr3*&M#0-O_;N(&jYCtjF;^#y3KOM($n5kolyw?LT` zo1h6CB5#0^GuFZ=k?% z42Ck?FDz|4oMDPGU}L%&+8MUDi+w2B8SZk^rkfrP$HJ!5*g~c7PJ?#SvK#UD!4-~7 zcxlRM@N_JERqr1LyVL&lLp_B%2=FNTd2pcG8|%^r21dEyAs5MR(|L)wuv;vP6_=_E zsDm-XAngO--^I;Rl}%g)SZHv-rlpQc7ud9gF2qfzeeK|U=6_yTZC?Ndh;y+lj`719 z1`bqeX<$oz?3$%=@jVt#?91H-FDwp!=?+U(Y}jtMMF~0xuvw(`;#n9@fb+0{i{-_a zvHP8SdRN8o{`hbIY4?F~3Dhe49hP3iu2~d2csF%XQWlxJSPdJ802_Acl@&Pruq@I* zp&SBKur|eP?%Ks6z{VDjkBUq&GMw2m1_OqT-P^YyiL!q+zb`HpWu&1D6sL#5gq z7}8R??8JWXUlm)tKk5r(SvvXao{Kd3t4lAQemMBFGrP~?vqpXWd9;-Z(R z0F<%qm~c_2K5YJfwO_O>B8~RN?G@{;Pj@JZ;Yq)GU=n>A6HMO0`A7K3%L|?4n*I@=||?VDA?N z#yFutW}GNK4dN)GoV{k}t7XUTjO-ao3qI-S?N(H!rr@^k85hwl8sL zi`0MtF%A!M(O}7i#1iFfw?=_8`dGOrXMa@-5+tYSZn`UlPq|2k@Z#{GAr2$7$7g_L z&E&xcP$`Rp`f!X;zr>IgnXf9jI0e=bwhb?|NQ6E3y{TIh{QBa_t zGYp3Q^Sl4FhW_C7-`SmkQsDigD8!Zrun|S0b@W)SSBF5=?42Z+1{7aM>ks z5>H12xDQ46WxSIoJSZ6W`tXFJ+F}60sfjL5qa6YZ4s|0#IeCu3U0jtjtaq@K1wyL| zFm7j9PL?U0WNaUAT0Waw)E`?&3KvOspj?JA2ey!Z2vJVlGN7i1~6+ z)l@@+&Nc;*EiI|GYT3mp*bqbkv9a3`440y?PO-Xs3&@$`6r84zEK*p6de%X+61mO0 zkLnQV76sXCF%;E8!;&(@%0h^yLcHM61V=Lp*M}R_jzNdc-N(41B+S=P4#9w@naJb~ zG-l9LOlT8RSiNl(mBcKA7gRV(4k{G3uq7tTR!)j&nJ^40RfJ)4QmUD>cZH#gMwm_F z)4D!?TD$q*zF@x^l!TBFO3(`w&p66ChEp|{rgM5WYo$g&a@-2R8f!_!tu+d!O93_+ z)L@0_L|WJtPUWdQ=Q>Sr?;`m)F@(HYP)L$x5k_WKNp1zqI|!h<46a(VTVj$(4KYLX zGHefyaR`|)B}sRNO9{4=sKBMM&{Nj=6x~5bW!k7$)TDSKoXF!{T;7n8XMoxO)0{Nx z46`W35UEHQyH|6p*S`d%MOn1u~Hei(IB+$q8%N4 ziA8Fs!o)KeuI~^X0@hu5`i^2EDq(W7FLsC+Nf~+2Jep@NAOV7}g8;F@+`V zDtn3>+P6dOp&T7~QB78FrtVa3QFMwFD?_|0mx~Tblg6c7G-H`K5jIkJm!e7(b-RL> zVPog|WQpUK^Lv$)YmMoQ75ReCD<=eOmge)N0--EIO&E2^@F31-&;wuAyO{kQjicP> z4Q;)uT z#Vh~3Wr-e?#=bPJXh45AZSh84GU;yFLTRbI+8E}rE1z>JE_xZ7j}6e7734DiMvqy@^NFWjM`HDGb8Y4sOTwf?Ex{En0PHrT|7+rHIo~mcx}6 zg}^?=_Nfzs0Twt}Oky-QL&7?QnDOJgnXJ9WB0zA{l9MF`rKv$D9r83KTb!Yx35B92 zC3PCPD+((vG%AUW6sD+&uv>6@&=_sG(&g@uCDRn@LKf)6Mu>5&+t4_pXUGGV;0PPbS*Jpw z8chdm2Jv50 zyKHgJeK2aU%ce^hR0g#k+0|*7#WaV4jbTKIDPr2S-#qu&@BX-B<6=MCMU@B*Ep;sI zA_7IZJbE&r7J(c}E-th^b|Hl!YBuIasc!#sGyljyTFCwOJ_EJW>jxB$HZ@dP@~~B8OO0s@crvh)F|8 zPU2nCeNCL;Kth$(N=&t<{uRqrYZb^XH4TH-E=^xOW9=#x++w&D^Lae7M3d>}U9vP> zF|KOkSzeFn7Hf99{hXnLW!AZHa~YScA7!-iW#u4KmM>o`krfXy2P}J?T~yX2~2sP9nInX zDvche`3{PUw{u2Pqu~m-uwkhYZEU0g*N>ALxg}ghc&njBR#b-|Cy!C4l}a3P4sTFY zBMq`GDylxjYbIT#830I&b)KU^!Ytb}QE^M4JQT01V}>krMsTj^*dn(O8nlJX6r=GF zqg71E)N+nX;W*ixf&gP1Hi14w8Pm6D$SD{AfpmuR37kX0AyfI_`CqhNlXl04xXp#b ztCFwh#fV~#oEofUbyGiR5&2Uk%ek?=#bA;gI4t7?J!9}(eGV5C;P{j&w-geu&Ze4D zT=75YuTP|R8d5U0RK9gEDN@`HcK|df;ms%qB&IM495~T4htg0>Do!iI)<7Xwzzhl& zNARGAbb3q)4hlnSRC=@&Q$7MZ)ReB*YOC-9cy8jb}f zGE%wT9Bwi+1&@$aLhZCpXew&ek~yz|_HWS=<&`Z8SxGH3-Es{gPw;v9#uVefMNnNC zB&Z3&a!Kad{0T$?xBkl?AK3Tj&-`kM^H=b6bEYWr5rs-@T-EO5>%$DWP8s9@MzKsy zqnik>u%iH}del-5Wnia8CyUmtO@=kiBLdmLG02lSSm3Xt8%;KX8iE&ASS#+2YFCt4 zhB9c2P?9)SMhNBA(K7vTc!;yUAUc$9S}vtrb@MH(-osjMu)s~K%9{9l>t9h^$`Dap zI-@`oFpPzU0!thdTzLTWU3ugxxNVBh7mm}-ItrQW7R_twhYg6AEaVG%)w6B1$nib(y@pi+Y82 zA&X=%2>g@Eo5yt7RWLpzQ0>6T)UFa%Mm4I*U}ruuox+6i!XaJc%XEkGUX@F*gK=$e zTrAY9AP{s3Tzq3{76y1&BjS3?Vz3VR1fhX;i^3SESX2~%23&F_BWZekk51u+iZPee znE?ThN%_JS_&Nt~wQWI^vlG%)2ILTRDn=CNeN=P03?QBWhm@mHhpnwHk=??XAIv;c zUYz#kCD|7of>Ri@wtzNG?BlUU<+>H!kU*VU)SMR6%?W@aD2EhQUFGSv#)Ch0>ikBB zVn_Wt)r^!WE1qjnE>vM$p=wG}K{9uxug8<_Fe->ke!(cv?a&3(8q^?(PX(MN6WUKD zfp@a=d?l&^9(f>aQmHy1EV8bix=X9l09U$&6hk)Q;cf`0Xez~Oe2X=gm78&eg{Tt3 zA(#AvJP4M<+z@1I0O?}vGW%Lzzvt^|qjynDg*b%U>763STPsr=REMsZqUgTa)JuMM zScnmLS-gxh#6;L3P|`GZykHU+Z@d5w%>6~&jSMnQ%fpNbK}(p3l^yB|HB21)B+w0u zO2#7MxX68Si2^AKvx}l2Iy5u&2E}rj3PfYH2!0AM;<#Wg6H`K9y0J{j(32zZR31t|`Fk@s;j&gXTQ4K&GGE@L3 zE>5`$vNoDq)pd~(?8J%zl8^$Ls8I2+ioyF4-bqUYM!8&bRe{pGG*M7zVvGaW*}+SwsHfLvD)polXpCSfZ^^CilJVEV#Q{`Ur~$2Ez{z1-UcG>`@qO18Q9Is-$2w+Of8o!6-=aXAcxYyM1j}u0u2K%{ixK= zJEa0u1o@}TMtYJ(q6l=zO%PLvsG=C|aBM`Bshb!sU#^KFV5Rg%Su3%H$XRo=SdrV<=ekbtD0YYH&9>9e?>;&BR!lhJHw-lDEkM(2LN$|$9PR3 z4OL(s9j~yO(UPMYBE-m19is}Fx{5Uvw<$^)9NwWeHi|2%9F1h3NT~ZE zbpjO*1@!&CDdbaJ1(NSmvMa2~mRmi(Z~2&0$^jL`jL;&OxQTZ1JgY=#cT90Y=Gt#U zvdT~p>kcQBt1`l-I{*A{pMNdwJ3qbn;x40FGBlZE(t+Nr$+$AXN>Hgp-pPX`3XB~I zbhI3YN;l*d0nmmdNpPu#RBbRCB)32&c{8ROG}}cvrA8V=q?2l<-Ph`@M7z5zjX@4w zD}bz?MHwRyItwl^s9u1%D7g)8&v&97aI;K zpqT=NN*FAwKyW@2A=P%4uWY0of(sacSBch{++S+HyYS>bxUF3*lc;e7P63<`rAZWk z1~*>WL{SwhkfvNCq{Lv(R&<1emf-GSFl9C~d>90EvjEo;$su~4;;7}4OldO~8Qy3n zx3>rmUZNp)X1bL|VMOCn#2q{W8#W{fNua}85Jh~$(Ja=3YP#y;OkRNeOKJ%f z0-1)GTc%nRh#m7N-2k5_^Wju9uCx$LyLrXbA)D%=w@U(;7!YR)>29u@OO=B;RU9?{ z^?&}cF!#fY8c7Woiuc_ceNf*l|M+@Q9p6x^9_oQy%nl~l8+wS(;@3+4nucCZlN)yx zZVom7Q0wwF28zpn&HHk+2h=ikn!SH*v(_$$_LUZP>t9~kV~GoS6{0-xr3N++@9?}+ zHl}fqKJ1R=AThOK)~NLa$`6UV1}p393RaH4Mc(L|#v_x#+Lms#P}?paH}$bQh~FR$ zuOBNNEzwI?O3!lb#rQKV7Q5lrY_U;iqm>oW!)T)Wy2C}HTwGb}VddGT3bXSTk2RvV za(Dg2y|MTeef|p>(n7^=NmT6^(8s?wp&uK*f2-Kq*Zz~@nHamL@^+lXJ*cVEiD`CO z^Mto5wYX*f?ech*FWs8xWy_^&zne}btaZ`8=;v5&ya#c!+IFVh`eyU??AFaFRT{WS z<|Oh+g3~IWev3@8W>d=pP3$V--+5PaHgiLYn5ghx$z;S?tF2)c-R@h)2iLJ{_3F|> zw&>B_C-*L2Wy=?o*}>0k(D*m3(lurm?|IR9y&L@rcdd+VSiO#|^4*c^9j0H2d`oA*=4Vax#5v zA9v6?!0lSGy3bsTdI|>Gsw;%X4vGC)sdiQV9{;b)LY|U4yXsNPQza!E*la8|b(Tz) zCf4b-T@@|y)_#_sLR;_R7Nl+*d)P;AOhoVmkSV+FIu%uP~)%@+5E z%=CXf(5v0Wm`pB>a5tkvtnAs+kg08pd&9$%2;9vMP|i-Bu;!;zmdiGq z^s`+nC#I$M8;(nlJjwQdb1KbQz9alT9&3`oOPXmwLvdP@;nHKp#%?T%HV z@<)^8y?8^SPpp-ydA;{**_<@8`XDb~wer)vey7Cvt;BdOr%ZR8QVnBM4Y{{7zI#=d zH1soLHeMiwwxW~46*}I{@?T=*fI86KoS6~pX_+h13i)XFI&`}6x?vb@Xf{-x8E8lV zOXV!z2E4w*|9E|`7GOKTD-Ax)D<>H|IrKBOWtVZs$~(=X)zPog&xw=g*LPG9yF7U-|y$hn`Tk?QhJFubwPe07nPW4I7@lWg`#>@BV@5_*w3euHvlxfZ-qM@=nK(XO46gCJK#z=CkneLgN># zF_UW^U$e90(QUbB`TJq#J;&c~3~ei%ZQLWn?zH|xHh5E@_}um1xN!IzOB&`b=aWVG z^PL*iSSXRuxGHw5<>pQ)nQagvNJl808cms1Y^7lx`PaQ9>(ms2w>a-IH^w0w-a9Ml{eBdX;J!A+Zl-m{h4S zgIdB#1Wz1k#8Q11L8R1c&JxcxDGaz&o=< zu9LSbGZ@t=wfjw?53@Nvp(^VNOi%K8BncmoXF`(ZE*DMnI(m+67nh6hL~%P7MI!&0 zA2qH-1GG7ScB^!R6~hOO5sRvCM+FHs4BwLSl-97)=+vU^s5Ed*-UkC)CZD)ylps$a z0s?b&0i+uc$V4s2$~w^%hfxNJVQE4Iz?KP#yHSo^&N*abi*-q`Qsfk~yYOVu;<6 z#GwSw=(3jq7#v?b2fPeuCkY{le_$5b4XGC$Q7vpb7B?xa^0=^ECNO^@t$++xNv0Z6 zl2IZ|Q3JF)w+doleg^5wIVa6H=@MQSQn6_mE^8%XHRn3Cq3Dhi^cnP_!1R#jRt-iM*EZ%dth1NkD`epD5Yj-^%IGlLd7GFASN(mkNB z=apG3jJ=RIBep>jB!bsWS8 zagob6hQjwN&uTG?)|-uzteVZFxnwogJF88Wi|8mvN@1tUQrM*8p_S+zm5XOcLu+|q zy2qF`(#?^vW$O4kHETP4#;bNW&09I-H_?;~v6#*wt;I7cfZ7=}Yx()d{Cr#dxY;&6 zVm7ZsdH8Io$cX;&*uEXp7iDguhRm3!@Phx9rXyO$hEl!Yo`Lo0Rjhcrg7uCK7rnUo zsa5*heB=;U-@l_~1RD}J)pH8Js|^>D?y=NFB3tF6yVU@y;0CI=k;*R4vf6yi*__@J zyNYYoUL07{6RFxZP|f-ej6C`t9xfo-sj|0pa)#+vPczKsvBRDW=-Gv%{s84s!g2A31u4N zIsEsOXQNI%gI#8#Hq~e@$3*j=SXykz7bQ&U#1z+1<15>--sz+ZpD ziuLnYp6x2_=XVSPBi_5VEK^-^n_d@M(^}2fW{42`WPHJFzV6V~o;n=<lygbQ(i1 z^OfBJpEygrrWjm@vwOr3-uOlNFVjXp{3PfUK80sYYj0J{S^q1KaL?)7iTHqc8ygEm zRqj6UqU z&SyL;UUg8ow$Hz=U3yoKROx>S)z+)EJF2Alx{b5?H?H3BMo!7o8}RB-1!|R^C6St) z=BHY&?cuLAH?WU&ZixOIujE5(jDAd(H>@bVjT;bJ>bPCxv)n_VB-^hu&TQBFE8^w> zbD&yo$zai+Z_w#Ojb+j9N%lETPIR#&r7g+`%9-i7BABU;a%MfGp7gb{5EB~nusFW& z3Asv3@ZJV4BFySriZ?_>8K>iMq@)F-<^gPM($|p<(IJr@?8E7dndT=}smyg*r5m^o zgBP#z^L>(VOiEOV1DI5?BQRC3JW4m^tD|5_)s9F=&g3V}6`9;FBR|rLW@0HK8I79e zDDhQBWkxgd{4i!Vi#&x#xdeS$u9E%<@9&0dTJ0BY6i(p}KKMo5$+Yi4LUOT?uCrLH z)@x#*1k%CyG~vg@3Sz`IVCDH-@t8EpAN0;hKa~#X^?kvWSTY7`{KG|Lzf0~V-!E5f z3RiFj%;t`@Kg{~wZ*=}J#nd7`%BRz^^ zeSSWw0D6@apTZ6(`uDtCoadBL?nnh2XEefpkq8~JemyvxpQ)oK*5NFjFOZ|_)qocp z(Xx1S1Idwecp#9Q<9hLWwKAH|pO&k|fF68&JzpyWsh63vpZV^Ttvvb z{v$UXlin*iuYdV#&q%!|q_&=u`)9en;Ell>t*>>i;RAcd=Ggvd?=j!qg>N7D{BO7N zbL91%4I}#L+(0mRRtxk^9gLrw{#;Bxr{9=ZD?U7yc_x_cZ&-*vQ|6iHzK*%MYXVVe zA8x({$5_=P7oL|+zvIq~J(-om}w+(5rSIQN?(Oy;6Bb2~ae z2nB-CmtTwiNG{^PGRe=UKZJ{Jzv1rS!f$@!4OBHA`FcG+AF5;bM$h>(N~iju$DSYI zY;XQ|*iZHQM;A!{JJNI3H2QbuP4&;gwQ`{Jv7`L6yHBFIFFtX9Nzogl+ixPH&qYt= z{7dO^wRL}+_D?78@Ap;wJDQ9B=#A%wX4m7JI`^LT2J-mtRpRX6{x&=xym_Qz3fg}j z?A#yQHMQOfY>OVb@$Mgp+1je}WS#$BzO8eFJIbGYd=?d2`t{^=buH@N`lh0zKjtsOUNGT*H4~IQYU6e^Rvxy@xz1eM%qyv|NyLpnF`b;bV9` z%lESkpt6S#@&C>H+BN)6PozIbYmZd}hpxNMoCOXYuX-P4yw8|BIdjx=e^XWIK-oHL zB-dGVM79>#-lkq$dkB7a0Z@{=N=Lr>1l$y?jd?BR?wIPsYoQI1QZfWs2WMX&e$4I?|gK9@rB@M{@XIj}MV<**x)D z8W#f4hFb2#C2OY3_(^G?N@|Z(mo%>78re@FaXb&$;#be2+Pl<%NVvK0Hx~M~%Pn>0 z9Jb#^J)n(JDX0aGgA|RJYu#+kEnh*@)cO0g#7GP=^IP3q?N@( zjQ_TXU!5kgeqTa9YFx=@SvGuLt@6U75QEfK;a*>?dQIc*HAl?WO*1MQFFs(iwHkQ6ro*AQ8NVcn zj(oGBTTM06FlWN*i-=I7un>D$J7f~9jfG$IN|Er0WJ*qvtH1;u;j0-X;spUQB?#Mi zQehyTMD>`Zm<9zTO(}P<>8RVG%R(}_irXhS^AIxmVrb@u^o&(7`8F={Va{A8ag&y# zQ8L3YISkVvkhFFZlT$)0r!U*BoSZyS(TP5uX9bv3;AVo(+5n}~%DiK>UEHW-K zUE0p5vjSFaB)TDv<#rEQH9CsO{wg=^gZPVfwWD~J&M8jbq9b}iRF|$Ic?g!2;UvPD z=0HP_*KlJ_^Fmr3?mys>Bc_=b(`5vic66l#K~kbijw&tmbwFyTc;8&mQgpW#9uP$j zfu)TlohYL4aSzPnws5r^ohmg9YIL+)r3P?k#|m}}0(Qc>l`1)vHCvR}u%s|M*)!k# zt#st7_{Jp)CBFlRW_qG--Dh6x|Eg~yBmbCnAaVGm5=b1(wqIw?n;x>G`1dOhV z`$6x?j)KFheXrMBd#YPsl44(%rCEHZE^g>y*R=-{a(wNf-D>3~h{vw~S+v>{D3C_p zxwD8vw-*uk-M%TFeL;?A-Zskpge4=SMBOs0r<=2hbYtQEhw8HRkr}nPNAgZ|wVKZo zeO}l4zO8lQncRhU5_I#bf}b_a0lGUtN^3u}%{O;o8{hn8Ee|D{z2o?y(1W1dEu|0a z_Q);LJDp$KW1MP2U&)sCTL0u(QShq#I^Xjq(Z5X^v#q^#6FAeD-g%@h_dg>=GAY$w z_2tBmn&PZlK!!Gx9lo5%qiWc`By z)lPmL^=0$NhWq({a{4OE9@6&ajh6ysy84yJdN-K;{LByT}~$#?rqjV)aDy5GWfUo>tAi^EqlGK z$NXcdQJr34Zr)&RARBr-h^Vx&MHc z+kNqDFgORId#chMm1clPE$g&&bKy|d`08gL($bkD)vDFSafbOa>GP0XhdZZQVR-{_=$j{E`Ew@gzSVJC zKU;4VU9)$^=3cql_lm5yMcdRi;z$08K<;STy5Y_P<~@Wx434V}*p)w92I|jDl=DAY zxv6Q*C1)Tti%M2|fC5*P5)Pn0*kR#}#H*rOhk#xvCuAaP@neqI|3@V~K|uT!ti z8u#SPpoARA?1}9f-R-N+sx4j5R~^uTx$0T9=!*+?_b)5E$gMkpaCLIuy0uOHU*(VR zUfr~rg`{2MnP!`M3V)M1vI;mg34twosqK+5f5AY-i{hPU@FJ_4E)*}aDv@30IpN{v zJSe~)(`Wmom(7*FgXkXLYire40k6FNC5!uG{Du6MoJ5P<*ONu^+AkD$tM+ep)5O=y zY(@oSY2@&zO1il@pa@^-J3O1fNpp?$saLs`%Df z)pBz$zplHM&+DF5fnCKDl~;e?xcZ@ZM!};t%Ya$HGMir1&zt++`NKty zP5GyT$A%7)$s3NDC((OsqFZh7$O|antnc$@@^fsTUOiY|-ZY!C5aQsQWCU+}@6}hMlAC(TE z#++ztOrJ&T;YDX+v;Hq5Gn3$)MSHQM@& zn)nP^B z*?;a^KVM5fp)Bz@6UO<)6@Pe#@FBsXM=phqj(9mI3 zpwMdWaqYAhg835FBWqe?x-r=Z(fqIn9g~XnSdQeYkwvjZ+ZB8WVrLJj@5R+>O+02) zNoFkGCo2to{&b?jla(WWJ{6fj!^9w0fg}x{s!Rk};-at9`n*bVtffK^r9%+k#}4K; zuHb9r9Xi*@nU%UWY)WNOvq_K>Mh?;SfgFM+0)T?-&dX(TaF zmf*fKFMj8G@or|JcvsWIW4vwnCLv|`I!bW!9<{Q6bI)x5jaI&S_StUp?A5)GfFFCy zZvR>hcY4sM_I&oKoY;}q2|9IRFn#L%9W&@`F^E#x+j{~l-`-QreYRk|CtH@brH_FT zoIBc=$S&mHM{~^W(>tPX+a_?toHHxI430Fl6xC$RG%ZX*AC-Trd4cJD$IsiAu+BHr z{aO9nEqAU4YsmD!!|`)Xb;aRnJ`(s$Y^;A%Y^<|v2Ib8(r5)z*1yozC+(o$ghqlSL zHFuIb_kb}3OK4_y@^d>T*47cWioMmFH3T=i%ik0?A%3z|T(Dc5roB);pf2chLA6WZOa8 zf6ex7<7WG7qIULO>;XfV*ob7tP_! z^eKF60RcX?&wZ=smPpU`1Kr1D143@`H?iTOtxdy)l|KYFcz<8a{Yyc8jkq~S16Edk z6+-S`i>=Isl{O<88*JE&^vl4cJyJ-FJac;Gw(`wy$ulYa|KfA7i?#y-Ck4xESx+(gAQwQ>sUC0M;d#4x$dZfeHqZ3=W&k2K0UMeATRHx0 zQyuD(9x(!ixxm7yF*6;B&0JA}vo5H0jA5tkGiDO~n~?3z946_vZE3q+su-H6m~PHOz5p6EBO6+F%U_qtQBz*zAp<-tvw1kJ?%;m}SW&G% z(sY7ANG{I;#F~A{1Cu20H?=aqZvymjcx|X=6JQhIVSgjw!m+aA_tj>pKpf?Ojo*6Z zSu#6(#0M-KE#hZ>jhl-IEAC|fT%7%6WW|n)=I*Mx0&*)L#;+cn_}sdKZ~4r_Ps&gG z!+H!CWg=_#kxcV$?_Y{{?R@COT2w2(R4^$$YmR8Z$Ge{$E=s>1W)L1e&?-0f?smetDL1wFI7>nvd6%bO0O3s?8vVr!#s0lj`pO(d`buo??2PWL=jlGS%L zsyp5`gWi&7&|PnHz(#H4m$$F?e7T?!b1$m57Z&v4weVZhbbBKH!$dWI^N4vs0)!6} zY`{Vzt0KE<)B6Y=mx_BIg{A!F)Dite9VlTa9nT;@wd$@W5UrsuY3_|}{5&AXoSCMp zD(DMM8u0KC10TEvHtkRJZQ3ArlI?rUJNKYr)??1@cwzpw@I2om@0ge*jQ~S-Srvdo zKmn(}l)T1@R9H6BKWbjd=MeD}o&tbf+^O#H4T0j>tOLH;xbaoMjbo55c}Hz!4&UB1 zgT^zO=Ld0*4^m>kN#hqF$ub`Dy#gVpNbZHy%gTwNKK>cbob9U}g=sI8hwo>N$P z(Xx)jkfw50Cmu61BOHPD+6P*S`+GskRY*He9 znxS>EuJHY=R6t6GSh~Kuj5D3!_0BcY+qaPhKIoCiC<9XkoUAWjo7|Cv;wFvbyl|`K zm1EtRoiP7mu0zer>D_-Y52We&7Y!He;4@=b5(sOYVUeE;(Jq*%UMf~Htigpt#83^e zhP2yWSBx2wN+b&s5tI|t*eSreOBb9hUXyHpzh$2-ZD8FV1&jMA_#`w8-DL$1DN1x> z0hYcF32{!s6L0h*_tr970&9C-t3Vg2|BO7Qckp@gAHbjaA4KiYL}1cPHU7BOS3p<=wIg zSPBxeybKSXp3e~dtg|laNhB0;nZ<3v5sW~rTNQUB?*lN&8Ml5LRpaO zaG1Sc8G_Gc@D5pLN4QcV`26}4`D`M51s4?ybpG*GB604U)I>eP=dz?EJr=5MP(?XY zbd|ve8Ov5W>oV!FGFa~{Qhe18!~Ac7b8pdi7hR+kh2RSb_$Cm!%uF7p1YuF9&8RR` z&<17H)5t!C&cvjguyhz`-=e^&U3B9B{78xj_*+;oMZ zBYYR(QYh8F4W$y7z3>y3d4}y%3RXzaRHHz-uws8c0biU_t}x|Lfae0NY1d3jq*g?p z`R6y%w*7!wD$lY1Gk6*m#pQDq*--y!#uhsI6Wl_qe-C%s7l=uxi>uAoN2Om6{%LDqlYU_POl&Ul zP%!%lyKqZD$H%qP^6R+;e@1ot*B|&Y>Mt(5p&#XsKjYng(z|eSL*#Qf*joBr^bFho z2KW2mpMqnrU-R@EmK{*cMSp_o^e?Rhd|wuLBYHm6|HkMX?%)6P9{9a6JWrnX2V{Me zTYyBqv=Ccw29AyX)c5DF-6YB9@vqL~x3i9Gj2(Vpjy zy#5vM;fE#fE!i@JMuFS>JGqmOAJtA5!qm(2*G#kLs&9NMkmuFs003vV=)WwwO+SfF zKYm9vu(jd5Kfp6g3&W0{WyBs`}NWI>D;>gMST2#Jc|Pb zGTh|I+JdY^_I7^3`})@!|LhF}_q^*rjRNtfX6x#_MO+7fKJT5`-l}+3^-Vasko%)O z5ATfr8wXu-pY?@@^e?@9Q*iH3p+jvvP`rPdpZ6bo770giX6(S~Swfuf!1JOu^jfIqa`GuAi0d8z8p=zd1_i*tn z0@F83&(?eJ2-gPdT-0~L|DM8U{nef~%w6hEe=rfR8}t5CY$WvBU#Gj~)R4fS$q&B%fJ$YCT__ac4A# zyoVvyX+;Z$(Ss*5Pr@`MwA8}Sx^r}$UMGqBtp=_i0jQYlK8Y@@f|jcFgD_7~Dvdyx z-HP-Qt`}g%YOX&BI<$V!Uv*Be=IcgsNMbeS`X!{_qmMsEo|+tG&*^nIo5X>59Heu1 z*{wPHJ$`5{f@@I`iNBA|V#rO;Hr99#Abrk!&MYn13RD6_R750Llmo%?k<~i14o{lr zx<9DAG40(C^YCt_M&e2g@EH;$yBR&72N$A)^|1M#plvHgMd0gZ3Kk%N$8j2_5r)4n z0Ut`p;8ZC1D<<&A4#1jGs;)X={WzF8_~OJxT378MH*OZ_uD ze&4m?CcDpKvA`tT#?f|uu<~1RhcWyK2#Xqn8^F-)*KrD1gwK(X?d~$b6l&0wGvQ5L z;b8$X-x6HEMYnH2oQg{f6Q>M4DQX3y5PQGma8pEBIxg78+jg+v!Bb(9z)d$P;Ervp z9D}!kor%GUtMK4tVmoFo?PqP%cVC&Y1)A(KZTmEcYQ?==nP`pis^u50K{}m6J)&rF zh)-25n3#YM!%{?UP;lqKr|DPtjB=Sc!uA(V5vxXpFNaf9Fz70LeZ_|gn|K_SxckEh z{s00t2{Ik<4Z+a=;_Ut7+PKcV(UA~Xwz1I+2Qgq7<_rTKVQdUhQqpyunZp2sEQ28= zCEjcrWRk16Zd$vfOWVBNnc;xPST+VLshg&0L}ZtEleQ42pQJatucassN!=u-PBz`$ zPqz?~I@xS@!Ps$rG`-JYci;W*-p@S=9%*JY(wyha^Xoj{?>UM^TYnCyM1H}q(oip8 z&(e}0twaDoBW6k%vjuultA|C-L@(ea z_4ij!edAgeIR856on-+p+pE9q7d^{D1IfTK!5NoMcmI#Je8jDQyadO#F>s2 z)u`cR)TSEgb%RR&u_?aLvR@5I_L^A;Fa~tDv0ra9!~8uw6oVYsgLaQe-srTOpcMYO z1~yhJ>fkV3RB6grm2G3~YPOHRNBCK(`iW|E%9@X}zA0J#spG#-(8O^)-a zk}f+kHmFV<5&23pNo#m5)5ZmKO^YF`O`mh^96ZL)(~#rF`&q7QTb`p8G0pZWNa z>ryQ+M=1-GF#v4cULEG5J15nA_1t!jYU&Vi17z%5Tu#N>sNd4)H*Y9F*d@e_X#rLY z(m$x3U^g!s z6LHy#BHeCqMUR3H4#Slw3=-W~Hz?>+hXT;6*k0HvF{@Hm{19*`J#sZbt3XHd0F6rP8mZwuR<+8=vfo>+1CFc1P<5z6r5-4G+T{x9tVa@k zXd3I(CwqL!<59^6u)~rF05>g{R2AXhjpnygh){ zu+s=Em{7Y5`doAiF3A8LO57ZP0u}ILf#~s8TL9e7+k~++ z>=m$=GZ5780^EPbTBDl42jp`f#=i06jg4ZDh=e+72|&J)12m7qD1SGT$3=Y}KP~|p zMJ88u!Kn8Nw&_wvw|EZ2tnMJ~-qH=+6Y`*`Lrt&6bqcQ9|D0a<`q8VIH?G~NdmM?y zohFbj$P}eeqnr+_IdaVf+HL#R96w{N4eSu(caC%0p?=t!Ha?(?OUJA+#dj#3Jnbs# zsUT`6sCNGk()CSt%07aIx~FM7MV_p0KaZn)6RUR&VqNbV z9F+1pJ>gwF=zyj$w}MTrs2k`J^hiuP$4wYHB@M*aa}q*&EmWj=g)wrbn(1t|0Ov{E zckl08agc4C(s~YVy-$BoY z6$spBlWqXeWpPD?)Eid!H!PACsf=6C9ZBI# z5n5RRz0>t;jYlPXFZp}P*0`Wd=VWGejsEznEv+@QPDnIqOQA3jN2pp-mxKmxU_u^K zkl0K7ZEmVZq)i6=x29yX^TDJMe_1PYqxmDwYjvAE2jhZ<=|85|8FgP1i z>G_n^qZ1ng#5_aAnsG?JB;s7mqAh4V&T4wMf=KgzN_y^~!D;hLVv`mgweR7z{$Xp6 zah@G!<(_gKEt<7@f|=qx;sLdKLbGRMb2=!c@gw>$Ud^4EM49H8ZdtQ3mT_yhM$aCU z_m7fKfB0wrT?Jpee61H5YsDN-G#`**DcHqR8K#8K;#a*A_r z(tK9Fv|1A?^Li%46V%L;;+})D%LqlJXh_o!cD1@xDRY#%_|$Vyu@%;TD=)&1zyxPk_#ncobC;y~}vf*KGr%g%m;4s$j0Ii2JSS z3cv;Q@-ILCwD22nJ!md%rv?aIhqVrF z1^)FIUI68~3=ga1aVa9W6z79XOkhNA`5IUgLH_3C5P0kjlDk(B4SRxDQqYG!xz!jk7_T>Wc%?4Iz9&2Lx)GK?v zLrA0f*15Yh_%4^y)F52Y5L(@@n0e>sXpGz|Wru3qmE9|)w813FmyxWN(osAlt4~r+ zQP;9XR+sOq7GKc?Gy!#^rZ4(NPxJ5+RhS51^@X#fwU4BL$fv7Db2Spi9hRd~W2Xz* z0kD0n+io^<30+Hl%pHT+(;A$eX8RfwP3aUuLpJn43EiK`BeaVZCG9XLDKo5|RW-Xv z9@6u8M!qLh*wCllW?2M63c4}EXv3B?Y0_>n%*MYRUClS^682cA;^l#6YfL|2`H*Oe z9g2Bpg~}9E$DjPKh4+o+j$xR*4wonZfsftn;;ZBz4RLwes$<}2xdansq`SZ|1Smfv zYD|YgQ@nT-AVN=S9l&tG@$5>|vqje>J>snsi)j;HVH+U4ddi)Y-F&H*HWeUbfG5x0 zg4sq^OY57th$Nb9+AfuE7xuB1ifeSqqhPGNq$WIp=p($Ba5b{uJ*(uhd6M2B&^6-G zjG&w9%AUP85(ZqF(mAZ=@i!Zu--nsidJ9X5u?ma&xWQ=C1FF88X62!#wWvzIS<~)K zGlh9^6MT|-A5axBp(5+_(#JQRS$J;ewfkS$r6sX0kMO4?OV=U%ctPGTO)JbyH}j5u z(6k3kS1Vps5!=XbmRRYG4!k!mHTYB`a8KbWLM4>ctIV~WoT7%?XrmF&!AMA@@{qQs z(&!#i`zG?nXq0X8Qxi4n^#&0|JeaFt?G;wRT6D znYIiyqvXnZz0@dQRN=?wORP&*+`b-}3X2>s?X;+;O7r|a`YR-D57nyil@5ns1k&sw zPVqk3{MYLv!Lm6iW%RJk z(a{}+)Kr0HLaNTB1TQY)Wu$@f5DQgpE%;S(cd{wYjTw2Yj_px_cz}-^Tkb{)_&Ia% zar2>RCTjaUY9t5+ipi`r-E1*3{vrfC4TJm|q;!duM4x4;8t;_1l04pp*5jk&Xqt~6 z@0FB{n&GQ4)w={YwY4MmNDtrZv-mt&Z`FDrDJ3us_CeknplCHl3d+rP`fiI*-~^4@ z{ASK>28GAha9+-@Y7LqY9Y#3YNHbDvrNoClw8|W<21hnu)Oxjyj?@+oi6|wI+{xxO z) zzEQy;?(#9>8Uh>_-fBmI*%{!XlCi|lFz~#9Vpj98OVWA8D_NY+o>HxiOs=)E5;|mA zVe+6FlW{r*IUB79*y4bF8we~iJ9%nq{U$M|52_6HJkG?u(&OhL6adNu&j3rsanoRA z!hrT*n3T`u=vT~m22DHHF&~sI_+9g-8Q+y-*EKsNE+G5i38;X@BFkAA3hLf~j0KfX zOH)M8ktxNlATr&8%8X+Hba1PO_x1P~$z;ZW9KmE_qQK<&y+W5LgBhssfco2K!d09p z4lloj!B*CQUjxw-3}vdzx;}&;(g$)EFeIWMUKs%NM-95*FsRolGz)Z#z=H}I8=^^& zGMcrW435We3j<7!h_PcuFx;U2@yYV^FAM(4AyHUvARLV`p{kMTF-#rIATI%bYsd#l zBT;2opxA=Gh2Nzy?tsbLsgTkuFfLI*K>wj(4t_bLfs939Gx2;(;tq%;54Y zCS&^a6V#cVSdUO#u6E(NVR*D6(47s(><<|?(7kv8^bFF#9PNoV5pG_Fg zH)c82V+$Tjh{6R_(*J^bMBlCrlz8A$LAtr-9^JRF$(lwyc(vK_NEg6S6Wm=(b>g!M}+p@t_R1#+Y(9h+NZGvMxz;*a}SwW6EXdX~S`7ApU`UI(#Q(wA^k`AW=Vu zF8#9h<)0P&aQ(G=WMrVy7;OUA1d=4+HZgY0r)h9$XF3GrSdR(hA|2Oh#`Gv63>^?m z5`tJI*BJ;WbY!rYgO~xv6?}}qSmQ+ocw#L0F{4U8xL*353J{|lS2QNZOS&=vy!(i%`HQF2am4^ofqbGVv7KYD%eurax^-(mo(SHEJ`sc(Yzxbb zgTQsB)K&pMk}Tf8z^jHJ7Hi*T04$6 zlc&0s^xZHLVYiiU!Ec$jO`tz1xHEMA&jtVf0-WMB@l{q=;djB+Wp|l|6{Z6jw&Xd( z3_y-Wxd0?bOg?BECZU)a$e64m(2YQ=0;DDK8*bn&0Xm}w1&F<1fO!oJYA=r>$htEg zotNaD8hiz?toHIg4=@p7xFdI5Gl37u(BMaMSzAa$0w+gzm9}~m4>DjhB@LGivI7=B z80n1W8DoXCBv>KPtqgPqD09hDM)_s}Hv@f^QF1nYUiSOn{pCSoEE|-a$FSic@&XGcrU(J9)Q~XZJS12=`Xj!9$|qc#i=04BEiC6&MAUow9X- zkv#Abutpn*0*A--ss@HRt}q@0Xuz6>;E0x!UMqsOfgu2V;+%r1%D9av3t21}w1MN6 zTk-k>UQJbG_ZE+?fjI!MLypD(pQ&4uoPncr0*nAp7O37V_uYUp_jUOsNMAuZkU8k~ z^PH472%Y7r?Rnwtw$-z6-Q_l_c#JN?WSVr;OF0Xeby_>&D}4lJW4tO+IBgV$I|UXs z5ym?>UipXpp`H**((wy1CK4Q(w>2;+_Cjxe=?ndpU zwjzMuwQ2=5@~BXE*I{{w+RSU)ex&`Htu9@tw9{3Y^d?QY$W3X3`*2s7Pp^v#H;>dv zV_VsU${H%7x7hoFoR-knb8@io2U`6mRBUPXk7VHi^Z8J00QC`?tXBIo>2_3=rg2Kd zrNk+djQ^-Z9u>=2K=ix_e73ijYiM#hJ(T^E+9LbLeQ%0bv-%M_@JF>0?Jm;Be6cr1 zabw98owM<8x<6AjL(l3r(9_nl=ovkqwXOv1yV&)i9b%PvvPN1M^i7$;g|V8<&Gc}0 zX^$07A4{D5`DnG=n%%)Y%6C1a&06#3JbK4W@1+ZX%|UTVTX{o)XkWxk!_Q|gfOnHV zGY<$LscT{OWo=D7|<@FQzqG=8&}mDz(~tv-$#QYkUP#OQoZ8Xm~u(Ks0Gc z{}qHumqTUKXvpk|#A7?ABW!A&{HWe`3h|rSt|MBN-H8TloDj=OHregft*eUATHXKD z_(P_wS{*~_{%-d+dAMN?yP8dV97#3Sf_jAd>BJ-Gh(tO|%`c!0b}3sQmd_LYwEW@0 zesg7AqK((a+jwg;U(V}t_#$4M57=yWNGzz)Gn?w5dMkYvy*k2*Yk1rG54##Y$6e|$ z_6F>IDtYVBmdE9Ai2&XOd zR_hn|Dm;{als>=?4W!SZ@rO&A7fk-Oiu>ht>jNY_{;>Hlei-+$nT?1P&$Nl7k4CtY z>k8BDd+xvdTjT2Ap1CdqcHLlbVt&uf>rPDkZ$g8g3_khXQZ@OviSR4M)#P>9_{H(| zC-=P1lku-4!|(H9*z9@U4$oD7di&oWr#}_Ha$?U9vaor!dNLku6U$*s=cQ96UtHd- zX8}PU4Vyhs-Wnwnekg}nl;k7k2q?3{gYDSzFbComp zbHQh&xf?%j`%2pb1v|hnFE?zjAmpI@oGwcJWGvo1t|<+wY}Yqz!Urv2qmld-A%TgO z(an%so#7|q{4mEy*$LiogcZlfsjo&$8uQp~cp`L?j`IigoaXvCOgl*XDU^i)#Z-k& zR!fy7ByBJdTZ{4{Si=<*NP7G>GfA`3FrTB%@W-hU3hMhcNTbW-V)G=Gqz`?t;36`hz;ePo)lYGaap}0xhvg3IZYfk*a-nyQYA(gQ zK`fOPIkVoFB2cXuBamQ5QW0K*$7=XF1jIe)Ae%tObC}Q3J52J5Ea#ILuuAuCw>os; zv@P~G|EYN7AO532@4DV)DcF}bv|9zNwX0Br-d;bf{Tnktpe zDSNb$ELeJ>G^>hfOK#Pv2IST|8U)LPs?||R`LMGq(DbmWpwau#L%0zti53o3kvsy$ zMnr{rTzP$c5KWFx)bip$?`A4iWzPC6IwOv@-O$=KD$Awyd&OdlYuKaCL00RL9nm0N zC3+4}zm-%{VRI?#OBJG2k+w2qjIwsnVWkS+8#ukG=-ZeQcLmnJNR= zU!DqeB51UslFI#1ARHqPgY#9&uGM^#jjPZKTGcAyUPxBq8{*;|Ci&G+&t#D!yxJDg zhbS{%1W<&rBO(frab=F?ChZ2FxED?bMk0SulIIfgLKYoC5t{|-(~BmQcnsmRb+~l= zAlq+k{i4n8!t0OJeqr;~2Y-JxVl4M4vr5$>7s)TE`rdccDVkm<%UuIfXfwYVag`?2 z8fP!4UKto6bf6pQuaBH>1flE$4_B&owUKpDtudz8wFktNH|YJ}O!;4mab>-w>d-t7J=vzUS}e$va4vbHap0C7LPHB(hV0&{YH zSeGUFUad`!jWiXVEW*+C_%*@~!4O?llQXK_6|nCuHO2W^Yc7~6BYrB+Ju1(>q%Sn1 z0XF-13~h!&o7t4SV2dMs`cC}43EDJXUw(x9bLr1MdA;C+!`C{RnQ!df96{~(q|__l z)z-;-JEn%tLxtOUs@JzP0?fZdTvDCx)~tL?XRK9{`Mrwi_CVn@+4k$oo@{rIf_`J6 zB^$S+j`uSQuiupELN7O##cOt7Kxf$h*P8a|U;FTbrKp-)fJSXiF^6x=cyjSj$;7)Vx;hf4yZNO_k7j0C%~d&ryYbDId&zaEG?ULR|u!QGl9Vq)n^>ipx8-+|%CixmMWOHrvUK*9QtGn=0 z&%ctf*GN$yT3WNJX2l-@@xIYWbn`j??)chh0KXTEWsb;zi`_SU1U+cimFd2VCQJl$ z4B=#Eq%_RM4!;x$k8;awX@(cHJkFYkGLA*x&xLmts$J2N{^XVo#ekg7QnV5SJS zVNkdY=36yj@bUt`Odnp6s-b;2YoTsc7bxm}e1V_?gPZA3NI+jppCYI!pP-pB#`7z# z5$oC#39Ftvw0Cg<1~z0jl$&;Qh-`*u{s9c-L{>rp&MW7ee5vjOP^dT$YlhSTe7?yl z=)!t`r@aKIEkD*0VHKFIkh&JZS`l3>Vp+^L9U9r)m*IOg94yI?I8?=V$rkhC-A6~EvEbsLE`5=fb7j#yB!3G_9K(4)oh?esK?22vEwby~d=;M*2K zg7bsWt0^u(pVhL2*Ke9_`#r50w^K8el2NpZERjm;X?3wMf7sGt+MuS6Fs%} zoLEY1JoUdyw#)b5QFQ#W*dFFSw%SWO7Q!uG{Yp~4{8hiY)L4G5^Ow+}J!DeHKB%Mw-N|4yE)eMp`>@xigh&PN8m#rAhzxvje7-DfA!iLWH2 zG!%0`*+O2nzk(Y8LZ#kwi`}`@xAC{__jS@mT&qbJ#J9EQMby_jbNtfnP_O<^>$KX| z{r^ChPHf7CJ6@39ftuLXB)W8V6CU}}SLc&|*Rzz~@RM6EB)-%6k=oYz!(`jOAEzpR zyk2grYdXI87L?+C`LS&A%jM@1{hjZ(UJi!S|0I1v{^pxsrtNiAQ}(5?ZF`Czex$X3 z_tjV0HS%$5+wDhE<=+ZiA>}vxXo=R=?L9eC_xgPB+xmR4zcaYhQ=3S<`_&KFEBN(s z{EIJrp4vd}B8TO+J>@0vpeA?O3n$AFefNWZ?q0g@H|*&mprNVUH2>scqAmL4hUxj> z6X)o=uRKT>cCUZ>zVJ2%YSaTV9rH+;11zdrAM~po;Sx7e#a8wjfX;P3tv+Vb(s|8-3OYr_GW+DqS))svs8og*Dk$SV+>HkBM;)GcBBDkVkMSPYE-0)DOezcW)hnQ1RKdy*ikjhYMeKeRF z3sT5lDw9AN2u^654fm{(63rabZw{r6OSErjes6K*y(+n)>fzaOQ?F|aDs#8zy_E7d zO*r0CsX2fK(6O-mn}Lkgd@!^*eiU%`>bvUDCDv}##}C!i57A(#N(BdVFIOf8#;5pK zW1ERxvl+4q@&fg}nYO+z``TZvYW{Wq+8Cb z_W4#q`6A+q5;w?%$v9=XL-qJmnfus_XuE6mxCEBbD|H>VOBopg-J_`>yvaW zG8hu}2Vve70vK>?6@D%8@d;g)OAsvBDQR$gHQ4&38G$l|*iJ3Q`P@8IK3Jw{!E81p z;_;YGj>6mm#5mY zN4GdvM-_DyW1*mT?9;)ci|fu@yWdr+okvo%RCLqz7$!=bxr2nF;xR>qa41*o6%FzL zl$G;*q8&pVw-!~TobZijrif{x?#-wII7yul4koWm>~1D(#;PKEj2AE-3!*4*B*zW6 zsPMQ_QzxMdAdX`)P!1~_DULtI18)x$Pp;LA2ZbDjSMzGC3}t`7A_KJ?a0zA-%KDK$ z6&`IeV}%68I3INUxPH_VU4%=XENV!50TN9;ai@P9<2C7Ms#qEnYqGMII+F%FxOW1epjeW-smsiCe2#0 zFIfI}rs`5{&_2ead^XcU97uk0XEkF^NR1v)^UK}se&<&_(1aih>`lg2VhL-&P z6=wC|lDWque^gh!`yy6Ct##H+GKXAMH=NiUMMPq7b_ykqjw(L*JLx*TgXAH1-4 z1f82c+t}Q@4Km|J0DVi_JBl8k+LMTM*k`?&FzXuuxWkI4A=Ee>daAf$7f9qbWImyD%B&cf{H9i4wnMDhu~M@V z?R94h>Ik~!ezdXluDDo5*ozrhF)8snOO9=qf~X(AV=Y+QYQ!q=`^dO&u8t0jw&6Rv z*u~6tRK8WJ_YcIXUYvUZ&sk5cuLv%ElRG$C+mKq@aFRm2$Za?sr~5nR)y4%i()a@4 zcSF{(9SzdK8&;Uxri+tRDtV2R&c4GVU`IlSOQ4)~TcnWS9JE4htwHAl=#u?`JbJ}; zV#b@Q4q|s1JciR7Z`L=~eAqwL{vwE?nBJ6*)%z~0Q#F~56zVAJx-0UIa&5g9kHvs;B*>>8lgUtA?#o6N+Pls+ z-tTYR>zl`_*SBz7SQ!3NprY|tW-+b+aDQ#6@#9b4Se&|^<6qTyk)*)L_ncO*{8dEV zH}q05|Ft`|1Hq!Jv95RHmrJeFH-4z@ztMR%I`mzkRUvbK9nd<|O=mX$dP7B^31SeC z1VQ^UXxsN5-B^Bmrp|BGmrd8;#5o+;M~;DN83m%9_bo>r^`%yQz@DpHEAnk(N!L4Q zbUXTjrIu~2vSNieb`$rMe{5ns4qjNS3tr$Z4Y$JI4j!Hjard3{n`$0jedz$mqrY*l-@K?+fKa4NP=cpp4?G<*JR0dE z{1LR5#-#0yze|;Co6haPuSMC{NI5X0Z50-pfv@hFNIkfa{-^I^K`}AzZiA8R>>0`YGj&?2tBeX~VYpd%>XCN^J2PkLm092<<16iJ6j^+McSMd1O8~dYc`pb$D4)rTnXnoz5{h z!{K3(e%iT?yHmbVYATseCZDRT+xUa+VsQ#LVuu8$uM)2RF zuGfLr1(L`wZQ#C7tNBkz(Ie4yrR#$~)45mLad1BeK)qczeOmvGE1#daCQV?51M9gz zFm!#`Y0#S zL6$=Fu09kYuPUQZ1)+xoh|ue5=xVhYzp5Y=cHO6R1t5Je4NR(dT5W~QbWvvT5xJf# zggDmin{G^4&aMOKR5uHjyLZTh41uaw01_f&LUK`qf@}(eEP%JC4+J5A&E-?yr-Cf^ zbJHzhWW3r!9t#IBu$%{b6hMs!=obN^?_@?!@Jx0C8XxAZe)9fut{~rmVSn~q`>nCH zumAinJ-H1M3ZS)ipFUTv!XF-bGXbiLYv-j&NZqz~?z>3#*TLV76YCo9dZ|Pj1oia{ zF~w22;=P+YErk6+y33MRgd+^o| zP54U#DHSQtqK;Q2Fen{=ln;9&A-SCUrk0e>isycVvu_8rmD(aw+i^DMhKrfW+j}eUhnb3AIT%qB zuZBi}KfRr=_#gxJB?E?D+`AcrapBw8lD=qb*Q9#MQh|{rRs{zK=ESG`0~iebO#P3Q z+2K);P`?`6%#&K3omVeB2O@e*`w~(>M4`#X)kqo~?=39+(2SP$5QsHPq#m=KRrP*V zzfml1+IEhE`TiWo)`M_9mk;xQln0`*V_@*1@;V1(^Qg6eKJOo4U;Bd%f;k4V`Jx%y zMhmxIlg%FUHSxM^Vl>;X-Uy=UybDCrMoMbl3pR)&GLauFoPK$DOWk98z^)8AVbKrd zx!`@7BRn~GpGF_;70*rln}X+74tp(z_d`+qm!14L86{1RGYz^uNLSIwY5f z1>AmZf9J&vJVO_1er+Z6p!NTmGALcn#@kN4hW#kOtaN-I*uIC#24J~}&WCVvX@;8pR0Ij;h` z8+|FH)j1N8X6b6Qg_|fgt4(qeZJsZ6vh`DH0k7tCerSS*^wp7d=~hP?$6k{MN9OFC zs$RLN`k>o~CqWp<+IRL3_P*C0S;QP!lu^GqQ5ecp1g*+;j!uxIr<}PJ)Ds5BO{1TzuXFvICr*j`W_Gi zA1zLtk|)C;j3+=6{#zQrCpyBy#M9SmK6&(w#rqz=CJJ{I$;ws?Lefl;Caa?xA+{eD z^Q7q}4xdJzQf^E!S4#pQpQ}iIH)#UD*L!phnE=TZt99H9OU}j-^tD+Zh(Ww2Vx?Dv zsixVD7B>n;V7!GWy4bs!<9J~|YXe0#Hj^i*m#c2ocuX?1#EHP>soUs|8F_dd*1>^D zwXIqi_4RjJYjq&tsB4uWaNCZD`O%0Rs^Ue=xREiLSb8lK z*~&yxYSMt>o`rC?<8eKQysdeW)@U-h9lEzTQGt?%z6K%1RjM+rIeJ|q$>-Lhcnxdw zxvbu4Fx+Sxr@(U8EVCkIC3ewyoVS}t^~YpbY#Q8r@!>Q{k`iw0UZWR`ie(380Hnlk%QNl zLsdGhIZ~tWpGN^9sW71`LD3C`RlT8Gvs5-*3KThlh&;kd0Fc7jD*@pM0&qkQlCY`s zawTD?H?BMNpbTwLQgE6V7L4-%@Te3r8US7d>^^YC=su`NRv?i9_0iPzxI-Pl@TSJ( z!l2Ng)LriIBPpub`E_&F7J*=i@VOrQ%|3* zy;+B~c_jd1*>frFg`9+ z@IUo$t$D9=&YTLqXD-t19qso4ZN}xNH_`Uehu_vFCx>UZ&t(_)m+55{oAq*;`~qD$ zeMoKF^}ECfKl7>Hj!x(c;T@^kN;y^zvu|eRhb8TMc0HT8T-mHozV$C%3$N?zWkO-I_wgZItjrH6nY@w=s;wZa|3 zFF?n(bCAE)yEknD?v>!i`Q-c{ki>^~eH?BtOnjnmhoU?Bj5Kg*m&14BHy1CQ>geyh z+}d)YcI=@A{OUw9Kre9t`BHacB)IW%64*|bp4^b${ILJTEQWAtenHj$^TthMUk{zQ zV14wAHymBT7v;6uT#5cn34(%whm zKn8w)yfU@EXIKs47Ffr}InoeG_2Fqa;#}&jhEy@^Z>ijP9OmVI1t6Ce=#IY}o5%Y* zC+U8OPnYBfEC#WGpaHDUbb};;akLn3Jl>3dtGy`=FX(zjQU_j=+hDi!Q^=Q>U!Xud zN*84PXNX!;NtkU5=%G{Ue)8)od{u7_I?R#QtZprqI=#e&YC89mS)c@?(MO$6!4y^o zs9?B{CjRcq)`E$fu8YmfgQd_l0B2ADax^HW$^y9Ca8$Y%>Sp1ryl_@FfUN{Ts4h7G z6dj%ba8-Z}F{oDoL;!$OTq@QTn9p^A5^*#PC_B{ym~bbb%XGmSotUBd&T9s&53>r; zi|~r^)R24!8vtMEv3%G8&dZ?gbT~kPS)cJ}hR1ePquY={9|5ce;D<8Qs(e~m&XpG& z`?YhCzcdF=!$9S|i~4|U1Pq*8kYQC=2G$P-4Q4WKP-!N-WjqE04Q$rqa2kLT0M!T^ zM`r@RmjD~E@A<$~E_p@Hr+a{^Lts`pU`Ie(EuRF?A1F3~T`O`N zCo1Bq2F5K>APwM^lp>C{hA_sWq%$Q&2+DfQ z@K>KDYwq|toP4dhoc?9F92%3hygV41Gye?wABFNEs zxoo}|kKmjKVqS$+xE6z<_n~;|)2BM`uI=NTmyA;i#TkwSmXWwQP^Jw-{DahR>sqFccaKP{V!WalC=)?}5p0qV;92A`*%X=zwpVfqFsMM=4heMqZVTdAcD zDdx9i8Skp0GeuU>%8jm5dMD?XRujS{BC)JM@319bCb>hr4JPL88@kI>qaIQmP|`7` zDRS~N3JcdacP4BJ+wDBJs>CBed0MX2V|avkr=AV~qxy{1@x~k%gY}ho&j~a>B+H+_ z`R8v}6}ab;!z(AOcNXzT;!5f zKoGlq=P{#s=;IiMkC_9EeqS3m^2szTL2dL~9{%nX2_;Vt<`Kryh~+x!p$5Z5^(WG% zI;VPU0p9RdjqH`6qJ;MtoGl*L1ko$m!fqw0G#In+5VER3_IC$?+33p5;fQec@=oSj zgJ<7lJfh$cV5&xT1}6B00nlqOYNLV%=xFfJ05Z1)hNH{q@ueSUJO=z^xC?NUO;9a) zp!yu;va-;lz^#M60jPkX>DYv+Fz0}or!gxufdOVZ_^xXs*Mj}9%&yV|+vR5SD}k4( zOIJLq0$ObWnt&QobJe`@@aI$wvugyH*4hPi0*umv0&><5=raP~2|7&O|TqnOVy63oYeRdC$S8VAh= zFV%zq>UH_a2C%P}y%t+I54Kx?-GGmDo?fTB;9s|5x{r!3q(Db{%l)^0dewJj$~g_1 zhp?8v6V{cgvRp9NmxP7onZbPfHl;%)M$&+*bP=mq6!sV%Y60g@UXOM!Kmb*%9v+?9 zaV9pRieBH_r2fbdYxDt6%+DPQrFnY8*<35%dbo*Xbs{&DG5HOxnRXfyC7R_zkYMyR z$5^>p({B_q$Q1Hzb;8#@j9f*wlqc$;fEImvq9wpvdt*Ii`r#^}Q-(bK4i&fXcF_}_ zrmQD>CT+1o@^KVK43_&T$%6$>uxX9PNq3{Ahxo<;njE7wA`??FYHZ{(TiEHi>@j2j zTKDipDCu)G=?q$tc>0l@f7$~>>00v`B3Lsk#bU)R8MbJ`3iH5N08m!WV=4@e2_6dU z4wUg$arsnthy~IDLv=X8>&FZas7~O6WW`7m8%|kadN-)w4xMQbG4F=#ebOZcg)F1#L7A!pw z>0}&g9t^9bTr?@Z74VOq15{xFX%6NV`YH_|YL^cTh?tsg(rw19-)F4 zDewR@uqI2}X<&_3uC(#J_J|I4jPFC>P0014cs{vsZ(-hmI!>xFXm;obD6SdO_ zx;Fz4iUHDvZo;a}F)OgZy3jDQ`~Y7+02`p6_&;x)V;aM_gzIMa+L8Zx!v8q;|LvutGq1OWit^g#g2X@m z$t|!FdD$S9bGhdryF?c( zV|7G3pwbr2=Y~lGh4jfu1t`BX&TTZMhoZTnyGsN{mLfW8*?R zR`MPSFz$=Gsc92nUjS&@(b3qiO;rH3pgy*q5UmE&21<}IJvc^Kv(va&LE1iwV^oBbrpM?px(zeN zEq@CF8V*1`>RKHd1{*i1)fuV{BPN$4y$YKw<_&S$$SVcCsu3H7;c=cP)U$cG{-vbGXp zflylx1w|rSFQ#%%Bq|b!N(3P!iV%t4i#gr-aoEjL2)EJ)ZN}4j^C%YX$hhv?+oGA zHfi76ewrQWnfWr1pEBN$D3az=c8tRv;Wj~>oW~dm*Z4h#dcWeMTj2(9|0KcrVyuPU z5BX>km(Ik(%8`Ud(OIMpcxU{aG0w)bIT5Da_$A&rSRcWP3@PSER2J zDdpKiQX38`^lplG;3AEX)D9OHjH$CYY7J_v_|7Pi_FmTQA#hg=#zLjGyOE_`?+d@O zg%Tx@QgZuxZu+hBp^HE}0rdeO6b}HLR9)DF1NY^%DaIJpW-weKuV-6;Zbx|xc5ZDMDcd1_VR1e8hp}dsW$7WL797zpO2epylEOzsY5e ziKCxwj#D%JZ-s7s7<*nD47R1(t=YNw9`1hlsiI_di;D{o%8^EwKonOaafJA=1#`R` ziNU}dsH_mK4J*UnLyM#G;KybXA@8BO6b%QXF;$PUt{Y|9{$!f=`gIjMTA?KNl7xDa;rm)@WBtghVA~3`xKIg>tqNOD>V?7wft;Y)6d{i|=KyCt| zd@!BZ^XSsMnZgSh6W>Z`)h82D%N^MbCl*ESomn~fy!>OIh^|TzT7_Cy1S)X(z=czx z{O-do$aOWlhUJ|+mS|R(|GaBK!^T?Ua2!WdQNmJLH-tq~iYK(Zegni#(^bM|Jli)VoBv z|LXEz)2nk2dtfoz#3HQGI99&bh$;5xbA{)Ib2lhn`8JBqZ4lq6vg*ohVj^BwUd_yE z6B0?kIDYMM_3B5%A{KqAF{n42^d?E(I#~E{tTZ_$kh?2lbZ3FkPOvw2QKxbMaLG3K zO?$mk_+u_poE+6wY`K_bC~yz(5fw`D51k~PP~mm3d28}xS^(F8bAJ`=OsfQUPdM_X z7#aBJjtGS4ECev+nG?cSp$MW}2*XiiaH%(67j=Xbn z@=vQLC;ugVa`NSGO-}wv?&RctkbeTW;da1NlamjEE*rp?Oiuni=pIT39mxL^@DU)V zdUEo$&dJFv;0J&oD4Cr6+ovWckEMeh;Ct1RlWPDEfc&?elasMu0SDai1CXDZocuz` za5HfDmf1wiW+0h?*|jjc68sFzt_436vwU_f z%&q}H1G8(v&%`XBT??~oz|X+!TJSS5%V*cZ>>BVhFuNA~Ow97xwJ^H|{0z*l1wRwB ze0D9&t^q#-vunZ6#4Mj(3$ts$&%o?j@G~*XXV=2)8t^kPyB7RR%<|c_FuMl)49u=v%?R?D^2{=rxHhOqAW;vg zGU|}1hg2DLNYq1$te_4E`Am6cIo0kzr4AhcDIQW~)FCMzQf1U3DIQW~)FCMz7^c|9 zGLn*l)G|Y%4uMMG)8Oln|9gl+BLoti0bhswBBX#W6=;IxDWV`DkmwBfI^-#&fb0X+ zGiB8MPDH^9fkdGn1c*Kd@n7v5?WYm-O2}u*Gs|G&`%Sf-@(=bXr`9pd-8N{Z>*z(w zz75p5eLxxcOnGJ*oB4MCDRt-oNb!Jjy(cTckrWS8GEM5H$|wLS2%1utDr21oVAExq zEECZC0MVs-xDx7$AG;9L&}17FQJzU;rs8)jD`4ltZ{n7zUrOPi!*Mgr*yDIbQrzps zFP~J0EeKvb!m$&-0P>{>?WQPIo>E>~AeXM1HVy^s5H3-Vr!v$56ktfiy(YOYd#Xz^ z#j7E}r$D{FfM1II@e?rc42lLA62>Y}hzFEYN%0EEec2rs{kR_jHmQWMxd0{ddf-UJ1InqS zcm?FXJmm{?WCox(MG8o%sE0DPB>;vgzaFoyOi{W(iYFphC|nRo^yB^r>QhJoITiI# zcH7ZpTP&uM;uVnlvZqRpjV5Cx9{1~zE2*H6O8}BVU!Wv@g~t`( zsi=oC67hgzOoakPB*g>DF3}6|`EinD&D2!^DHZilMj{@j{Gbv@ajzHJctw{HxXy%I z;awD$UzLFjWrZerJ;+GJ1InqScm?FX?5U>mPe9?ruS2f*p#K41&=!eY1gQ7PACCeG zL_GkBctAOo6t95Xmp#=~8v+U+ejRef2fc(Z(7WVuj15WgfU-{ngqiT`kSjA#59Nyz zO==advL3iAQxGmn-+ud|YEUX3>QiJ8FG=wv_KP!pT*}V3 z|0Mpqw*7zq`1|I5QXYQSIyVm#tbJUfp*u%tgGAlyzx{&k00h{@NSUD|sbvait67ZD$odVF~S@XuR;Nin3i`e0}&4>Pp8M$OfM%(1Q_CDL{l`Wa*A33 zSxK$)WCHqBQlNnNw^041jOp|Wf<@{9RD5n-lYT*;)din{KDRoo1NYQ;l%V|~0 zw9+N!1Z<{!c=iN2^?>rlhv2VkE_1Zoj}TNM8_BB=%x3aLn~^JE3MLg9iyqVCnb5V#_c z6b~rRBmxRT;Q~;AA$~7JFZ7~-h?q`)(wZ(ayI;elO^dC_urBN3K6lrof3OGt7Oj`l26Gz*hhd z&}9F2kfhSL!O}FlWcAb-+#>Omz*7CYTI$sy_hbdcR3hX;iGt|+dlmHe0+3L*8t}3H zK}P{D(G>2>o{D%pm0_RMQ}{mXy>cq^>rm&*D)lUodvb($a|E1vGRE(ZfdN9BO#tLR zrHHP|gewI+<8cZF^eX`OeStJZ6eO%ij%{ZW<}3=hkh`?)(`-nxEd{0N$v=aF8zb`G zq>Mg%LO!;kc0M0dxk;UQl@C+bO<+f;~wVD9R|hmkW8q{H2oO z6{f~Tru+Pu53om_06-r|)C0=X3$AARg(k<3ZQYGwjm5vYw(hp^bp;oMc;U zKLybFdGU3iaS6+~U%7g(EmmOJ_ro1VO=BTmQHS`V$I;FPAfX+Wk$gMFlQFJ)NwS^; z*(cu~%OTmTpV0@_p%1?v?+>Iq1-UoMd(Lw^zi>KJ+< z&NSIy6Z;pUCFTxo5d_M;02|~XnPGke$N(AryZbgtt`GFp1GpFT9Kh!hv;|;2w!ytI z>cP#clZ;iNPzgjy5^e!5P-G+u7l1+lD5ns{h$H}d0hA4_4{CE7DGo%iW#N=5R##Sz(0T+Ka^3YKzU(ox{mfH>+Xd*&TEIZ zVJK$+M;(^a015;15rzwMWGP-z9s*DTaYKEp=NsF6{tUz1coO1=I&6>i2$Xxk?%HAO zAxXD^9117lj+Z3;Vn1$7V21Tbw#O;R0f65QM3(~40qU91$Lo?7$!i$w2#9^qA9A0- zB~x$)#_uVR*LX4k9-tTO=Yky)b+1qdK8Y_Q$HAl!^<}VQn*72AUl%kY2_>(1wnW{ zbAa~&$2jibV$2#7Gi0U*^;2nE`@@iism6+kasB1Q^&L=o6voI3y*=d}ok zE$G4Bi$Hcc*aQGSfg;9v7C4x@b1wrYfK;MTK!gDffB?Yj`?SAhTYOmdCm+M5f&H8C?zw8weFoV*KiAMjq_ zz0k)r@_e9<0(t?yuRB2Ad>8<2!yvm4T;wT)dbCGE`*#7o00IyIKH-=UNON&=fe6Hc zZLu8!Wn7a!`QxX)0yx^BPuvTdffIo2ald~kP7&q@sTY84?{LTe+It{Q`9!_D4v~9A zeF0-^N*3_GMxTNQ0^FxC2)-}sDG6}bC-6Hw+4Ti75{)D|05bv?3P2yoFGdu`1$Njb6=;gF6rAzardLKi+93s~%Uoaczz&I0 zm$^QZcE^9|X_725t#lzQjIrrL9r`8!-^=WF3P={jzTdwtVcU^gfenJZ^&I4Vq|Op@{8bdP!}}89tfBRF}i@b zt^v&P*Y)m zsbAr7j0N+6zFj-u1TaN(N^71ULmu z5d{eWgmwtx;bPAOj3EkuaFTWumI@X;!g?g+7z>Wyj|sV758{+x6wwZSk5McEU1a>p zio5nEdD8y4UjX}=?~BB%Q-C9ocmVoBqV6?04!7VdJiX5@mIsU#iMUs|(CK;b)yQ$& zn0w?%KKJ{W3Iyu>*!*>Xd6=@p#*yhcG*O2Hb{8A}w6*w<7Z zAYS)ih};7K{`+_~?uIUr(4S8M5D+fSh|`bPe_rAqEL#OXg1@i~J?eI~+F9K%lL7UJ-qi^!)4 za;!id<`rYWXQRtOCLVyfv2Q{F$glTh0s?_{IA)h{-u@L5pnf;Ri?Lu{agF=9Xq;e& z{o^?S{oBBCpF?0@1mLa{ydORP6hypF@%zZDAiH)=a8`k~VJI*3?9d*|2%k220^Za9 z+Hw5K864xrZ!}YD+pqU@*cXC$Fo91^D%yCIYF7Y#PTBYd9`6EMJ%{$}l)B2OJJKCk>T#)03cFa|dcuMv*ZKRcspDqd*o+s%C^B`$CLQ0>P16tpMU za3-bXz^`)01`T~aeJn{m&ix(GHtaP*j+mAcDEo1GW*=h&HQ>v&1CH`M7>7&HKaL5< zPC$|BYktyV>WVh0gmGY>NCbdwT|oanM_+i~?!vaf6Mz7SNN9tE&jgfxJ?dr>*1bAY z3FTd|SDC*ii`jMo1n<*{6&7O!ei;(7$Vkemq~;KJ0aZ+?R1osraOEVZ4}2 zqypgo1aS9URCvD7=2GKg4nyTkVB1R&`ar@lebTu6HHc$EAi3{)O(3Mgtx3j*q8}@K zJ-gMbO8S8U`d)|r{rp{Gd@`7v^cuHTnR4V!vy%b#5F?vI^ez!U**mf6flPf%%MB> zOZbw(1cBs_C6%Mz&mGFCJyu_v`nM+|Mi82!H^0I59eIY1kX^NtjId?umZ_vPo!&%65`1NBH|l6lvjuc1I7o)Gl0 z7N7(0?8E_PlANMIpGe4kIjOmD#hCD1hTI)DaFp>GgK!s_C;RWx1k^JL&nZ5IeE~Rx z?UK(^?WR?DcB$tJ>g#~x_*1`~p^wyh-;{uWzd0gN_j=*t$AIHQqV5$gFn%QT@kw;4 z@nbAFF62l)PnM=%|1INBZJCTM{x<4xZToa7Uosec%$MKjQryb}^Pi9hw@Z3qJ$x*- znLhnkX2j~|;Xg8di~;a5LVrH|cNf2$5)0r~7Tqq~OyCkVGP8Q+a(k_GI?jn}J_fD=zfW&%>{ zQAQ#ju->Ih#ER!I9LMDf>^DvDPALO#_OOXzS|F|<0Ka!2+&IAo_v?kwW;e>f!_W<) z4zLM8JWTnAvTp+-!#5@OLabL%;nR#b{rLP`<4Za2i~hF+JfEa~U!N8y*tG(%OUC12 z5D|Wy36KfErvL~Dv_X2|aB}Z<3reyM*MuJroe{6Eznnr`&=&K#3%Co=;U6uyf4IQ( zoZtK~oq7*XKyDLY+X}X=U}vI?9PAPJ{6ri9Bmk(JM$jJDm2wQ?g`A`kNhyRuPK8?q zV+ev0=YMX!$3aG&|2+Zc^bXe;h7L9hp&mOz+3gURALnauFPaF@;rc~B4N&(F(DonB zB<=32zl0sMOQf^~aT=x8q5MzSpJ##mVjy1qe=ipN<@l0edLbq|Oc+aKf&{ovLCVNR zm>QA}H(XF*T#l6%OaL2!6O(!2%ef6Rqu3#hKt1*Y3@FS}CPdwifHOc~hxL-k6HNda zZgpe~V=y5KD+wgHyY&zLxbyj==^f{x_+Lw3{~wcW-#(Z7?tg6*a3uWA4<>F+ubKR> zj)R#^$c!nNO~~(*5ZDq#*AVs?i-05t6&d!H5QTd_RmMF9Hc|n$hNRRK32X46!F@xA zf2oqp1Z@gi2lOx{xjR^p2(ZUQLIif03nF8L6!-_`NvZnBPrdi6SI;j4tA7u%|DHw{ zZ}XcKe}AO+x37Ks;+4Q|{&gdenxI2w| z0&zDS*k*92328R$6jG4F7JyB{5er|{Ej-2ucy-SraK+OL>=AJ2(TIB(2~s=;xzXG^1Jqw{`z12+P-pPN$>C4;NNIBEv!#$ z`;qgj?Dq7y`(KTHqTzpbpc&Z+RknFye+suM0I8HP0!p$&jKv1wMqPizv zmI;vLDOw7_S=$LK5c7N<{+sZif`4BbAPh$8nj6eQBM^z=k0|i=Pmw`$10d4sJ2`WJ%h9d!4fNm^Cw!*#bja0UE7`TGpeccqO1iZ?@eKrq0z)%7T zjt7!w{P?Y3-}mZo{-GYUN$OI%v7w?0e!j*?JOgvY%xC9oVTF~M==>-bFd{beV@85P6c$i7G+?95JSWPNrhB_d9bt^7 zKh}iBX;m^8u*}GHN~O%OAT`XsU9MHNF=PqSk~p-HKKW#F#BmJ zO4ThxuvU#)GFJ;#8e6CYiNqo#6*wUb>jHL?pe9gFOc(6=QUhh#aGo}x*urLu<=9L!#4UUH2fyuK z^m=bH(LqN!Erlapx@;>&PNQ7zX#5aiIE~SFO2$r!>5*6H5WZ$bM%RmBQPz1WON0Hv z%3~QZU<Z!WO2%v@s?Rn_EzY`)0m1-w41U z8JmZjn=-W&f1k0TnrG6wd1eqkn#ckP?Y7`|p@3yXf)N=8DAo-|q{CF_S=dt@CO{5a zQDQM^_9BsR1y+D&FaZrds&q%me5p$yb2O%ju89PrBHVj&lRs zTp2JMBD^E>VjY2z_@5I68xro(6o6e zA~3K79%mW33%+8*%C(sJHZ$K8>q_Bql$B-%NH9Zdj1rxhzduABd@ka)TPG8t@}t&0 z9q(>`4^%F}R9o-_sONM?2L;Xsr-cXu<{ZeduTyxE;e*PA+mwPcl?iGJBcTy!<>WVW z7?7eTA8jzzBIr<~@EBm2ppsC6GHmHp zNq?{tUsiDY?(bjr7|bIQI1G!C0AWnn>4Wk?c-+{i*Sl?l00*s0CM>-)3m$4#h%_h| z7f?XyhKCV|c36SC)is30M4^VvkBaaJmpNFeG^B}y5tA19fCCT7|B(iaoC&qq2E-bQ zlm|#Hfj*32eLnum$l${#ee2sF^w1BkR-ghXC{(E8e4`QOeTz-t>B@EK5xBoNOE9dL0crkv=ZMaC04Yb>(tc>fAwCI>AVqsvc3y$*)#->_~s< zP8cFmMo?bdD2F4$eAcw3&6E#AtN}+>cz&Qog4Gbp=NMizWqCfHQ!nFH#ZDL;2~~)7 z)C>!To>jD^j-y7Y0)H3H)WHnL>#Q8^6w5TUvj^^B6(*cv!T%*j6ev6hGlPdY%}^D6 zt`u!~^u&eR>ADW2ql zykJt;E@A(P2r@J>78>x-2=xp0TwcN zpKoI7&T*gn?u+Sv_)kodWR8&nHM;IZYL)pctRs$7=0J414zEqN&d3RAEzekU#Bed} z3ve?q<{V8kA(t#{z_d^2OKp~DEDzhF#`SA4Ta3b(vJ5@Z>R2#DMi0o@EGNngXPRl< z9U+!eBt{5FAV&$(5@HM4Ei}AX?=H7O8Ejw&h0}s;)frKV1Qj{Vw?$Pgc--cQ2xg({CU0#>qg?!NpGK zN^7kK4<&rSaC2p*Fmr(uCLFUBycM$n$i1mqRZNJ~+KS0E5cofL*!@OmB|JG~k>^8^ zFo7in^(@mg_>2Ze6zB+NSMN-c8c zccrmbhhtulz|ztVlMsR9p#m>&A~Q#Zy;9aiE2tbM3_MK5a3OEV5>pF5oCO_`sEoFt z4SsYN15^#zRE9?}QT-z>jh89A~?1q6LbjFt3v^36x_JVV3z|1b(xF ztqF<)u#*Ma4JNFS{31bP7V1n;IqJasA>?L`Bb%_Q!UWC^LCuIT3B{RX)WCpX(FH|I zZGbcf;O`7fEzj8>nd^iid{h`=( zWF@xjG9vseXCJO%kk@V2d5p3uP0}bY?;ctukph4M#*xmtYHoQz#RT?w0s<_{V;R z340>EUKn$1d|Jp&rWFYilbOpimCS-DJPG0FTAh2u2`COsg=jEr!chhkI7x6pTVWko zO^(Gq!$6TTjG^k16v&WcWFW$nDF&1f>oP8|;FaChegBDQ3h z<^tH`nIO2yzm4pPPkucpvRJjm5{?f8xkcL!4 zLplv*X|V4U8}P8i2aL+-jTCkwju8i`9%pzBZ&DSOFX2rlbD2d4yNtMe>I7FS*M*L3vTAGDR490RGQ)0oZEri;fOY>7pn)Di4y)t#+W@3@b7E zpaLhgm@P-tFg*!(dN`AlJ_T!@W3uY#EnmO+!}KpMW|DMN=-0Q~CoK737T@LMaxr~` z9hLgqig+%Rt8Oy`t(gOPgI4ev{;2fn7vc-#MS>+sjYqgr+t?~MeM#!cmv_qBoq-(o ztX{&}@7cR$);!)(tLE{g4@<_VYHA}+=pL?G+S}BcDSmm~H(KM`r?nhT+t(;Z3aSpn z0qrSSe(BG$46aoijUILQ5pJxseA{ucEMGUYftDwO1y-7|h|`oYv%}yd?u6R3f@|f< z1~(cl4T092yRFgTu6r7O?65UL+w$m^PF~zT%&lHKSYxuL5*rjEj==TXwdHkxXR7~v zU2Ipu7DH-j;VQ>kSJ(xGPuHm20&UB;ShiWKiF9fCmBz82CgE!79dXf_mHe}Hb0n$R zx_X_slrI#wWNg%)R{7N}TyueZ!sz;PR=>SJ(>`~QmU7E`^7zEPI|@JZlw_^H?C1~9 zKA!&1IbNQ%Yl;?+@oie{*=WCA)+)XsHt07r9Oq6AwsaM6&siH-Yl*a0uC3qPCO)}K z*ihc52n7Sxa{C{=Qc)yY`e2JxYp&yawVV0;oKf+wxf6DpbeubFi8~CjrkEXA6+KeA zDEbz6)aFl1*Ho1Ny z*)dHOOQLGS-i(bo8%vE$V<7htwX~AmVLW&9cN>HayOtMxBIP{3M;l&GyA#bVS^o0|Ec4du|HbgUBXwzD*1ifF1arA z;OOB~4aeD2&bFml72;v*4J)ysu-vi}8%%X~cik@YkFC|ucFT3bdVXV$eXktOT`MJ) znk5hK`9)d!M{B$Z=#J<2nqq%DB(dUlKKamrby}hFJ@a~-2$Jys!$zLw6yn0WwxLj=8##<-F z2BBe3X?twgzKxZ(TKU(u360iM?c$bY{5D?Se)ymCg--K_iQ*`qcS0;LZ8`k3v*>Tv z^7mUM&cPk>@NN8JXDr$&wGY0{4jkFLb11Pl^IM{A^vmLL@whc&G?iEudsI5!Gj41t z=Ib`F9g9nE;fjk!Tb_P?XX7EJQFV3Rd^G)0T;+o69884JytNeN4^(&=4Cmz!7J2Gc7^e+BPcXn zVdNfxSv|My3OU!&#*EHd^C)}Lx*)_mYr76Eb5?D3`H`nK_nj-eLSB}5^4h1a?>c3^ zp8a#<+!1J;DEfKR*+j0)Pq0s3+ZpG^&Caf(b4}H8Zp1mye!tc}o7f=lx$pb6J*N{R z&THl8c5mFaRC=N(yXO7G3gB1NEh{RK;;*TPT>ih*#IhADr6*1-?m6}JNc^|P(#nm6 z*R43`@|w?WHlOYpzt7AC&W+!9Bzn&Hf_i&ld|-Xu7uMdsGJahBPV3b!KUa87?fB+B z+)cIjeUMR-Z*)~(f8VL-__e#ab4PAWFu8?xCmX8akj~u+| z>O$a8oYKc?vuaLvJXW0{{787;UfMR$23esJDby3VZA;SxN1? zx_j*cUK`Wl2{*XR=5rEV!d`8OT^#Kwb{co{;Z}a9&@`k`p>9=8hbHNbMSPp{v=lAd zAnexXM%hvMbe89F3{FDd>dS=83k?!8(QUDI*@M^9M5jL!J5qTjTVVI#_KCC1tw-CPRrx|G$55El7 zF>Y0zK$*F6fF@{NFZK*Q(0=8LGDG2IZhnz2!E0Sp6&E5kPE>*OPKdz2s0m*o z;UiB-i-a`F1mKV=Enwjr!Z3K}7uJ^LX>b&;i)^M)gs#jW9n&K4>H@_O43U{IviW9h zkxlDL##<&TuKaNGCMHQv5WY3NE??FxT@j;o#$tP+9a5gFsD030R!ZKJe%95rlq+Vd z29!lwtMO9rKGlJ-_O~tEFAvZY&Z0Q&0Vhnqy{MyzA01A6EbT>DDq1kRuH2H}aMJ9? ze9Nd?S?H*AyS>AiC-3Iob9%>|UO4VGO8QFnnFo~q4)d=&>hi6C)G-(zk_vV0X?uQw zoT)eITDdj~r=LdQ8v4iG^f(s~gkf%Xi*$Tc+uWU@nrci@)dhOmTIp`JAre|E+iGCX zr@0ep>7AqH7c*z(xi!|+oblKpbS>L@C?uQDyY1NJ8 z>|0wKGP2T5;Tk2TFMe#WrHU;$DX?Q!X~vftvaJ}64XZCz@@3*W{mQZDuGBBLm-BlD zX<$$7mOcF899~MSV%5kQ?V75gMQ^rp_u0!ttC9;86rD61daM#XrX8S<^svrSe!0DZ zkMm<1TiGQJ)&FESuW3T;9=@VSmM7W^xnpK}hp?#Q`@06u_xGOsrI**RE#?S6mTNW~ zbV`bz8_fN*HP4Dea?fbGUh&*kSd>c}$#*weT}^9PZJ0WZE45u($BQ+4#9s>L6VXa` zex^BWwONfTU!)%%wDTp68zh5;T=B`l#6Ju!>gYXr>Ka?*@^VbIrC&FsW~ot-tW`z) zbE}=NbexeJuCSb3-h$-H(c+xag0;i&uMv)L!vB^plZ~bFGX_&v+;M$@wdhUd!v>{$ z8y~B0QZ>kH-%j?e=d=m7r=(TbVGm}M&CA&%FL&OFHEa7zIp*%L-&V(`k7 z|G4A8x%01{U4E~Z=DE@_evp$24-e+Dc3Gup#*BQ6k%lX3?ZM(c74{_3RVAsWNySV6SldEqxr+ojJNh?!y9a~&4gO5VP%^#telk;b|6nTf^GKI#XS|xTDn=N zv1&SNcjrGi#~!iR{gFDde;@puZ^x7^hOx{!s4LAHGvBFkDum7E?#;zF^d<^y!z|^9 zYS&ivsT|i$IcY(*v4b5bmxrWfPv5&n&l1eoI=gUjf*P->VqfHBrDpSTzs+qo`sh4+ z#jsLGPFDV3kDfp9vvbehk<3@9$Yg3aBsv5*m^?VRMAj;7^O)UGWt*z@;oZOzduwc$)HKDdNSwAh1Da?B1}Z9R+3HtlrZTu$RGcurYWc4Ai#IaxQ)&gUf| zjh|ciB3o%E+Iku#uFW3d`zjI+KeW7XL&C}F;l_I&=TxIiQkrhCgKfe|9-OD~W+f9k zBs%kT?ZxZ){ra-PRnT-xC95};*S??^$dJG>+2}PAd*u8Fq^@MbuhZCaIMu<#P75rjR`w)@;b3EYI8E&-u<@TtW6k8`L*mK`(AePm#cn6CvWUc zrgEML#{<@WaapwbVRVLbM@JpT8;iW+BEZq$}oRheVPdcL|(9=-ncSjGE0 zOKjo&vNwC)FFO)Do&Tn=+4w^H+Q!$N{=PFuPibF?kJsM6|0y{>@>%t4;Vr^CZB@mt zwo2{;yOZnt=(`>HA3pu4n6d9J?MG$LmayD=LWTD8{IQ`Tdt&IXva27DkMI*i4`*Hf z=zUMJyS}$q$Ypa*)t+8?XXE**bv?VfsHLOv^0 zuX+0|ZLH^sob?4ytnSpbQ}#sT^5;vs?Yn9};5*oxpJa3M51-dQ&nw+~vi8Q82_;qH zwvzpJvo=|~k=^r)imdV4uiqz(WZr3SkB?p3d4278*OMK$>)QFpKi^k!KTKV9OW|>8 zr|`8WPH|(yPsz@m!|xwiDRlCQ(~nHR6rUGwVtZb1YUtF3u|Hg@FW2@OuSB0aGTyW6 zUp^cEeAU$l$F!TWdtQ%@#n!R+3%LXFuRd{(|M~v02iEm;UjOvz{I}W%R#tTFehxG7m2{91j+YFOD~7aSeow_Dwv!d@#zG6y#9k7qYEu6w#oo7iWsELTpH z4G2bTu{1GMlr#FAcy~MZ&W5q_X#J~#9k7PON;mxYb^UonT|bHdaIKuOo(j8m&Rg7#hSF7 z&*V9$ou+lKln}vFCF3DMx5n_OSH_SJ_Kq>fW30?^wZC^HzVG^Z-1;667aY;#sy;-V*Il z@6_esZf?T(!<^fl#3H+QLd*S=KN&l%d{|tcyeorZnQX#lvof!h8|Ue-`Nz1=D0LfI z-N><$q0$58=~;ta)oTXfKSR;` zDxTFAwa~%X6{~l1+dBd)`Fx8VYA7Dm&hmQx&VdYWV3|;rk*Hut?WQX-%e65n^7-zJ zPj@LXC!dFHHP4bp4>#RzwFsNJ{7TpY;kH-#zE`4_z3U}zmywy!w?#8JwIN5{(pdw^ z&ay{g>$Us)=9|W_TAj~xU*#`Xdvb5Kj$5%6TwY7_sT;1yUNX3t|0>_`NBQ)0tY&X{ z%V45CCvgwIiW4{Fuq)Tzdv?U!qndWcJ~?<#PKjf7Rqr731dNzp+=!?c0E&Ijq8hR&2pkuV*Vh2eWYI_;){-QI>wy*S(}P8g{&;w(8KqKYh5x{x!cf=e?bAsp*-< zr!uwSD)EeV`Joa?{3*X^^_G&WR&80&ZR7eIMvLCEM(-LnJO5E$_wwJHor0}Cdo|y0 zy||RGKeKnj*t=i(a9^wO;s3DzR8>y%16hsh;9N6dZ;k)*C4Hi=ull8prF*np@E2GQ zUDL@P8)Q4zoKBQ1)kn2Yk-N0<=mG0^tmEcyJ-q*T^z~T!nzy@_e6ub7DXF}+a`=&$ zvx9ppR`}L2dw|bb)BH$XQC(eu{mvt2`>I~iJ}g};eRRXd#e0NX`D)?0QDfX*wPc;0 z*Kq!k<$bIER?FQbFM9OB=;cv+DZ6~Xm>aWP4oxyvoX!Y~*2z!F(&Sm|a zJ-77D1O20dFMoqh{m@#;$UkCwsoeAwS2pZ)ZG zhB|M}9{#bqzo>{+a^HJ?r~P63l_y8jU;NzIXG{M4K>D$dyou7C#v*e>dNHHyr~H=A ztDcKhUsWMBpOTs$&pe}T-Dry|e@!gFllUP#tW>3@>Z-1FysEvjzu~D4O`mx45p81V zP|W6Q+txgv%N`iW&KcjQR1&aC@!@L_FtZcE`c9YVjOmj1#if|vAywuyG3+z{RKN_4!RuoEkriCYenI?6Ed7Nwb63~yRWm#K z?K|UgtlBv!AMTwv6`6SBsnG-P^}qUF-Mh&N3K{k`^O_BBbPCezy!>9yR{p)RhVoO= zr;A~JD&XIXU(uN=M8S`p+fG|L!vuDH(0mSwMxH<{=wMivImFT zGtOATM_088AF-|cSN8GavD^56b}N#y-mJ zjpuUqQMsYI$8KDquVOn6HLaBeSe=_y)N{JJtuM*0|PhPq4e}D)m zygz>>yN>;aUc&b56t){@3pa9ytkd~B4~^`Rb~)E%e(X&e{CDzHWGV87qWDHETP1 zzAC^F*>AM3vrB4OvwKf`MfXNwg^GzRyh}T@xbRM`@L2wx@rDOD{_LTm_7NT;s6Hh3 zfAKqc>D4Q}EmJ$pDemjC7ONKGgG+h%h-VhT>+*mm6(9Oyv6e1q>IvzfW<>QOYcy`a zSCd_u{kSla&BK?Ih#6B37x7KX1pF|5+759mwJPCq*3{swxkb}Y8uO%lo)@$l6aHG7 zEte9Vg<7KscTtG|FZqYf4z1yv2?zcvXq>8G5v@ z$XcjrZ`USF9o83TxAk;M`lGF@dL&8Awei(CpRc)x-ykpVIY_TxZm+T*5wvt$9xGI8 zob~)VdpUQHq3^QAb@6Kx&OD91kFRmG)(30usjIN-NPpip#VmyvXpW6;5TwO$Twr3K zKO`1q*JTPHuq|=}w@eUr3GgX`O_=NK72Vl9Gr@L?@Dg35-WgxO&$IJjlGw|vduvNZ z-u_@h87uf%GQo2j4s%Vo-^I#bkDY@3?rqrj-sYN5nV*%mSp)D9vi7IV>t5WMd-F#1 z{jzOkW%07I+t??aRhdsZ%f-%n;D_c3_rcWOy(jOT)iG6F1)JWj>_*)9z6)O^_t6t& z+6iuO4fh_e#xu|BD{`tkw8oOX>-CAoN29IscK(Dswx&ZETmABuI6R(i&8aTj+Emb1 z^{M4YI|fg659jglqWsM_;9KuQW_8xKfoB^=txpw{Ik(>pPpF4GYO50+u>HZ$r+g=G z8Ds6zuD(^T6g=|IK@Io7pG&a~!k44?a@?$qK6l(|X3w0uyW#ws@CvnKpD@wz5F9mH zKQqFQb(F-cJKt%BZSeftf6!L4_hD_Kfz53z9FVIQAF#VRjiIre9~eW@-M?sBxA$xC zo`p}cH7^hBf}L;||AXuHZg+*{3F~C3&3>$MQb7%G{IpMc5JhwSH@~qwCh3qHYKVo?Y-zoA}H7U^moG zN5^tb!SF82xz+j{|J*TnkgiC#@_zfzC2u?F-#hKyEO5H0aJ~X_IRZFpzgKpf(0SD> z2@U5Hju*w;Vd*pQ8vF}8_vQ-q*WBA2&gNa&XROhpGu956Nc*;X;In`LZ^L7%n6uGPCHSy-R7QM!}a&!JMml3!Cc1SS=L)?uQ84n4`#-Omhy1K zNc=!({POZPX;)iGq5M+8QJBZqV>cgowyQd;38u0*=TocryoOta|^$g8+~caUj7gq-0s%Szqw@Bs-HB# zQKKRE`NA>&Wns@^SVqndV%FFdk2*rhfrpzLCLa3~%rQ)(JeFmwTQgi8?KsO+5J^a}t4`oJ3TLwI#Q z9lcy#a^PS)CpX>Gp7-3D_H?W0^0tzpH^%-S#@+_3jqA)G9SIp@VjF1~V8GbS3`b&w zF$5x~O6qK8js`Kv7=lb(#Yq!ORvg)JlZB8JyJ(df+ic)!m3`_0U* zPxtJ)HW~4lCw5rac7kj=0T%g1&sMReJ)ZOr=&@-uR?Rel1N}bP@(lD{dVM&81I*RA z2=fs=cvw`xm7cnVKT@vV5YIBik20<_SclyzSch8Lvq@HNf(CAcu=|r+*{hQfIxh7z zAM6p&isK{lWR`-%UA6UigXs9Ap^_D9$_qvpt9yC;c)y3|@u@|Awf)`Sqk&n)==^X|O zWD(Zk&M-z8`s={&uS~lcn0Ds-cm{*e98&LRp|{lK{uYO_+%G-)8W{8YN(o<=&%9Z9 zQ+k~VeI@?aNE16}#=!JQZZ$Trjd~uq+)M3xa~OQ?ql=^*LPq7ii_h1X{Nh-Jkq^BU z7nW+Z`HbKFI8GY>%=bKx_EpRv!+hNTs1n9=|}Z#;f@e zZ1NlFX_9jk?>lu4miFXM!pQnKSti^^cx5WO)rj+Wm0G9R$&lZ(icvw(Pnrhjm~jx^ z|D9a$imMq;G-d1hLz-7s?2v7hB)v%0(%OZ#EMhBW7(B$o>P+LfvXEM%AGg_u)QX`# zRt%Nnk3;q@@Jo3csGKHH{{KXnnACa1rYXEtZ4jIEyf(tj4ufk-%7r(}-EpL>j z=x!3?s~jPw(x@AtnN7Sth=*);(Ie)YYN(RVZCpuf#8#+UvC$7zepO%L${F1K&KJi2 z`SkW{BO6+chNMVH1cO%yIZ%{Bt$>`y%udLQ%Ix)MGG&z@3(KMbVkeW#Oi@-TvyXv5 z1f)(vS~`{4f{RcV3EnaVc0uF;uoMz}D5k7ZX3v!Zi=I+u+rm*nxXgc-OiJvP>*Vb( zT0C^>PfNS59kbd67bQc=uSFA?Y|K~%Sr<8*AY&q9k?%%ODPEv%k|lwG@D&>6tU{|~ zFe{i9YCA?5#3Lm$0u}9Lga#z?l7P%?_$>wwu3#x9*Qiy3u*N3H9-YfF1YQx^d4O9$ zLYhUwUi&=G+_6Zla#)X3bsXGPjvb&ZOfl521X98~7=Xr5L0*f{8f1n(W>`1?Ql{(? z&VeE28Ne8*M9vm0x0#WAHzm>^|NBoq9?3i10$RMhl!J2o!;5j0`;CwJ3 zEVaFeaS%@F2z|bW&Y3YeJ{3n|F%>w{jGIG|p7Zj*a(XMEp12{L!`<3LN{1YG3)drpe8 zcfC8Z6dc^QGd4(1A21iIT1tW+ztK`M`?1 zH+F(K=6-Oq6Aby6kBp~Zx1cH1N$zWtTd@Qn zz#==iH?Z9Jlb6@$z4zSJaY9AbjchG$c7pT3i=F%d{4ls5v+P2+J(RBC50CiWABVOU z!?AI2f;!P1HAwVS6!r%;gKZBT(~-DPGM<g#|Q9y@F4c|Dg0|L{xU!)&$Yop z7FvoKa8@M1D~QPhL3}qI=PzSFe<2924|_56Hm@!PdlUCDFs{&C6+|cC7>+Q|4e*<( zC8jqt*ofg8fo5@Qsh>b5$p%tQ7X~}8)M?2BUU(yJS3B?lbsX%})znXrsr_(db z)`5M>eglr46}ke+-Xn0M^souu-YmU|@5Q}L51T#-D}3OiHl#8K6PE*Efj!4ZD;T3Z z(0U}$<6QvXb)0lSb;slXkc3J@O4)Ib6TWzCS?qO|lMeb-IJC4AzUX$ZWH^s=B>f?{ zuut$m9P#rXhv2G9CEsJWxgTrCb#Zb)UEmMyTmZ`}K_Hrtf-6GOCDgSc;i6*=@Wmoh z9W3NKQyt9ZAgnGy8>U+0^a0!oUN)(8bOn>J`gm`opmkBKXBXLR{Oj!Nq~@I`zO^s! z++PCRAQd=B_XhDGS`?ZWkY<%KvRgJl{!*}e1?Un4kVwd{retLeZ@^&?J+Q(kTU9Qz z4JTV=4YY|B05vMeJjsF~pIU=WNRS8Rk-=bss0E>CtS~@LT|uLRTw^IQl7Cf%Wxfoe zQ_1KaDjTGkjpi&I?8G4NDS9zH_{)FHoA_1cC={Drr{J1&&SQW^%}`oVRt<7~Ue)r$)`mZ~VXHJAi z+p(&=&Xl?gWB?p=KT%5<+}fxbro|1IAY8dB&KfyNP@6GXz!XWL}% zXRyU6RWYD{FN&nV$T4`o>5V&f@EXNDt`>t;@l5;?8FnDvphsY(`ycqa}^4t*waTTTHxW5;l_+G;;GovYZhs&`vC zfOz*L9J+#|*rCu&iO)ErP+b_ZDzgM(Mm7;aj$JWHAD@aa(icJ z75z)z?Dwwi7Ep3rFe(q#iS|iO$QH*STdwr)D;bN+-fR^a|;XXTETGy zD;!~r$r!_j)zcm`{UyO)?hhU^SDQm-6;IK~M0JMVFA{^)NihvFfs~zx;>ra)=gDr% z*6We7mkUd@%ep&a3pw-#ev|HE0dN%`D6Hd;FB{v9v?Pmd$liu4OC5``hEiIG1?l-h z%7%2vWt$w#Z!^Y)&{u?V#8diSMG?8{*k;zKHL6+dGF>R@weG%{1F%F!nL{7StR?C8 zP#J9&S55WP9W3goQ;k)wauf1a>uJ)YWp1EaP%C6_QdM zWyq|Y=7t|&Ooon_(wP7$%(3T{C#*~#^MhS9n5cfn+^Zpr)E-+v(YVkmN=#RLC8WzF z%j2W@Q5>uZ=B??0VY?V ztUjHjB_Sh205TJ`BazZ8d1g`yV$=jCq`UxNJIZzUZW&<(d3RR!2#o7v>1w0(RnzuOs5l?f_#Rip2=(s>yr8@a~Wu@Y< z0}Ll>mu(tk#A(#c(v*ypen+Fl2^b#*vMqIRLh4|>*}^hXo*4V$=xtvBVawH04jN(? zC=$?WoYj!bh%V8qlq=xwJ9*av#^E0LMTT~ z87Yv*7Og9uZBkaA*M{LTE&#p52ay;09VJ-+gm+9fu`5UzK~t*=QjrMg7Yg7zpmQve zkjq=Rmu}3$B9-|AFO0Sbrqa!dM0Kdl8d0)V0OmioN2?fOZtjN;V%(2V5sb0icK0GFlmI3IuQ_Xd#DHs=F~NM2ii1-ym3RJ@cZIxisNR*bTgK+C0-7r4 zjp*@qlQl?A&%Q)MOu9pgH5#KvjN2`BFjz<)w{o-Sy3%g&oKcW$6QtcG<}7n)4eG^Q z(^NM;G{5Pr;S1JRg`8FM>WY!*&rsX}d2!hYke{)yk(##t9EMiw&FrZ$<4_kqLiq)h zQ;FrYb_7=E`39rPsl4&k(%+y-#W|dc^oMd>W62)Ggv)!no}MZnD-4NSl|#V8Gg)ce z`!lqS8I0Ad(MC2@SXZ|d*T;AF(aflie9s{vW)d7Yr@mQ=9pU*jz`Bjb;Vn(8@C3b` z+wKRb>}pdO%6AO!D9I^cBLDbgtz3X+`bsI=-#T5B$GkFsCSlMlUHowUTDp**Bg?wK zY7Kk3t`>6!_1UIB+_jkZ^KV{jz*YDR%Q)Jq_%r5sX#`RsgwoO0CQ)>?9`3_F+=?~p z)1+1s&KaJ=$|hkDM^Zj>K{smA)|*a6kqhg_f)W**19rlW{_?D=WCGc6xv^gcMH;3{aq`D zt%Y9xHzaq&Cr~bG+C_%={>gOl5Ta83^jNp3#x}_Qe%W_{Ca(yOn!w*adTJ$t#Gq+< zcQGIN`luYkDQSrn3glJlkhC|SAE$-NmAF}VnN_)y=mWw$?z+Vcn?2%bM`@IC=)~m@ zfomZoHHd7j?e{4uZNd?e@YF_!Q9I*w>^}ZYP%V~TM|s<1%N@!mUAJAn`>(GxA{dTw zdJQWI_8HTTax>fnUL@6L(0e1183{m*!yK`gc7>T#8O_FdxDFiBSeqtN88e%e*io>U zKxRrtc9o!JkJVL%J(W#H7a+zpCRe8F0zMljC|;v`#>z4YLqa7WO}ql;tcrmSiMmyS z$*WsUNag9)fjy?c#!6PAm}sC170a|uu5QwRf*{AG7&-n{%0?58Ou1x_AaYhN>fo|f zs(j^CH4C&Ahys!ao84%lS1~JjX{mbf2i~8S8e7 zl&fU<^)Zb~X>^Xlt)aCb)>Pnq%Agjp4cV#HvN2h#7Lsfew6t*@%Z(d=5jU(-&aMeO ztmV?cM_@DU#u{3w7Px1Xf&O1xWg)}A^^#~|i+$qWLt+@mVL8Z#0MiA01Au|ffia2J z)AqPm+C&L!O@B62os!}UV6PyuKxPt%an4g@>dr-x)g z)WBF+OJo1OWIL)Txsq^1i zvSGE%rb9;_x43AlBwHN;@V#_s4%ttSFs%P(8~P5wo`ASzt?Xdz3EAFiPfC+^Xjk4o zyh8*^pp44_(p7Fn_G|~01zb`>IhEpMs3=8}g)&dt^=O+trYoA&q2=g8irYumGr=X#LE zMvkmeD-fVuF~qe>LSbGGKn^i@Y^-8RZooaj01yOfgk#W*pjidbnO0HO*mDkMN1 z;xVT|CAmp1>3}I0u}|Joe4Jg`FS;s6WvwnBFa7?P)Aldc9fzjKlrE&~7e*awE8*SP z8xUw?MJcwb+>EYrisgi=3g80UbbXubMhTSCROU$zhBXM3A>^2p(rg)ztWEiX2s%Ba zh!t!(*lST~)Pc6gF=aImfho39;nMYHp~|+#L4yvd+^CtK%Eh571?jR4b5l&e!-7=? z60OK~sen*xupXr=6^Nb!}y5I;GSMIVbHR4;gx_gUZjxlMmJ%`uNpdi+?*TssUT>y+^*pVJd0%+XKvD3AtX0->q!IY5T77b>#V&;HxC=kAzHkFk+M@+$@ zaxgqlK!=ei+Gt(zVVjm4jI}9}khW*BW=TdaULcH`(-wovjKL7J*IRpyMu0q78zBrK zL+HKk`qkj5R}rcf+KZOL>?wn%EK0oyyfD;SEMnH46Mz_mgQ=$yoTwuj0x6|Vo3;XF zje>*#8#l**S&)2;N;boYWgW{OzJ5mfL+RBUq^K1*vtGR0=k*om|PqmI%=Do2poB$81- z0%ws=Q8pe>+A^>rz+o9%b;-)flm}}R{6309UBEd4f#Nq!3&)U2$WVml)8VR&id8EI z6mNju0h2dJqp&9esuPAhXV&ckO-@-QDi>2or-;QKmNhCHc>tgul%UDtvRTX3NnPEb ze31=g=4z0E9OB_s$;5@!pk`zGQ=d290|E(MrmhwsouDkDMCar~6o-RmwfRKAeyvc) zLFlFpiOCjN-4IjS8k4iC1;DumJ&vgfBU=a>h8ky69MFlF;Q!9h(ov4=7BoH^fc8De zU{X>xokPnw4)RA|OCP6L1!Qo6VtE!|d?u2u{W1jCRFK66mEswVw?0NuDa_4q4q(Ko z<3w=|wEy)zEA$+g-S*MH1^x(_xJ7=0ldXP{cj%P!afZv%aXR0$1oCyos^~1O(b*1G zu-fT5Y3a~lBa1axtLBD~^0IMvDj2Z9^lcUGsrlA0*8p;eX z#()(%1-K3~WKb4KO{Nt3_!%PR+(uK`AqvPWG#0A_Mg+rha!g}_QZ_O{9}y}Q&oF1f z(4a9-Re{#E*ntd((m{VPm3@WDiCpzeC?rUqabN3Sg#zUk$TYAZHBd2OG%L+*TfH4Aa&z zCfY+pvvSa-)+$pc%kG9(?4Lh%3Jzxq%qFXr+GXJ|l@^K-!=Q-7P>O{k3bTq%aWI5o z!csO!MKvkGBAsTxjI|uUBGS)tzE+Lmb7eXP?q;n9mX<_WD*c#RA!K1_F3Q?NY!WrY z=~Q-x$mS5xl@aLn)e0=0LCZ^&LVIY=3i?JO*QtUm$#H5N#2@j|VK`5vKo&ZvH6o|L z>7nZ>n+EI}oLB<9Gn+$L0%2gYck&N??eY))^OGO$qOKG$IPwk9!JLmDJq{Fta*m%g`KHOjO)k$EPEREimK(Wb%p!^mc6@{mO3NEw5Qu^QEd90J)N zmY~uw?>L(Tb%!EkC zkID>J1?;GT3v!*EY!=908{L@cEC7pIr?ekEe|h5iS8mN*9tupR92dz!fe23}r!+od zh@gik=bMr_bjsRez!|sulpI!Y$$Bt88nz1|RgpQSkAh%=E>o72`I%OGgHHQk7J$iv-lKnj7RZ@@sUCTWLq?e_$cI=VGN8UB9Qd3SXa; z=xm|~n^iP%q7=-`r4EHHG^1L7Ta$^FXk0c)+J&V^VK+{I-Q3Hr!z$eB9EZCy_p^6zJw>7>WL*k8FNSruIv>e$^* zrgpm>*EKNJCv@)#rHz$h+r(luyYKzsq2n=HG8TK7LX?XBO>M!wj*9zw*l}U4QN+Lg z0b8mM9jsizPa@&#XN%Ag;RbS{JMv=@c&ll**f(gv*jf+RBqf`*mN+ZB$WHG&?nCu?Z!|8 zR_e6nlBS+ze&)5{l#|_m$fbwbULZlF=Y`dHVj3-@0YR^93;HAP_l=W@#Av+bGmjh) zJmw)Zr&f*l@X0=9a*t|8Yu=4U_tz)4;YtNEW+vKRC0WyRfpg537w+g|U&WCxp=P=- zur?ew+FBGN7B{0l6?K}8dGY8sjj@xYZ&7zC<74TH=N_o2HoB=lIa|x8k-fHm_`2yrvwzXC$yMmCq}v#GIEa}3p`k(?Yj(7>vJ0MeH7vizGkPfQ zc{%$0<0TU}c+?X#?Y((pYm3Nlo7-Rai2#2e@9 zV}|42(aAU7Sgm;D-NHfrqppR6d9Qh{Ha;bs8P3PG&k9v1^+AL;b&wM4(J^RQ=sf2QsLJh5p>`lq>1SFWWQAI>55F&z z8p}#KS#D@XJ!AUA{U4O@Bh5~8V}0*VCDi+LzdXIcG02XLRj3uQ=Dpry`{c#R=BZ~U z$+|~;@lovq{S_nB$c&csd3(1svB`~hGyzYeKe=5}y4d&CXullor5noAe2Y)$*g2vM zObsftZ6);!YDd3gL0s|%14`H-Zhe}5UcN=;Rw&M8v_buW--&ChNjVwyO`mn7T*}Q& z&Iz2wUZ*t6(#cJYZwW1-5RpCWWYc-$%W{Nv`=-G73YOB=8cYhV%LpcmiaaVyr4^Mj@RW9 zNVQfcbmn3Fr=ORtr}Nn4wH|oc(Oxq0{En@S`RsRJ45m_#mwflBU~1t=+w();yR%t6 z^Zd}UJC}Rp=k;TEEcfhI&)xCCp@|)yBjo!fkNd~fe``FL@RX~csZTuH!0?00p@WG# z-0ZSi^lZx^dT3D54>FgB-0WF7^ZrBjQ0Behhw7Dg=7;7WcI+$b3A|!1yUR8*pR^q| z-^N4pm65pRYGR4FVE- z`N%f&$aoo@Sm=4r{O(gb6X$!5J^S4S_j&!;56$o6?^mu*y+{r%JUgE}7x+y5egJlm z!Stc!XImf@d9@Wj^^F`0dcGzeo;eom@XwmB96DKnHi?JL z@8Onm{P3-xP5yf6@bRntomD+zMz z5mE}v&}^ijZ<0No9;3ni>|xsq`)}8>d5?<)D1IZ!X(Frxe!ql@Bo zrI5@zu*&P>iDjN{bYzj*Lm563c7cycqL?ZLn|hUaPFCYIr1jrUV1YkUN;(L#=yt=l zQLZ4ODDiD|Cg;_G+r&y20SIFuNsZ(axyjq3vxcdL^Rf3W+^V%Xx1$+n=jIT2srz~*gS+x>8P{Jr9A&3SGo(F$; zaPiGu%RoVQt$>BXSp-9}8upAGit=b;;gUL+`2eN_&_wDsjROAzs9?Fw7FdVLek|u? z0;sEj`;V@ba`Fk-POvzLR}(l(g|C_ew@O!0kJt;6A=l+JM0D<+yd9g zMexBvIUMk%Q3-nl$-x6ziBTK?n;fi_5r<@fyo_u<<41e#9?r26)m)tV)lc(;`>AV% zS44{Pb`GPY;YGaL5M?9mA;FOGF&sn z2`1EJH?{`MLh#Y{!#djnq|PU5T1j?jjRTx?fv7XQQYlZm8Hi9PF)}#dli@GK$WM16 ztAZsIwd0xlBQslnn5Q-auekM6O1isg}Y4hO?2k35QJMI?5RsnMYYa&Rw1>{+mIIdV+0Yr6p z{Z21Y$uxSzQCDne_uN1%^FP(I)phQs6tHbR%bTK1Jix-e{_j+jEJJ6c(eg)dv3(+a*5h9Ns>Ku zHY=m>lwY5a>4j*j>@_}BHig2bKxob@`0%-i`iQW`po;{C-6l)ki1f6dL=~f1bui^T zo36w&1@!w&wr8?irf)2kg_j+5g|+kTczW0So8|L}M%IS8FX1BhW<7Wm7w@-cn)XQ+ zsQu$+UE!h2*nS^&g|ln3Vaaes!Wi31Hx^qc>@+O#k#Z?g$R zHViC@2s|2vn&)TaBeX2Tcx>inE}JNnFUrS7SgEdOhqx>pO)2H{`p9CUq@BZp9qx6|pYTPZMyv)!V$*r>?4jVlc6Q3x4J+c^jClgR`G<>deYD#{h|0?HE8 z0^37lbZ;Z=P|*Y*Y`6>2r?qgaDX;WkH663LJG+8l=F7{YoopRCk-12p1+9-UWK zF}BCXk*z_cU1Wv)Y5zU1?9A(4xY{@r56zRX2eK0-&^EGQv`KOYGt)|RpwFNKD5sQ( z`9XZRf(jJ|&rghY2ctrqS=+-!>e;Zgk{LA>B_05@vjXArSr#qukhOSNZN>yQr~!7K ziMp_grf{>$9uhl9>Pez^reG~eK!{zdw#fr@pR9;Ls)CQJs7?zgM2r;TlbS^^l*iU$ zKk4MjvWUxi_>?AF4L`uJk<*Cw$q-nYfV>%!&Cn?lM;;d>7>X-ozAs#bvzgWxFfkfw zZ(&dLkVA-+B1gnNTF*wFAOh}Hi%z13fP+CkH)Sz;j8#muSFgaJV>)>uB7<_iLmN_* z9(f$8D`WvJzWk@|>9s$+{%V_L)s3gdQ}gt=IbY{}!c#+@oqsa)Lh%#K3;0)<{>XHW z6YsXvApK-R@NFGm#$R1`AK8|Fzh^K=f9U*fwYodiwhavyl6$LMU&qUsd8#qsn}G0E z4W?fiU9M>%(VJ=zBz4X|GF8@%+ue6mkgEKNK;$WT=d(4?(#;phqH>sRt^f$1Yspby z{PCR^f&=cd*2Hq@+jFT8+@F*-CkCCrgDlb=N8AHSb9B8~6AS(m`D4%E|y+|+M)(054O%lB2SU%<-k1-CH5k(esgTWx%5&mt{5F; z$nweR+adRPerF1hOdkiBX<_Jyv{=wXVK0=vj6mq zYhlyu$nriQql`~a1Q&%nL)%8nWM(N?RC14sJB9P5RSTPz5-%!q%O_n;XN7U+rDwPC z8(M4T_|~$0_+j_LmOEZ(edpLh%?Br+{pZ#)#yRm0 z$RIwmkbHG=x#mmeSKj}&(>;E2f0@8P9O-n&egU@68Dqh{n5^tuc(&8oHM~^#y^pvJNnIEC&Oj?8>jafSLuqdy|mlnM-wge5i;!!Re`*x6rS)bhMR=nkgc(p}3yG51#M;nEgoTAVA~FGS-? zdWM)YHQi*wJd0K`dztY))&S%3^ru7pq;#$;wZ2edK>amkzkznfahJFjZ*a!>h0w`E z@MHr}egXoFr5t+z#~LER_0uKrL;)*49j#I?JkTXPVx%@0m2$95PRKW@yOo7fZ)H^% z?wmu>X})d)s6+2`{?z7@4@*lHwp_Pi1M8dYk~{gAWd)SWS!YA*K*!`;<*%ESr7<{i z@vfo==?a0)ci+n!LveP}&?DW$(cMg)JyKWE>4@{JuXOZC@u@T9QD0qyVc!f&v8>ko zyVf=L@47n4tc(N-v5wTmM@r^KXfcnCQ%I>zw=0g8e3F+>R?#_yaY0eqNGC8ILlhOx2q+26}xylma)^T3n^ec*-vs9KZ3xrObi*KZ$d<()*vkbcp+nemvk!x$04{+oka{<#aJOKD1jjk zPj9gj4IU{5cny+*;HL~yJ;c*4P+K;RqQp8}Z$vf7Q--Bq!>XaIq*VfgK8um9+Ndpr) z{d?+a!JvUw>$5D*POvFZ5EKtAUrfC~EiqgN0X?E!0P8+z6PuqG-I-yfqV;Z04ajiC+5P4C)F4di50tw zVBrk1D)EINJZvLFotRQz8vDxA>;+yf3HGgMDamz=xYU>1Y+YfXnaQD7^U^ z!07p!@~6Y64e@3t{s?cIk>efVs-j~@d}=j-jt>=n_kK3oocL|eK&f9|c%*LN?VmY` zcaOKD|7Efq+sEpk_*)p)2TFD{TJ7H_^%}t0joKecr=YtU?LW}&XSpD z_<{PKs^8x|$%g|dx)0rr@q_>73;gzJFbCG`_Sec7#O@qBel|HBe>7~*^R{0(4sp!kcm-~mmf@#Mwb^6=#!mMfCT9DdOqxu>Zvtm?G`XSH#Uv zE6Sd)1{$w)m4ezz?@tb=pjrrm? zZsGe+fFo9NegvjU$W@=A7wo8-30Cz$*X)|TaTMr%PRFBwq&8+0S1^hm4KK4*eEQZ_ zW1PH058aC|_;0Odl;+nXfA#jZF!7=?Je}=5afEI50FK(DG{>XY$!s*g4F)SL^G2)A5!kB`V$9>>YUY-Q<< z6%TAS_RJRwWDh5|lj(I#b@&i7U+SqCus=GvuL#2eSo_%Y&FUMQH+;CI`>&z8m<(=e{&mBpme>&p+Ne5dIE(zBnWt z0_A(zpZ{(){(7x)pT|`jjMpwQY6a;NyT~VfMP|cWH{xh#!}(h;mtVU5)PH^S>#Mzy zy?e~Hdkkn^-}o!&4sT6ux@3L}8lSTI>u2Dvp}eWL1I@G^Dc`l^-M7WN&+ENUsV1)U zjIyVrL%eTO_=pdH&$6+|Ze;fchjtqm{9BpmG~691y?D3sy5EI6@jNE{b@E#$4!hre2uM2S zlY_N;=F%?si=q4Cq{dge>}~O}%fJrmP{3bH@1OVkQKuMjofek)-km=7e96fkI@QlV zJc_HU6hl{m-*zT0Zt?M(I%Va)NAP_G;%>%O z+pZXkj9E^W28zs7B5~{#W5MAC_+tOoRI9DbtNSrZ5XUB}<3 zF8(+45-~O;26=VCc^S0GVWlFl&Dl$U4g)zyQyY>MV2Y9p0pJx0uIp=Ys|)CivP2K6 z0H%6L?7)*Q`aobgQ`K-Y{Zt|a&?sm0c|NX#R}l9(t&W^zM$x?7Vb~AOrzYWd;U2yCJcPBs3T;ew#D}qqsoh2>2d!c8jo;?`+09X|>R^jpBqUfi)0;wm0g^5Kz&=;x(CkjAoxb<#l1;3GP zastN*U-v|!((w=c0X33OpaRLd^?bbNN|T<8EY{uj$(@f~o07a46@({~Q;aac%PGN! zX)9zUfj_!k#K3%?QNnbxo5Y$4lJLDw6;BmpVxK)UebNBG)TJW+LQq)%H&iCLJ&+s# z#5I`<41w;Ba*=Jk*^HS=#4G@DM?>JxzNx2Dzzg~+aajyBzQN|F#3cq{`0Y9Zetc^$ z1Z0^Kfb(TD(c>}PfC+jUCyY2hA3Uk8;aw`MK&GGRsY&qUEaEQ*H(*VclVF7;HBSW* zejwv4ej+gic@^LaXB<`HaRnd>+sQ8g>t+>Tyjx!g#=)8$PcHQ2(!v5*dPn)Sd_6no zj^T_+loDzfNRJDb^E{wLQU&0xuIwr2y;y4Jqe3fTgrbC|8>%p#nj$`4h<)`NZ&y5+ z_e%cNMy2-LY{1_V+kaxI7zEuwxv%g2Ex_1Jk55JKB2fq#Z<+Z@`J27$5*vQIJbt1( ze&Td|{{zIOT)>Zr_n|!<@3Lp>-UXqvsWrS2IGs9|FZjWW@kjsa^*!?M9(kAb!QXP# z54_7;e&Ah$`&jby-_*A3KKm|{9NfGx)Z$!-R)8k*SMJ8= zyVD!pgBQuf$XhqF7Yp~XOB-D28><;#q^k=r82*LYj5gYq4^+OTjm#ng(r*8J!<11W z#3Qu>;TK`Cf2TNA5}KvIW;DFVbp=13FY2xNWtji0W2bz%qX5YZUU{*9U+G*t`8uh1 zpdwyA&v)>tqDYxcror2pUdL94-zYs_+JzsT>%#kgujca$$xc4?kMA#t)0;u$wZ8Ds zAd8bgMv(kNM(CaFsKM*c*Jfm2>st>cHav8cj8Dcu@|B$K;up8x0GHppnZNg{TPyYm?&|pQ-HYz)zxa<+*XBcH z%oPR|HS*S9@lT#$KYYdeLb(rj8MMb$uRzDu_4k0;&?>^DH$G8Bj=EQ{? zcEmeo|7X7my)6$g4RSTvCwaV1D=+@^xD^UoAq7k8ho~$ zEZp)7W?Y%yAujIlPjyC`IwK1PblRJh;uqenvHpu0+d;or=t_I4m>X+UZ~DHtwp{zV zx7k;g4}u01@w!rf6WSlCS0fcC;&_6cFNtip*ZeAvkMJni&@6!c@Lss9JbGM|%N z7B*nA-lwc9tt=gnLYKK7$mpq5VFa3WnQaPBfGJVh9RBCh>hS5SJ$4>?Ye9$q;+tPu z*T1{rfz?y^nLFZa8tKtI_yz?vE>Hd zvG+?t;r7-6`8%MkAjTaRyWVweZtyb=H)OgD3<~V)Qb&Qf(^%|ln66>Tyb-PFy%ER0 zz3w-cwn>*y|5<>A%{?W?EIX6wFY$i;g)g7RA)$p$D_~M6H@x{;c|G)0W|oQG$Z4{_ znxJMegSbA?27+rG z4GFOL(6wX#?lu&yxRGh7V9;g}FA~EDh!89Tt+$kR;mh5HxNG~T+rFK6D(@G6Mnoz~ zgavJ#=4KoNJB)b3g8gJl;%9sUfv5Czq<|3$mVRXF0$xpL2ibHL-|9X~S*FR_1Zu`p zg+U55${rKZhnSQPU%*0#l1ZiNNXg-?tTgXg4KOJoS{2F_(mXp>74!z28RiWnr4l|7 zFYu~7CjxDUn8H#PJar1s?*Mms%FcA)Y>Yx1U!q+pzSXO6kQ+-U50~Hu;sT0Ft6e3) zNA;Xp$j~<@;t;zfSt`&nD@qpepi@F_4T7;J-!Uxb6|QISO=IaZGCU z*Fl;x0}PN5l)-HU5HYP|5NDHOxR0H{HeAsI4;}dNH_v^Rch?`TDGQ3SaDUnS9~-bv zVU@r;&g`;E5K54)B;ZMZ*_Fv&{olo^W%guoyS zfOCKk80H{)!NXFeebxcXnG#Oga~LViO|f<=Tq)<$D2<7qpLMj^vl$1Z89QjjU61P+ zAagZZUfll0O~1ap?G)VgUkaoEN|c%T2y35|P0DO#o_IAQQ5G(|YM{eY;nw7xw=&Tx{GAq^)wMhc_l7my=TzIu+ zdd8-~%NR!)umy>-@RVUH&)fQ^^N;=0JRHcB@!^X=ym9o?ov$~qb5%5dWf%yY&dx8r z3&v>2&djgSK4m7tt`ONax zX1-$QYxL62x56#nz@@4O;YEZn_^ad+*mS8&_cy0%cD#H6*G*QV8XP?E#-*zJo_sMl zeJNSNMCoeX( zYzjXlE+?F^V-}&yxxD5C=(i@l;i7yO%+WF8d%cbP~xRz=gEttrr_jKqGI|df$iUf#y<#d0&s7{))oKE2^8i3z%PdGtg3N5 ze_-msMxf?6`jefr53~&H-=aU>yN=yfUslK*E24kNw=kQQ$fm$gZrGQX|7Xlz2XHe( zjzdp5nIGAJdcz$-7)QEvdgR=^p!R5+kT&AOOGIb}c=ruc^0p5*R7anb<8&be7hu0g; z!9p4i1HHyP12KKF$$eM>-yjp?hD!@4OW*-Q%2xt@W|sYXoM9#G!sF>Kyr>4`vFhN4 zR7F7Qtcq+yhZQH=fnz?BVaNEu2^jc|Gc62~@2%R{E_$3L!3?QJiwXzl+!yfpL?=Io z-#8k)zdl5__6L^}C&+TDJU*WI7zj7EJy(cPT`zL7WcvUf+zLM5%R%tXTT;Ncu@t1s zaa}KQviOcZbs`vn<)dfem=nCjrD6I626)T?F@0ZRVCpGMgR8S40Y`cGrUQ<2!n13> znPJ=rEVvi=kJLH7638}S0LhEEh*V_!N8nK`>T!i5blm+4zbGpAFsZ4cARH{r@NHBF zi+ZXHpK!YM$anQccaw85BrWcIBH{ej;@7o>imTI}3IZ0^Pp>c_}GY{7SG9INoQQ4>p}+(;2A&*agh0RC7n&Hy$W;AYrq!b4q4A#W#_+0GjW-~s}voxuVeKoCX1h=Vvzy*pSy z3kaeFgic_`aflOGh7>h1S=N_n(`I)tfR-Ti12E(;N?PkcPzxt^94btz_g#P|&CNgH-sd^{keGA!oU^<0&ij4ye&5XOV!l=M z&@^VG44vg$W8HYZbcBUC{64Z7h}GUzAlOLP{I)5KKD&65sva0!@io4dxgG1JSOw&l zvNp^LnOBefO(>mx(F7jY>4#IQn-`omrkHK>4ev=0PiXn43#{;Ro7!^R4l6E1$#v$u zhGsolve`siNy(APD^X#>UkeIp@b>H#%o&#~YV>DB06}1GWbQ{OIq%qqV#}QQ@7b}w zC3jFxWV3y^nFqVV*0>!9>$6F$Jy!}WRXE;iLB#lJ=auvad!4pyvb9s-pr48TNhrCJ_5PxPdR{XW+jP0bcyE zOo9QGNe;uRY1?@570FY+AGGaZ(*Yh9z)UPe^BCLSIh{hhILt7$%nqeuuA)^ z^6Tm|GM(;?#rb=toC6f&Ts7*Au!hdXnYDP{-kxs8Xo~g9jD}gJ9bRF6)8D@$*(JD* zp_w*!Y3PwTWLq$eAQ>(?LE(W1KL4GcdUW#ZcfcU*Lb~C^0o-|t4%Z6*hmx7nV{?x;gO*&MP$thv`wwA1-fz4SwK5AAs zOCD}Uqj{&ed_5!Opx}v&q?uav$W=UrdZ5;~MInwuv~~!~_jC(kf?tCNo??+7*?5u6 zW**ipDpL2yxGRL76r5x_DS4^g8Sl$HT>hLH*Gb$RhCwtAWasQ?4x8+I;V(b1+4S=Q-_AE-3){^KijPwF zu52D_$Z-*P6m}t5jm~22NQMq(jPcoCXJgdanmBHg>+e-zjSTH9?O35A^-XoYFEnU3 z&qyRiI_eHR_ z5)B3QeHwa+hN)=tuz$oA(Sn`UTKh)53n8X(&8Gt=CTH5)r4Ag^IDQY0{qr;+O*2tV z7*7jtj+r`55MocJcnY^+u9}t}_#uV^*GK!-16sP|#P5in{cZcV7MprMwueAY4=t(B zZ0P!!y7i-x#NW<4O0S@0uF{uxDQ3lID?m+_;5cr8HUbTtG#1>E*p@BZe=@ z1_$o-K7Olb0fvV;Du-q_9eFNSQ>Htq^|! zJxEf zf0~iky4h=4m%p0Wmuc-n=dcnsRXhCH$|HZNW55x!?`YZeSZ6RuX`MG znSu=uGfw5}j;-BKyMg+Z9dSIH2+RJVS=;~sdPRFCVn+oQIC1?c>FFU47B)dHurIiZ-S z7AKz&LG1GFV56Jc4N~jI(o!(fhmqqnw4f5bIitr8xob)VO_9abJiciEgx_*A7#KSGCjz!D4x|t`UxW7tZEpk zxBuAG&T=DIotF{$*1H~gzI$KsMVuX*k$~$2PK*~^%oZ?uu_U{J?^CEbc1x*uLZAl6 zdqij?GQ~sDT?wbSI|D%}&0mOhFaP;479Tb!ERlRzh4A#aduE1P9bjt2R98wES3aan z*cjI`JqR1Px)x>ipe+$$s>hyY8V(!@6sA`gvqX=U5Ym$7_!tSS~OpnH-fv2sf9Ecai1r4b~RaHBV2aWWXiCU!YUlb zd9U6fceRBHLx-dt5%FO^D9|8v8p^4w$&dRdd0|2}%IzR*?Al*U3de+}2J_xbpU-cq zrw7Ey;%3)cOU|sNob}YSzjNxvflHt?_rMY;H$842^~=bu_+X!52Q2Ky&uV>gcq*9j zBQ-jZJ;7g!)ZnZ+@B!xkz`U$5Kks#sHaVP_VCW{ zr%j6>@a`7E!N6S?6fA%T=RNQ-j|1?iQi)k2A=bqw3U|b+e+A8u(1FBA@}FrQQ4J9I z5$=it0f62xfgv({;)4lwS*|(pPm2<)6txGVCEVUMUlmFW58r{WwXnF4fD?G*52J}Z z{X;tU;2#U4_yKB;*E&|OhjyLA>O(#9v{p+?Xw#?rzQme7@ayre)_G&-~8si zRX{2&Q3a%e#GSGVNEMKOgup*~`+q1y(1W9M&I8iCV~X>h0o@$Y1A<;5kEHNv-ofD0 z6vghR=xXv$(aGf5M|>(!q`Cgm&Aq+~U)cLJ@2>D^-u>be-1z}tFp@ls%jF6_MHjXA zX|50O>35I)_{p!|`n@k>H#WUht!9z3I@LB$*z_2m_3l!5TCDHZJ4Gip{qjB1l*j2J zmh|Di3V861*5w{|u!aZELr<}CdS_S_&bn-F45IQ?!M8nkw#ShXw+8#D(p$s|#K{I* zrkgaFMyfj2dtEFZbTEJADk8;|rEo5=h9X2uw4TrU8EzDb2iK`-(JEE~;~rs8P;Hb> zbB6`5(o*KRQ!R2bX`p^-DYR?Dp~wj+&aL9m9-0uD-lbL-1$kA3+bm3Q?kKhVax*P- z2`sXN#$RujrNqXg)#H#ggmW?O6?_oUI9Wktv6`A#{I@Lb`oiCI9%y>4yoV6E5)M7o z7WFtA2cuRz;%IQp*|0HUFy0&$G3#}_R9a@m!|K;Xi{yBebMcUPx4ei4%uisM$ILxp zvzWpXNHx=%tskJhSe%!2XE*WugH?5Z){CdTKR(6>qxSI6F$z3898E5$a(iiZg1suv zjEB=byqrJS=T(MRn6y;>3E6EO?-b83s;yS*w7=e=HYcpBa`IWqqtzvORbIo5%8`NG z^be`~*E%!YgK_c&QSOLlA8LC@^*G`78183&V|-w4GZxv(W%5ty)Mp9`C_sb+dv&30!)Ptl%yW!Bu&5oT|!iIws2O8EGLqB+7V zew|(^4UV$uVfAb6Zn+z`7ROz!uw)I*aJ_5#zR(_XF#IxVU9<+fDDE&YYRxUtQ}*VB zxGM3$AU-t4@oM9M>Rwx&kHU?EYez(DG&s^WJwex7qwoFxfBwrC|Hs&!R{1^_O`9M5 zKA!!~sjC-JX@e>fRU|41RLUwRsz_82sFYPsRFSA4P${dNs3K88pi)*jQAMJHK&7m5 zqKZTXfl68BL=}k&0+q7Li7FBm1S(~f6ICQC2vo`{C#pzP5U7+@PE?VoAW$i*oTwsE zL7-ArIZ;KTf;3(i3$RhvdW1n5)}k0Wt9_E zBq|70$|@(SNK_E0lvPetk*FY0DXW~QB2hu0QdT)pMWTX0rL1zIibMs0N?GMZ6^RN0 zm9ol-DiRe0DrJ=uRU|41RLUwRsz_82sFYPsRFSA4P${dNs3K88pi)*jQAMJHK&7m5 zqKZTXfl68BL=}k&0+q7Li7FBm1S(~f6ICQC2vo`{C#pzP5U7+@PE?VoAW$i*oTwsE zL7-ArIZ;KTf;3(i3$RhvdW1n5)}k0Wt9_E zBq|70$|@(SNK_E0lvPetk*FY0DXW~QB2hu0QdT)pMWTX0rL1zIibMs0N?GMZ6^RN0 zmGb`tPW->Lz-YOt}55?Y#Dj-!r{t*KI z=xvoD|Nj6a+r3Qok1n?IHqKw@0sd6Bf`?aW<(-zlBUb*0HNFi{95qge{p9Yj$#D6ueW$Y#pY4pA$mf1zH22*NPjSA=C5r%wkV5D zyC6-9_j<5vV8dZg@PxNu-oEKG{7g8YdMg zei3WWe(}d|wwm8wc#-UGjiW}uYh=82%uP}~X^hXtn!93>!c!`7vj#=n4B%-k-0-uO zH0}4Bn;n85Y*8kkFE(UFtJ}{)Jvj5emGrFaNLLdAcr(48%b!KsX@`7J7}Ajl)=4=H*vtC}+W;iY^;T3|_^&>E^$>^*-}k zpZ`$)DYg^`ZD9VoEwXthEBskY{HHD@ZoyA}!MBA5IvZ9gT=!T+QY{vN1FAW`qZ&dj z=+XjT4~jAP6b81K4hYfG?pRAt;DYreBTmY~g6y#7+8i6WB;+n9aO%U3wGOwwqc+oC ze8MBe9_sq`Dt&RQ#hD#(z{j%ddTB~hW_ShPa#}1>icCU@Zik}9acWh_(Q2_C2qGN` z!VIRvIi|3PDc?DE`+x$M({cgf*HYakLUprF_}#WJ|&qO8R57~3X(>NMKq5ef5=T>Y~v28jqOo< zDJH&VGQa4DzF9AvD-6f6J(zB-4_oYt=vDx$M1ATObd${CxZA#G4@SX`@R%f;2>M7J zyhhW@gE!y(q3`_KmvKyNb&fW{Ya>lC#)kMGraRQ*dN7w9x0zbBHf$%Gn~wQW`)+BE z`nB<#XRs{pvkdpJXlA{yu!hhGgcPdb$<&&pwkM+OhBT5|x3Kj#Zr7=|d39l(RmXW< zTqo07sd+}Q&k|01C`%JodSltX@qVLM4!FS!8N7s)Xyv*z@{2s04hqHWfws9b;&mr3 zButZLxb1j1JItY@b;op2ttQpF+ho8u3h8) zQ6fn(xVT+#)Audo2NVsO3XQR+(>_JuuqpPM<)A#F8k9Yz)OP4yVPQ&6vaFE$%KKw) zmbJ^Y;6<_4m2SU?ItB$RO;*hInh<0lY&JO_2Q_nPjVQE-gniL3bPPKM-doo$7<38<35TxIU_TnK^OMBQ;Xdn~EM00c9oI)6BBdK^tR@zw6I_ z_T+`8m-pxjoAS0zBVamQ*0jm9UM=pVwZ4pvq|t?M8I$q;G>GiIwT{wu}arwWt?HOC`I9F~IAaN4<}x)h0H%IB<3mxRi( z^hDIbY2#|8j5XgZrblek`qe&bA>Q!^r4?kBaCf9rd~RL6m-Dy2d+)|4o4)cfvbP!D ziXZT6j983e&smG4sMP2msY`yrvourp*xZp)5ZeFVR0Zo%^tz#G^(%ARwl*zpj}Mpc z5nkO$Xge}goAE;n$&7c>trNZ-E9y5+M_ZP6cL?jVNzn;5%;;{Kb--UlNlY@5Hd-On z0(!?nz>6<(twUIAKg~!v3<#5s(EL7^o~M~f>ClM3nyjW}vwtjHEjjjCZp>S$e=T*j z#Z^p2=jnobsL!L2IklD;IL)}bkCdqzhBHfBw{j9Ixk(Pt(6TY=@L zgw~vAq`=f8k9__laCt7Vi z>q-Y$5|Y?Aj-uYV$A(wll0ji$IvTTm0+Q;~V|s%989IarEs#XkC?PyDBAU3pF{sW} zfuSRE;6u>XurSy0+;qz4ZLXvZ7BQaFyfu%6J?HHe+fU-TfDk|B@imu3R)c??uHO@` zz+m)$>(J+{ruVJB`RB?1{mVFIzI85juD3*43;dIz`~_+c%(E+l4MI$gs(5Zbex394 zox{=4V5m&Pagv{RR8JJ^D$$*!Ug$yiU)joo5%1`>maL4BDX+|DI+>3K{^uDb>o0_- zBmGe)Yjo#|C+3PzDv`CNlaJCN8l-7ogEi1SVyC_0y4xQVx<*><8J7i)N7E_!OM z{rEL!uq&mSM}^)dsO{^Sx1l;EUE1KCYYj}7V{Bu>RrdaADtglG)Lc!E(-B72%W>Om zDgFSHr|jr8^A+DXYqLRbI*8dY6K<&LRO47p6b-~lwC;V^`jMY_?!P_0hX~OvlSE>M zZy%D5?`ccYB3Z22=It&|d&W-CoqDy|GmYEL{?t^+#h@bv8wRIWemGb`(Jj(c_;TVO;^I`+{K zBZ*a^BZ^77Dm|mqUrED7rh9}8xwEu06h<6FjD?etsi1B8$I`-<6?|l2WyMY(plK-} zsouE|%{KeTT{;nMcSN=yr~RHLObM~O5E9)@{PjeC*CS>>%yJ7!#-34G+CVRGnJ^d5LD3NysT0ZwAV+U6-|U%Sa66y~kW1 zvI66INoS266U*N3x;psZMB!tv}2BH zPfKfNp&QzNz?&TlGk5i@rN}@p(>hR@^Jm?ZOm#rSwi}~aRB!KwXW5D=DgTrw{dm&7 zMD}fQC0*u`tptjN*L1MIdgxnEdT*^gx>w^uwCYh(=FiooK0H3!TJszRE#;@0Ni`k_ z*f-jYXi#dS9cV7DZOWsD2altf1&eul{J<}4edjMOed~K)$FcLRUXsu!ER2Q;31|F7Hw!ZM zvv7=h1Vp%7UcsD;naMdNT4H#<^|dxVAx0LnW>xRTXN1}> z14u;owCgBFG^d;8j$@`dF8IoXj)rpGuP%yx!r1A zw#993?u+<@B;%2_W7%;+G#T`K;ApH^2`#e!$slZPpcERYXi&VqDD>fhmpC;`aJ~SaiMGy%ayOY3pEze@utQaRHm9^2bttS!(ilRXIcyg zUS!@@KEFFnG6x+TX~=H3e(W=U+cf>^UX9^}95kXV@h(bc-C#xqf)g1E?i;4Q1R0}w zbs=OGbPK6dIvj;p7o7*69TGR9`FuEi7P!V@s(CW2iO3VCu;o@4BcXsvWu(@f@-G>^ zT-&fb(ioxhA*T>v^9cz4!Wc(WrYfm}AR;!0z0Z2q)xAV_$P)|JQAMiR&_TdhN&$|MmmF`0s8s-G1Xv^^W^JXS4e>Pmhur z3O3ivL5ByB5WWmfts9PT7yap|6^eCSFM0$$USK3k%EuWe6IV6(zwGhJI2PUoi_}N6 z$7JBoIa!AYt?e`+I3Y2nl*{}yxRAb)M`7^ezUB?2zVxK7kbvm#67L9xOjbrR#I57! z(a+kXE+s=ey+`zNVYEdl@y}2K_M4VfC$>C<6jY(*+b#6R7usEMW|+kAKdy?)QBV{1 zC!|)my|k=sGlU2K)~7%9@oP<86$e{>j^kiFsYzJ_djEtpE|-+Io~S}nbQiM?KA8|Q zd7?l)-S(XAV3I{*)YbX>XhwcCjxrBfiEx&VQ!?4IR0y9|UBZyUH0(=-%>*H=EI?cn zNgxrUcA+bF-ZkOD;^X7A;Kp4Db?tuNV-_M^AMbt?co$5)MWW4MV7@++aP_MRS@8%7 z9r8lr*7*e)1@Hs|eJi%hNu8}HKHL|!fn@6$^{K!9-KIxAlE1yPDJ=o>*gWNOK$+yp zpjJp9nv|dmDkOy@QaCx40mx<(KZTlQcDU`qRT726>OrWzNbVA5ov(FT*?OQ+5LJ&} zwEF`+^#g(}jcedC&>arKzcf(7(=`v((442?Tp_+|88I%Y4YhmF1{#wZk=N$3jpHBp zh;Y!LI{ZZ@cI9y|sp;gu^#_0VbCrZMceQN5*R zVy`Bv4_#gkLoeC~X~y!@r1Upb^rG&mnch_QbebR_Ao13)7ad|t{-jjBu92}U-oD5!PGj48v2==O^ant7%p)`WdD z?)Tz|l62FyU2qKj<4ZxkOBHf)qAu+>^#JjP9@H~Z6Z6_(#y1d;j3GP5*NwuNXGtYzKOUE=i~n2fDdg@_Z7Z({U#Z%S^X={rZ*+ zomaiP`|b5iBt$dUld#qTm<7)T&9YuZ3rG`qS?I3?Ov0KXJwj5}laoM*q_lCq?7!$D zD#JTM?0c2KL2;W9DMU@kK&8i?pB-g=?rx~so1ZSyN9iBINedY{Wg2!$h9AIov+$A z_(mWeYirL2TkTW!_3gFw;QG{U*1hvvTiJzYzan4zk?yh4zv>!vTH>u)-hJ$ii(>Po z!N2O|Y1dqGQk-H9*VgFAApU#G)~m`0J2wC5KRL^jpL?YDZSGBH?ZINN!*4I$7m0@_ z4o%7jj)?R3c8K>RCm;OCGtmPhVvFBLpV+!h@0Hosqm#bu4F{G-hF_7tw)NET`A=jgld-R`i)q}y^n?BPh{N&cUY;CRza^gd5$o6nZ4+m{%GQo;S?5G@ z;}%cTIp|6^`L~_U?7h^wUSxd(?`o%~)ep?e)9FmtuU*`{HMeBFZl6+bY&2QzLoMP9 zcH_|X=mQ^LJ^ivhcVId7nl}FHP+z##zFB(YqvF(h=P7x0{cY|9EnDxG_rz~Ie^V`Q zzPGhJbLqD)_~lPn)*n9J%7#81pFZ=_2VZ*d+h6|HBj#Qc?5Dl{$$xUKd*KtUX`?+e z=|802zBA-s`hq+;Q~c@7MrtB{>A`4%oi5(!P4ANL&mJgFW*5b0JFT=B42oeE{n_Bw zIWaN!{FCM9-yimj`zr?zc8b6Hz~D#wzclc1bM|knxidGv=SKOgrQs?YXz0;l^pR1SF z|FCb(x6ch7RNntW>ina$&02jr?tbd=;fP)g@0xwk&W?TH0r8oys-aQ)Lj1YM7HHer ze5t(f_VY4)>Q|q=5TD*+Bir50TMuwnY@@f&r@`Q>@~c}j#U*F^pc_9`{KePLHT~`2 zUK5auimp1VOY9r17k{Pu-|!>vR=xJ-kx)(_AMd+iso6*R%b)v$kna4aj_&5uC92-I z_3r!mG5f3Gn`cH3tJ!C*&aKbIH>}ORt>OEomaJD#-FWTI89V*NCobN%-)@oDh9675 zvv@e#oSR55q;tbhe6Kj#acl13;=Ap?Rnx8Z->&xBFW>vPb942`xsmpZ&v*5YcHRE; z!qSZ_oB8mmlOxgB2a2oH%kD}0cUtS@8{hcM{rsiDV!NAOWUum};DY@{@n+9b zNxko!n0GI1f9`kVE%ofkz_+sZG530UD}Lsef7p6A>mOcS_-n@3?i;x{FJ7@Po_~Go z2Y!mXw6LOp{>>;~KR3TP%75q`R(a&c5C5>tx~Fe_@Yyf@ zK+{(bRT?P0`od-R_1TLHSO4o5+}Hh!>go$$XnWniwfykePg-rxt>uvyUbmcE>S6jR zE8Q)Bqa}SLjxM)fi>Dsk82i1i#NvsE(@z|~^LPAe`)A_O^!O)!Y;81s_a}brqYtJF z)1SG2?t=?Yhs#r!|J|AR>h!|pbrkXQBkOOhU7dJ7`VN@>gLF z?!or>KL5VygUDIqZ~QPv@l&{Bxq8p^Ps~2dDT-)BPTo7Ua4~AK(r@y6W>0+EJv8;~ z489(8FFScJ^Tz^ERz3=VC zn|sbc3(a=Y^@icu# z^ttu+4?QBREUi&9?O6{3levK7JQFV`j@Ftjo)&5&JfVhy*+*<83e?{59X=xEHa*c? z%BXLi5ePGnSkH``TDu!Ywdt90cbU|8T=%ouke4mbAEas&f_+Tv1OsipJk21EyPZsn z7)zal)aD&@*1JN_h!t}%xEfx_G>`R_MWXzTKa*&r<{#qn>6+v_3o4E?tl01yn(VMskj&+EEd>>w2B0fZb ztD8orBpS3#z8CTV|BZQ!OD1}Nmp*dSq@L|*6`Tx7Yy1vd4bG-V&@RZo;?vh}zqw)F z{FNmC2q)-qE@0r*rU%pmiW7D{cyU179yJ;H%|>G_lTzGoqxD{pyHtmz6s-K`*;% zpXwAV0DczTin<}ReCIar6!r=ZoH863mqW@e?lulw@0<}J61^?%RWvrNWpUXpgb%7- zoPyjI2Sh8<5!?6EY(hAL_qh+bv3Hu{YPto%H@A-n6XeXR*-UXYr}Jt)BMu2Sxu9@0 zr4%$dO_3ROuhTpAEZ^e>a`3Qlo>Ja^(TW;v(*QentJxxPIdv45a|p53J&+2Q-F;6! zaN`|K|MAcFP$d_(%T`Z^^$VgT{N(nibFAntxZ6Lyc-fUJ!I|Q3$vV}f=-iRv4&`2F z&KKP6W+ew7uvB_m;o7gMQ|=9sZF@J}r_1RCwJ$8MKef%9>6tS9nutU;`T0@zVT$Yj zFV2}_DLtT0+$hd@JK;0po$?EAUaP?$a?&%RZum`Q-VjZ~x)I)Vmxc3&WxuZ0=S9lS zl;iI~s~=kK>e%KJ^e*S&nXuR0r=DTnzYCQ=Bld}d?g9FY@@`S{4fhIn@&)4`2gj}$^%BN z#VweP_T91fDs@Sn1-yBn1=f3#2-jh7vtavy)J+@XLybQDcmgl2cOi3<4aG-yf@!u) zF^Q&-Xk)xi=preMvsf(0tezK^kVb6;YBuI7q$c^E`*Sa7vU{HV?aoxrAe9IZ5jcJmu4OmNzZ23aBt$)KkVxSi$w%G8s>GI*mYPY)bd zr>MRUP>=|*lW@&@+$_LHqG9sJA+!W`C6Ok(q(TdUmnmkG40>rpSYWPtP_d*@AW@5+ zHBiTsGXln_vp7y_l*Bm_%_E?6q_I>X$pOZY8yFV|pIvai@z~M-@HVoy@pa#`=3;YI zIw22qJ28UkURR=OvC8~e6D=1-ORg>~Z@YKLhS-%wQ;!|=Ok`!2nT`wBsY7*DjmP;h6 z>}V|+hKZ%01$B74;o2S)gf#7q*i>9@xN9SlyQuhdZ%ELlLnCpmwW^jNH_qKSM})Zhl~D{WdOpy>T zB(v@zioVP8)3Az4yW>Fv8 zC3A`k7Ml%rG%3dAlu9tng-K5%7%eWGc-*w>aI}EBJlfaq<%tQqRgj#=HLe~sGIZTZ zY9#Ak(X*{Kg&)KLxILb|h}864A7j8Vo~a-CXa zvs098-n{pm5XuYN(F6-bX_W@nbQ%SeO?zqC&;5Cv<}?`OL2e`2X$lKnwVn~qf~2W# z#eP@JrYVM@LVu9QCWU<{t8jEy9I*R6!Z>~v&m?iq1UBBrVF%P4itc^=gKsT+-~Qc4 z?@(ch3C(`Om6J(S2>b?D?s7LJe=)> zGa!vnc0>~?ff zHq1*N*AAdBS`?*ZDzIW+1{xS@^?Th69>E7kT{7Jp5z5zl!?UwpvUHa37cD95LKl#5 z;&?LMsmi9(ACM(U*E$+ z<6NXBFL%%y8pC;(&DkVwgwGdbGwmDYZ@}vpTt5~p*hu^AMp}TJ!c>T&wWtup^c47n z8o)pxknnckvoIe4{U{HMqtuW~XjHgQuXG7HJWLHFwXF7h113Vi+a(f2G~)&clv<|u zolQ}B#2Q(^6mquG6}7Se@4M2=R0(~H>%tN1GET>=ysN;NlLzZ7cx2E+Fj5#s|B!b< zHN`@AK6yiT&mof^eCKm-{pClSKK7-(x?(70x3Xcml^%DV4p~AC^G3{KE<op&oDku2fC?yL5NujOUOI&vek;709Trp+r!yj?!W3!COz&cA()<} z2@9uL54U-Ei(-*>w*)&1n`} z*_b0$DYZP3+XyfGp_p(9q&7s1 zaL)*_BgAvN@3o`yo&1<;Rh(m17}3RoTNI|xKdsQ+FG#~*>d?Ghtc>WO@P6Lavu>*I zH9E24xRlV++6W^%=R4pH*>sQ4q$POl72239EO>vjYN;Ey>l) zN&0C^rf00ZEovoK-SiEy2aC}Sr?G)K1Gze|TI5FD?5YbA0-+_eIR@4iF`Pn<{C~z@P z!3GH*7BVTI4ftrx=Hd=oM+fY9JhQKd=^HK#!3I4W!jp6^s1es_0h-5(w>ok|fo9k~ zqC99O_`M4hv+hC~UPPxc9GB9_@xnoGExC3!JcYoW0cPG{?~FKu?0&W&OW6a$Hbx|O zCgq}#|Aj$74SB^}A0>G@fI&q}C#58p5m%Ug|Jfxs%0=L1ex33g0t3Q)oi0+#R(glH zAlLc0J796^ImM>$3wvESaA&1MxCrlRUETg^p`bZkp?3=DMs#2RbtytaXa|(%zMZvE zAD=)}$PV>e)OXzP{J|gCPc(h?KiolU*Ppb?Mp}llSYt9sWgXl}Df4p&lfZ%Akr%T} zB>qOOFT6p_Fxc)>><(6LX+k_kS>|FAikAn{t#nsq@Rd7+dPv5>T>6yXC!kR>H{I+) zufoa{3O}#E%jW%%NAe1*vudQITi*4IZ~A#c;YXRD@WrC-JS@D%6qM31ORAAUckBeZ zKaWnqg7D~H3NI|K^SGH}L{b5lHMXcWnpLAXp0-FnsnE;CakGesfVX)mU5uJ2jPJ9m z8y#ceEFJVM35Vmu5p#VPChh4jeC!YIxqJM?9!eU&V{o{-IyK4UC{5Qvs@$uF0tX+5 z;)KZwh7Qz`WU^Jk2#?t4-!@uvPB~_-Lcu5|o`a?KSiUOu=PlN-4bxw{jLN^;BVk}y3!n~Rb6!0igX3m@zf3POKcExAT-+wA?~#NB7l zVfYW9+IKV6sW#!0;`9~f7A=bh{i?@a_tMkUE~P7~TTXY{Y0jvRbmYe~%#A%l*{Sjd zn#H^!?xmfBK8K_nNRz^0gfeG}MavGDp9|I8Hhib)uLdsMY`XnKg;KpN_s@%^?0%Z; zk}BdZ&@wXDcMeBR2DJQO9l4cg4xFmB=OzSP7K1M6P`PF^=riubu8YDfUu$kSD>o0r zMqR*fT$IE)G(F1qEB~X9`+n7NkmKNkzaa)-%7)Zi>-o^+6ZL za>|Qi4#BeausE?xDw2EeMkY$xQYgXwv&@BB-`M4qglySG3nAQei@b=*(qNXv*zGjK zBoyCQ>7XwXwEV7YxoLNb+*K7>(jX-?=b7R*XMv9K`a4*$2fsOKUd^MNe6wf0Qre0^ zSThcJLrS*0<199uL&6EvX7U)OJS@q2pA;Iyxs2>W zrX9-F%Z)JR+TskGk)r}#`F;^(HqR!PvADpRO#09R1p1XpZ#$R|}cV$a1K>>crTC^6$P5uOoInD>ssKIBBRu-Kx?TEz8`6iV?8eDeAsD%Iks(fXPBN91|D5S-WuhYr5t8HJv zy~u43Nz-fYWf#4X<>$6;0!p`|I)Ud;0!obQj?<&2ER z;<~e8G0@OhTfH*$t*NAMzi=T47oy4ebdO2H5*{dmZ_1gZfY7uQDB|q8Po~iaLI~K( z00bKEw-Sj!g%0)<5uqnEjLryc4VRjp5gVqjh34sOpUM%^i*YQ29G^`e!Azafx|NFR zn6?bSFsHw4M_vgu@~~s`0ZRSZ^xRMW$$!{vdTM147s7Y_kOGr%5?zX%N3+>eXk_pR zu z`v3CDKz!MsCskbZa$wZkz^E=)3|RO19C>`mPDl%F0h#x?E@&tlzI%ROEa@((7)T{W z+phJ&nprX@tUHV%+r2U;d)a=BuEKv$_qOc<*PjFT?AGeH_WAilZ#K-`G$QEWq@+t8!O%EympkQ6+ z2Ro&~or#!7mvI1vZ_LuC6jPW|e?x2pwb=zV3&kJ6aOi}Bzp~~P%ZcWH)a#d4G#>JS zW>$Kdg8F%4yrh;wZi!c6j*ubY24eQud<;Wg4Y}KzcPBOgoHCMnzTGWefg^(3QV?aO zU^6$xMzIOMayt{f4YA zEG7HIL$X!91m|_Kb1v8s$LynoAsOx(CkG}(ouYXMfN*ZltIg$J ztwH82d`7g(*U=3$eY*5_Zw24`XJ6j~QTf=JYvtbl2+@I#|)g6j`{vbtr0}^HI+QVl>>#4L$E}cjdW|)Zehr zQgJ$CHU<*a3w!yYS@3bc>OxO7cl^Qv2It4NoQ2)(5@vUkZ#1;9a0YFju4$hNhYWLtNFPQ6QLi%R|b2V^UM)j zZM_5MM-?0Z;9PPGcYL879CGfN=o6iBDIe2}vdc&-0Z)0%U9&OtBunSAP#sb9vkGv^ zakqT0up8voE;%p2cFPXDBJkAV6)(!tGwMg^S?5MM{AYHAc)hedQ|V~$&d^}{Xzm(U z7f}ajvH1bO+U3H^b9%bCE=KD_o^ykhpP}}yd*pKaF#KbungpzHkU?E|0TW(#9u#nC zcW@o-%L$tMLjZfkdYUdSV(tw18JC`O;W)ox+oQ4jttWr#e}1g#Yu~$L?5*n_qVQtY z<;Hh;+0@mo}f2w6hlwI5u@{6 zZ9%NiA{8f17DY6OY=y$8B@*o_-PLtaVyla)F4uJ-qWg4Nu+?UfUUuyQ3vfm~eMY!X z(;TyJ|N1Yk-`Dg%ixte!DMR#^?E^sneuU>>qlAlGlGvNOl({^XLJ|u;1p;qwoCCM! zPVCLQLoRe3pjC(}X1SFP$=w$JC| z&{L%>DRzs=r&K2`w;*~#YHM7sFhuDq?ecC|)e2N`-antondl)J-_?8Y#jmx}YiDnW zQxWof`@uCM(H5!RY1h!{%=S%$=CgVCjNx?-fwziel8x?pPxRHrmhI&1%QfE$jC~ab zaToybHVz9${vnRUXlE%wQvSd${pRzjTc97V*ao^g4^#WWIDGc=o!@;Zxq$(~-3`sP ztNS?m8#B(cK;R#I3(;!Yp=udB=|bUj({#2s zE~zojVaY{j0nLWGYG0l0|z^w%CGyNK#qT!<%;s0izztBJF)+l zvGAcHA!M zlYJh|aDj`EAy6fySvRqWNXSpuE@|C;_ic@$q>4k+HA}PJJl(VqoZ!@H9|(4cn{J;Y z>}+@6zuxG9bcu0V7>4MLI0yfCSgDg+x^$4h9iF z>o%nErDn>ZHDM)BnU)((K(H0s2K>Rn+E{gZU zN)A!tums}YNoPbEwC7)q%$&}yG9?T8s8NRz8`&x=I3?Soo_T2x1^J zsT`I;jHwlUyZ@@eZekeQuo|Td&Xj!PTSX8sjNLe2iJm0u7AIN{nCWCQ#2C8DQ~`$W z2{JBosU^KjNR3@Go&t2&7j+Q0$W3wAjLu?Et8VR@&1rC5DWekxMoP#%AY?EILErhm zgurbcfMY3N8m!LL_+1w>cu;U*>vGO{`ro@Vi(kDeX6CA1O$FFSgJ}fxs!f6+ysj0L zlm~QJy*7rUCd`2f2qCPGVOWAvv9@{1twU}qzG^dpVqiZX#ftqcK>i@YW^$=PjNz2E zAu#f-1@4^(4! z%6v*GihbDbfUm1~GPQhtZasD5gwS4Gn9{SQaN%GF000IU;Z-?50$f><0t?ua+r*&+De?f6>3!Bn=^Sko4!qDS*&f>xf|`_a(Fi=M%69npjo#Zx^3L z;5p%1m_zpe)WP_wtR)b23Orn2&_0(n3~KQ6Q==N9KGT&Xg-f%u?$ihcE0s(FiUgtrQ#GZNKetual`;t2{Oiaz$AwMn z0#{&Efw?-h4#oa|?NyyEP8qD^s>$%V%xlZ>CbBN`Yq}YP?!Rg@1iUPCMJ2f=_5XRt z(ibwXJakRysf?#!Emf8=xRYOC7>C z$NI6ML0R)dE>r&lr3LU8>_SlVs#yyB4xxF#oEXn6iG%TO`_E21rM5O6`-C|f-s6+pCaC{ z1u+ANrzxJ(*;eA!HYtETZorCY$>BDjwrolF69kE?9cV0HmSi@o9qH-qR>wxOOKyT( zfLXRWO6#!A$>vzGph-0fTuDUo%yJM!RvfKSh;XZrIwl&M#9g%pOIevG9&tbyMY}ox zR3KJf1F+LEDP`-|y9^I!17KUUDvs+XdfE0~J@aknpYDJ3@*Q_y6HXj?5#+pD1(2@_ zmN%oKl;CYC5*Vgty{B0HYLBgV5#nqr;53s014&0#!C;_w1Usa4K}J|Il{;n;k{*Vk zCa@JjKsm@U0*WLf$fS8K5O_6Z>oEfYqpS??dzg86A0i}w zQvULH-_D$R0lt)Kms}4-hB5hl`={P~*G`o4_{!T)dAWD~ZFQoAf4T3;y1ldKU2o+3 zhF&>7WvoQXp18wRHL-IscIEa;?_C&wbl+6ReCH)s%LKWkz{CIk>Oy4M+x9)}XU@Bd z{EJ0%(nqe-YK60($79W4bw`=MxSIaBWg~-YKR$r1k>ih5tdQek-Res%;?7GiReJA?6nOFEQ*TdQ!NWfOo%Sp3EoI&-9lzc0 zeb@^Z8#(Try);o78TR^;EpJc2hh`&Jd`FC5?dw?c{q}&q5~;$zmB?`}erd9juWI*Q zz@Hwt5P8{cZS0X>>CsrQ+xoiJw ztV&FMsIlW>VD+)e*{6JwKY5?T!xPV)oLGsx;B0YuS04WvzO($BUf-1W;=a|1^4pg| z_%ziGlOJ~!xV+2WyA*xp@mo8pD((aEd-0!3^SyVz{iN^6#IO&kd^{Ztp^Tt+%R3@k4ik zTsk}0DQ5^=Hr3>u zG^N)xhJl38t4jw&U_?e!7*%CBy9ZK$`8a2IEI}xcW|$?2sxTG-J}TN&;$e^oAU@=P zF_vI8V7)<`z(|k*ELt53q2knnEI|aP+eqPy z44+DyN~u2hO-S|uEeBbN7C47|oipVOC$H>UH-)%n|_^n zr0w&2|8LJ$;lKR}%i#a-W*7d;N7uXi>fhH+ssERE8AvvVWflf@=HLDDgPF{?{{GsV zQv3)c#W@~#EKAb%rDCzO$^0iYS!7H{LfGx|Y$nse>0ly9BYnm*+CYxU>21wQL!-=M zQ6n3*OpI-Z!I19FIVCQbHQS$WE_4T#FE!Gb$5`&X%jaut-MTeAVqxoG8MisdJ-~zS^qdDSt z)qGX2s%seK9cOzXg5Q0#e!VU~3T)&hr zX0x$AZ9zuUrckOu=EgW%lhu>dq;&^?%6a{a7u4_NV%mKw+%oxEY%A{8g3hl zt1>1x>~?nf^G(P!xAmwQ z(*0sbLh83?@un#HZkP^=M4jmqEgY)`MNyfbyl&+9a9if@$FB`NJjMBBlZs7BMu<-y zgYtd~YPN~gLwv{Z1wrSisRA!*1Lf3|@K}(zgH;{2Ty{%4s6pJr z26@P9^LuQ4wYJ9i23nsK{C)hu?Sc%`+N>kSCdGr{Kj_CVsXc`06bQ3{T7qdxI_g&O zh@L}uqus-$U7dv{({Jh~i3gX7v>idtGcfm=W~P|<^rd4T|LOGA`%gEltW0-M0g^0C z4ywu~!=UHIx-@02Q>25a7XpZVn286myiX2VWs^4@UA-D>GQ1d*%yKh>Wb|2-PSF7t zc`!;mnhnl{Au-{*f=n$kq*fUA#NCWl3z}&~OkX+{LmO*s0<08@v3U$CDuTUsR#53? zZZoQJ)Hu?WuSz`uf-J{4yF;>Q0*xe_^$?B{_&imX{UOw+Y(fDtf$L3r_FN{fYMG@ zg@Mx95YOa!lK9=S?A0Qk8m*FbM3K-Z8>5gkb4uHw@lZ_Bd8}2q-YIRTJ=&q?{kwg2 zNlx-I*W;jHE6~Q>ML6Lo4Y|a9$Ql)Ok5wk&FvDBmg2x>sqYHR7Q<-{p$23cXbThS-OOTtc zN(>NYSRBA~U=hG&gqK@1ner6aOLPKDxde_H=CCXo?Qkmiqed1Wos=6DsI7t6^9jI> z?T(_!QjxUhaoDh_=$O^~B)dS*O4pFrEE zo$SJ^ascPWW7~1t_gpud?iNEjsl!Bg;eM`4?=kL86xH-BRZ{Nv{jUZOieHdJ#*Lo# z(2?qU^X7j!tGrfFrmU3;bpi2sQ+&%-RCZX-May*CYa+Kbeur8rT0*avGd=e>aCCbw zYDDh=MwDJZUD|MTpwuYsJ@|tq^pHrOK5f`MH8fxCG2MOiN8%e!bawJ2i*9!LYxC;K zp5j_=N~ULf&o<1Plld~>HqtB?Z>Gf+3GtAZXZijwPCm1h$7+; z-(MY^Lkp$;+hr3?4}4|1Pda6;h(*41(b6L8Q8sL@80f$qZ-n((GJYg7&b z@%mO(e-^U5%|N`~~ z8zk=H<;S|RfV$;+u}F^sc@+0t&FXjm*Z1$q{7%YBO|^687SVLEeF*{d>wY01)TQY* zj@Jd7%n#_(dcBx)L9-lDo3spU6RtZH1V*(DU`Fa0$bN&O(gYvO(9%>UZ1-x_1Dco! z?)5bdM2gs-;zDZACPqMiM2vYDBbBRN+6#1NH$?9w&g@s~F=eoMKo?~fm%&DO!;waq z>ITw&W@|cYZAz$A)9fPT!!wdP%DY5gu$HKr)K(4(VH7>}&((C<|S#JZr&vfrtFoSj#tNNBiX9KYn^W%E?>$P+YQf6Ca zMRCLhY=y|JhMB0xE>lO(H1g<{plsy?uwT1&Gekm>u#^xOt+iCci{+xFf!c!^kh}71 zaxcxGIE@$WLOBp?S&nVt9d7ecDp%7w(>G4NBx5oT#AVA+hsBNxoH@^1NUvy-A?I^E zTUDa?siCYj@_#OGPiZ}98RJ@#r`eFO8)qdE=%;KAdMu#HyLo~c+wXcY6FUv8Yc|<4 z-;@|o8=}BVg~^OVjf9lV5>DLE?uazGyMe=Z8G;GgcGh#2Y&Pi`8lrR9Bn(sFkL^iXJvuuZV&T&MHprY3=-91(PIr14jz z=>}pv6RZ`L-P0b;>Pe%u3NU4ZEOoxvHR>|#LDZ*Oxg3$1RaQiUc+5!wb*B7OpzCU* z8o-2BfvL1O4vOZ(h14brj7$}VboStM%w+IVBDhh8G&f=~DNH%$L=AJVpfJfCg9jEO zDM)M{R@y{@P`D3FjJDG@PDaQH4*HaYegu0&4Z6u^O&cm%$2k#f^#h9XFwz9PZm7mjQ&y?Pan`g&1jp7;b!KF~GFG?J9ya|M$*nfJN>O`RoC+fl*zh@N)Q(Hw)-tloAChG~4eW;zb5lP)7u zM0TZ$>LbTcr&+3~Y4lJvwHLe7>PPxyOYj_P57TZoIY6b(rqOC*@2ang{jxzWHcB7((q4`HY~ci4&%47U+p`c4Auq-jEou+K)fVVRgx`PfaxrjgdZ zfe-9p|3UXecN?318e2BnW5}ZpCV-qkdv51?5TB^!w4ff!vKg|}+@yf@bth|X*TuW} zgYh-3&}b9%Y`YMBLy^y7-H173cCpEY_2t{{c-QgS?|*nzIGg$LT)*vZ_+#>JfW*P@ z(g-ug$x2YQg%zuZNP3#Q0nA2CpArp7hJ8&?q60vCC36(xaudpQ_-Hc`HtK-P0GtEh zkEjTY7txwtiDlA^F@ueno(+-;L}b$fb25Ua>lOPC?TyfXHc0?tuEHL>uII2aqT^z( zu|dT(f@ndUgRz6Z%-M=+vIdMaJ)sLso?+E>UI<_eLmz5#K|O%B$q5+s$QI%@5e*o^ zOr7-{%7Oar zekXR=wO|^OB{)BnD}YBdVtNpKkDOvPdnjaSA!w{lQW75E=CylN2FVtWRdRG1kO^-0 zz`t=1Gr&-c6iW~|_S(c?%7|q^Wx_53)*$>alV{PukeDtZn#1a`L0UG%8hB)In{}K) zfvAJgHm9%?2p^?tSkny=zHfC{m8$Q2@A6NM{W;`;H&boeW1}#A^)UkpU8aL!Y)(TF zBLLXhA&>o-1wCrbleIZ0o{$0B+imkOMb&@}5-b6$y}1a)i#+r$!)(w;g007FJramr zV2d+YxYP}*&R7H{1*5@c5%A&>1MoQtGhMQnK@|>?8o^A_)PWWw)_5=hvoDCLO@LL~ zrh8P4>hn})prsip%7PJ5fO;L>;||(_fZ&--=2GH6dUt>P;0^GRRI@qkiens&D%fMc zon@W|fm#C&$iUG>CS-~>>^RICQUg}MxQzyZE`U0!p$^zea?xa&%(0&9`9Aq3i4``% zDze$!D7AYig{s3|63~Ga&tvNY{Lzz6?NHiaKu1B)X_Jm1t6Kozk-(h?mDfE`{meZU zL~7!Qs(YxEaEuU#)etty34j*e90eYG&B{9rb_)++rxo=l^K>OcCk;e5EP`qySYtg@ zH#+r(EMhl;`>}fZX%Cr?wR>QB0KnBaIr68if4j2(^P2{PEtp6-L4aavYl!z?Rk9l) zR>zcJWUotzR#F{=A;H*{kXa~Oj%c~49bBN)S2A?fE~M8(w<$tG(As-2GbxC6569Sg zz+i)-PM5+kD$y;cB}*~T$w7b$?o*rAV6<=AhwsP#E2&!N|{rRmMzs?7^iX*?Kqd4^T1Yp$HaYUOs5r z_2vnO8r`qi;2P~-GX4X-2WTCkN?LXR0jBI?l~i#z$;D^s21AC+vNsl4S!5oV+Zwdqi`3lKf&3jr$6*rcE(mfyBJ0{F2GO!ZDimP7Udow0hD zNZ5drszF;2>=r=efyaY!!ziIx_iR=y$84Oz0gN0GY<=2DkYU_G=pjUXj*);sfg%V&s8Sx#S3t236#6qT^cX+bXABH8 zaa;iQ&0spe1RR(znnAlM6Wx;xGU0&3N*prSOEB?u!>)oI5%C0|Vu%683YB@$fM07f z@G%_*Fbpb@rZ&H@lygQGOytdG8;188VpZWuV{|L)VXQ&LMuJuk1A4X~^3#>J8>Wsj zSDQUY93AMhl|rs`>Y{^I!D7zv8R{%7ZZ;ftZBXpR8uOwfgTxCJI__u{YMXJi2~!}Z zvtbmp!33Ffq~j!1kcuvmvKthO5roUKW)Xu023)IWgR1fXk;o#)+J#i`m;`{6QqZ6C zFjL?lSR^QV+Yu`Q*cMc9qL-fx z;)nnpIx)an6whWYF4**1=mLvCHa25kosD1D*z%p9WIp#S{A8-7Dd19Z9+t6F5kJb1 zR*w~cJFCEoVE;H`FlQ~YR{B+{s`u`;;q>!${Drx0H)VkXyq&E230FWw-3;QvM&VjH# zb^ZLUdox@1!#Pv!ZRz;|e!4+^Lu}yK5B-xj>(!6y^>%-W+HW+oIoezI=A3OmVZ^fX z;(N_Wl&h!rxEsVVXUH4<0N)iy_vUABGxOr3y~?8R!mCTSFXX&^us3oGWk{<6vQ@?&!nN<$^==_k|J6*HA_Bk4B zLDh@o97=9iofOA#v-rjcy&|?KzCbDM7889ijqw-8)|@)Wc$AMb+7vbH^Y|R!nadz^{mt1qUTH((gk+)p+El5MCQ^X zS37{I9x9Y^0bhI(T_Ke<2-QwH`+m`i{LNfH>!d4An1EwVy$jOs%}3-{?^Cw>1`|Us z4>jf&<#+Dd+t+)T&dcg6>Vn?cOthW*A!w5h8CE>4SBgJv4>hb7n;bhB>cvU64t)mD z78&H}F!6Re_5D)mKD3CZyl=M`$y<`4+~}^H=j8(hin8NzbpWr5&#P3NqrcI8J*-n1 z8Ki8#`bD#+A34vJ9yDGrW1XrCeb>?M`H7rV>h#z9sfl_;?~1=n8{Db%&P+7pvL%^4 z0-2VJtSpk1S9hHq#O|%Rbj0@yZ7Vff2X(AIu1xWf63KOLrRNN)`Pn-|Uh`LIyrYY*x&3YW`l?{1Zwe~!#Z+UhQKvIn?C?AWrZa?-;(X}o(J9Q*f5;R#87tMh^XCa#&Th`j zp`U&I%O5?RIdt(_=gbeB#7~szI|f>0c=k37tPt1JQ?XlH%JI3ZL$RsE3aKy(I62m$ z;5D?J+o_ewB^}wZ*iN~`n@#*XYpb+qH}SWK_!9maZ7$qZUC~yTSg8FM?$_Ea&ElS# zUu(7S#La27xII^^^~1xV207}|4&fy&sK%*rKU*-^mo-Auh%q!EJ-^=ME^u8DQU!9fmrmv&Q9ENkfT#q@zH=pEgu! zbS}CA6o&q}ro_vxW>TTOxb**A?PP0fa07`u$p$DTFv+;qT1X;-)g>=*V>fWMa*lLR zQy~zi*z&}~;&eEgB2W*zy@ooH5L8XpAoI70B|Jo-^d`d821E~*Pmq|vWE5Ti1`gQ- zcseT*;T@8@fmK`^FgPRDLDYzwVnK!l&p^TTefBycE;T^@oZ80yQdEct0br6-T}7UD zLuMiD9D%@H>;x;~un5`AW-l;qwo(!@m; z%8`hfBZAKbXR3`wkaG8@2e2{L15&~gltdg|c=DauW-j1&j47%8X3fbH(U zgizGYkiu=(z@t%uU_04@i*Oxw57^9toZ*A5GT=NE+?Wu=*%Uh%YJ{$_#Jb#QT_VVBAOH{{j?G?v7^DLnMdrwx76*4u=t~~bT=0bl}G#QP|qx_ zLC4lwgDX%DDxC+@t%pylr9@leuQSM2HfVt=AYZz>s4b{>POAbZ0vSNqPF&Oi`<^Mh1E@cM z<;*juoFquG(JUHOtB|SN_25`P8i4ZsvZf+7QizRo6oWkwOca)9ghkmr?`9zRcuECp zP8Kpabpn>Z@(~I3C1^%c3!{ijUsP(n2-4MS+hH%5SOb((18|k|wDCO3iS9(De44EJ zTP8lZG<|GEz2U0Jwtxqf3{NM@dYVl0eT9494fXag5Dr7xXe)Y8e35s$;|QWnqe3A) z%uy+n(Yr+ozFwyJhftFBlIr_OvYB|2D5$EdM559lDx+dE8Gz-bCZ9BjWE|~Mg~e=> zlQ&}N3sqEXE2l{zsoZZyx4kdNjn3$oeokm?TX}_M(W$b&XKo($B+` z8s_nI9~2i8lOU)nbkBvN{j?Ij$z7ABR`%Jy{_Up&*OX0KCvBi_<>5$EA@_j-(gZ<9 zd)4F)EsxX`oJZLg)p&ljvGeABB83&@-qa|R{>hDJ+ROck0zXLVWGCw|O}6y6 zkL8gW-ra+`8P{tE z-_zSI9U+n6Ohxr+h-{B-MNgvB^I?6OHiC~DM>~}P-Ti`Agd!#2dPn1qn6S`6?+M4p zhp<;U?=}obmi&c`$g%81N#_$Pn&MhRP17MXPe;nO@xy3e(#TJ;W7C5$D3oqZS>+F) zv#-$;K7C6FhO91_IP#%;t}ohC90h~zy|5-*@6x!HTaPW@_rt4$HiM+eV__pvs@9w5 z6*=yRhUASZLtA0D!gENCj#Y_@b=ZX^U~r>SjQ{du3R!fW$yMCApD66^Q{0l?mQzI6 z+JpYQ-qryvQ-NX&#O=^3iIL???jjHfbLlb1K};-#_+H_r(os#H1b+mRv4L$+DN!F{ z(@3o}4koW5CL|Cl^&AAG3^-r-pllCmcnly5w5Vjb3{B>YhCT~zf+83+q80&a+^Lzc zu`7)MTQbb}jZWg;NuCDYENP408V1dtY*b#8UT?GKE1ovTA-u6MmgnDy&MOwhi5h`R zTo3HdO%P-NEG^=GUKrtfa2h_K2AQ}gq8EiG%$JpVD4emjc`kSV_BVRwj?rr>(hq#X zU8Sme2BIFQ(q{q?WbP&BU=h5-aH^vk??fw*Th*r@g2EG^pNmNf(E8`%*b!GLJ4L#B zfaRzjP3HF&sf%)wXUp*lDa|Dslvxg(7Dw z>~!}mvSjIv)4~BQ1W+smB4w)9;e&V)0y?!yzV7G}VqxQ3P_1Qj0-1RLdyGYyw(+ou zO&gS79>nT-B~XVABhWs~M;mR~YGQ(IRhsY~A>!faNZX^y9K0ACB@W!K8UR`*(fN{^3_X`*vBpU?;v9xl}zI!1KzgQToKCirdIQ z|Ao9y^_FP+JGse5W0h1M`1+pV{W-DWPVU9yIOkOS96r3TMq7&fvtv`fqr+!>r}Tf9 zS4p2THMCkfgbTuF*1X@KCFCvCHHi}tCRDtwL6C+V&@rU zg|Da>R4b#qa5??845{WmIx&Pp``5e$v7&CepwIK|XIfWA!?TGavpY!DEPaK9&m&-= z{#He|{w-rZyr<)t4 zt1Ck-c~kL%*2&Lm3hF1;BE{bGw{%ng*CIo{cYV&tV)O(#^x6}{zVEMAXViKm-ZOaFHRPhX4PchsPujeXi=TaQn6RrVDX2qq3XNm%Wl-&%WYBpZEfm+( zBV=3e(Bd|=4-As`vz52Gn7N}#EQ~Lihkg2uE`3Q%4#l_WRhULvOsaH;xLd}*L5d9S zGd3^2_Fbg6^w2~>9gX%Qx)r@Ba~5HyisBgsKAnJXJ<~%wd#GkCbVRjguEG4JvI_@~ z4yndqcWTA$5A8)+7bXLhq2M`%oKt>GBEu?*^dxFa`1>@u#{~87t+P5hZ!xAOXR7<$ zC&RB*BAusBZPPsJ?M!6rYG&PN>n>Q!Pndy5Zh0_|%9LHKZVSJuu2vTzbu}W`xAWyR zdzv&)H{eAc)s2Sher+1<%HP1s+ugT7(qdYmW%1xz`R+gc9IV1rv*wdxGwC@~Zq)G$ ziur+CjvA$C5`}tTRwQzJ-_}%%Z8h*R+Bt+98)hkv3S_5?RY|))Q1U5?o@~FMfw4KfsdN_^kEZBBb|$c z&6**T{gp|l%!{zsbx!y5dTp`UUNyklcx8v1HYgx;CF_huR)M`Co;6dB{d9*C(%pn3 z9ejL@AL6to;CUuT2X$jG0D*oZy2H%pjN6>SBy49RM*2~5N8V1Y5KrOjfM3QCx9B(2 zgkP<0GPZo>4F$_l3Ne-`)h6Gh*Qu+#u;{*}FwSuSfKVVixGmf`hZ42h)v89kkyrwc z^`_Nb}Yzs4zMi~ z0dgE7tVDvbpv>_x$Fv7VL07Q|u`2@cNXp4jRLSwL1=JNtd*sj5ik#LM>#pnIEQHvRTozs`JV_(r?<$ zdBnZ9Z9Xp=x+VH@=-iWoZ-vXv|7Z>Od^AfJ-0H{Ta6ngv6mS4uFrJKfmJYWK)y4MK zW#X|z4Y8qOz%l;R^dLX9nj8*0yDDayUv+C=SI_L7SDs!79yV*X9#FP66pG)*Ya$wQ zqSw&{*ID*cWf1KdO{liEikmH(uU>@RmPm8Y zR)zjzvQamF5;|-AJZ|4gw&jHyY}14INYPN&1N)4YPJO)Pp5&<5S<>{tUg2rSx0R)= zlak86e~bG57axwxZb@p7?4|gf>K%V%Bg6apBEt_ob}5hM11SvWMeQMei(02F{vh#H zeh#f7tyI4qg4}B}4f;n-X+>JMw%pe8=Wu4}-fO*bz-ioHNxodiC%crI_;GGnd@Ub^(9@nJI$0TNr$g#(W>qgzS8fi@D(~~k`{AhJ zB!~G64NK~0_x6YvtLds3Rv$vEF=@z;L+Bsl{O@&kZ5kGu#52{g9Civt&B0r7aM>&F z&`ZrPl$cw0RC;eQFGgc|>Yo(?3IEZa(8xY|&S)>|;~HiYMXd=i3YEU<58dv%P#Q|P za`IANqS%Dvi>^#_Yz5VYp6M}HpuQ98%GFyq{erySC$A11HV=|fW5`{fy%7FFe(1YU zx3#FQiD7L?Jd_t1+DG+m?kcwFCuX1t;tyRYtYvn^-geKm&!UszPk36@ne4{xyO1mA zJfW`zZ=C5_^zX~&KVV_0EH{=(XIRP%?sm|Im z!uQYS#TW5RCC-1kPyA3(I^*WAYJrCLMm$-Lp_7zm^C9y_O;BLy zeZ7t@PSeXBM+%4P|KH9*B{g}5JCi3cY+6#5*W9iTr8cAj{I{-;&3iw$b!gwa=uBP| zdSsZ+n@RHE@3RAc7-=2e_qclb=H@-8FNMA6ETPf8NV^!3QXSR0tFyOuPI>x>*X!<< zKb#4?{9>Y^H*MmR$A+l?rE;9nLE#ytt&V@WWnwswmD6L{@z8 zJLkpcOCsPX5K;C&NnUad)>rajQ66cIR^UpG4(oW{bp?vcV^5LjN+i^(?Qg^*yNdb% zzl7-y1*lLtxwE|`CbovuwmX$(tnLz_+>j@sDc`cI1!_ovpP?Ub+IP}=hGUZXYW&sX&A z*dq^|=pAn&hz;zWfLe5?91HES;$yX?rljAL@@*HRAjbA7GDf)`((OGZ0$y9<13-(C ze3&**gpjg9?+V6yfXc8K;3|K_MPp`IbZUWSm(Dk1jUL5#Gk8lTfCTP4mHIJ-_1{h= zX@jVZK|$^H02G`cC-Fh=1TpJybVx_U6Z=7QxCoFAQ6HtZ6L~Yg7^BmkM7eQT@jDiP zP!B3GWw_Y@8iKmJa2(J*ORyo3J!F7ihBtv}lutOczi_8o9mzosV z+%~)6(kH@SzP06J!@)BN}oGdl}P(%OYMp7V+y`EndG4Ep{j6lL zr{EXe;X`PKzx99@hNrR(wg0I8g||p0-9-v0dMgY4;oxYpYC91}0;aM#`k!Uvav^8;f-%(iQp)5J?O;CKvpQOr#6Cr-lp!X}oou}SKmpgtbhLp>B zgUYW&J+L#+%b(EBPtfx*I^|xfUIq1+jo!aC@BQrPnY{UM^eyxvoqv=1hW3N*I{~^6 z%!JD~f3rOi9p3Z$b=gg0%L(1Q?McBx0qU7bh7X0?~UoExeI zH$6HO3YkmKEP-wDYzTVK^oP~=Z(cd-MF}T5TzjD*`?-DM#V^7_^}3Sa-C_K`tjs+V zHSUCZ^_?$P5%C;t%cpl1vEjn&ZpER!u^!DW)r|*A>Y&^FpG8B{i%%yi)gj*zwRv_B z6$9tXEv0>dT{PRAJ3CXgOH7p4edtbn*-xW=XrwL#{UvY#xr+Le!kP;voa=q$E&)U0 zfo9ZFv^YbLQlE6JC~mO&)y%@qmAYB30w_)wYx80*h#6jhk2g7Kjfs8bDY`L?&&)->~-IA;x>il)y2P~KosN9I2 zA~VoOsr9KEU9NsW9qx>rKWf0zH9bQQx4l7{-)yK`0xM!J)kU>)&zoMOTY?@UAI@k8 z!1lSq{rvK_%uoLJwH^`+m(0mq%$dr&%?~>ftmFmhr;H&-LL24yw1g&p8Y4RWJhuIMVM7&F7G3ya|pV} zKakTMr-5bwiHfFiV%@+C*IiZyc=ronUj&R|y|$!JF1&F@hS`+nQrs`AJ)wIZM9r?Z zP(%E@+yyhR9OIe7uz8Y>_M7yJ^hx)QzI^U`P)#io~9@J z)RcL5d-y$=lRUZXPMLShW1eq|G5Z|M7dlMcNR(C}TSqz_)% z^5fH2J0snctWFvG9b0Y>#&fyoF}o1(ZRM1DSV7Wv@~w0m3T`ou=}UEVTDana0-!um z9jl|NQS+#Lp{^#!l?f1LLda-9T(n1g#~%E;S#iP+;LORH!x5QykJc)(RXvrEkHHI(g+jeXAEtXY^ydDhJ2p za*U9@#F*q1U@janwib~_nkMRzUfB{#j_gtdHvyl!Un7OE7ah{B2e65^Hr2wmM~5YG zichj3FSv{r;hvzKK&fZ+db~UCY-ctC;~RQ(@kJ39PEA!CEWz}yEhKo8=2@QoU&`Y3 zZ8uz%Rmyv}Ia06x>x=dGQitK-=kKo{TYrEZHvaktBqBqDKp!-ks`_7VfD^1Yb^YDc z%k_6shyU}%`UmLx<6rhx-CVvY@AGStm>LabDM-}FoDya>D5A=n2GYgR2oZo(fR{pX zE~p25f>jP~P=Hy5c6y3hDKF^hW35COg}@C3DgnZXE)l$COFs$T3SX2Gr-n>0XmDNz z&IE_AG19`Hk$Yz8mC>UOSLX6@!A{>tu7^9$2R;^CrY7M5KBI3Jho>fH(c0U6Pp{m5 zi}w)zXn%LdM+Yw53@j$+Z@z+tr;7dsEqCm7t-Sq{iK-$DICUI<}QeEfxfYXOYDv)QPa_^pC3bWC|y z$d@~2fn=rQ_0?xfM^~SzjNCRi{EYJF`}E_{m%x6F#x74)-R!;eQtCmj@@73()$x^N zOML1|*6{4ahgq|GS8JoTOW{&;t?Z8I@Z*o2DTpeUdyn^u zm*HX>V%zus5p$PlY2?z8z*GE0d^j=!rf-SsA>Zu5)yQ$(`)t8|`n-7QNGZDXlKwrt zd7y=#xDq)o-VEF?a_&^e>eS1vPu=G{uUx9Q?^KH~@ozkEi-;+17ZT;kvFzBfFsVps!A4Leq)>;@ znv~uE3P|DhYYXea41pZ8y=?{0bTfxRA#8@&MeeJfNa4$Ma~u$!aJ$e2csJUM z)`$KF>`f*@17)6$U`PEN*AYC|I+qyz6$Tq%j7%xNfc^V9-ysh6KMDJH;hC+l|IVrB zMh1q+JpX5grq?5Tf+*XY0vw7IwEJ+S%~z_=R-Oo3YX6XI6p zT#46%YxLe{*Q3k9dw@OY_uB$fXnd+8(6$@gFhTnDys?3}3$DwvrO)-;da$m>hhQg| zf8tD;_=|A}r`UJnpZGvsQ5;h!`Qlcb-8oo6$KjstH8Qx+qddXw3QUE@q0)GdbSYWB zasUSplQFUkeTxI5j{FR-PL^>!($vv(MNM#=T;xhe>yf+$HSZ1-dahFFO%11khXyi_ zxKg7r_|vg^SeM3U4v#=sX<(5caq&8hyc?>o{vpNOXWik9_ zn}yZ63jjM&DR379X8{LC!`cjiOd#ko`7MwvVq&wKmeXdx0UlgfKYbz;x&_B8__-O#d zUTw{^;)iR8BZcgF05gJ=#mwJ6`p%=a3+JvK0$-wD))ltaknOM^cq`~dSZdXx7yyW9 z`Gb-HnN<@W+JgN{bj|t@x)F-Ai8NeF7^`LnCZqm&QR3BM(F4e*1`FeD9Trv5x`v3N z1R2jzo6S%uvjLii=9j>+Pc0&ZA^>)vETT=)pmdnet7wq+Y+C|ZAq;_jQQ&}4BK3+Y zQ$sB?c)y0*7};-?Vp#7s*I-Q9`LrC(R^XLP4iZvq)G%1N6h<(pVu-bWtHzh8KP-hp1Z+Oh)fTFVZ{|F>O}g#XVj*bH6w_XfA~_m-U{|J7g~ z|KH7O%N>8?i%#~7_U~QWY>Gh`QM47|o~VNPab!Jaw8}N)#^SZAu%| z?h_8EId#$G!$LS;=;Y+ehCrnkPm|-_au-xyG2sT3*uXbHI_=k`bVFGCg@#ilj2VsE zM*BxM$`z7bJaC`9)QAM+x?%P}qh!28x{X_aE01qg6epRe(`81PucGNSM+Z1GO8361 zHj75zsx`cOIGINuhDZk843AX%M55kllZ9y+hs9O$DbY)lpaw;N9bs;T{dQrlZN=tD zrSjmZrB!448>7^IHHV*c)R_`GW80xKZE`0uH&*SN5LX_IaoPcO5xJ=GvbKR2qk=Il zowKL?QcWx4#jUkn?XqZ|Wcm#MgpRsV?~dpJf(zq6TG;)cpWS)vS_du>q!Bl^{&HC3 zmL|s0@=kZFQ)~Rf784$_@kD8* z#Xc=29k-VgmaF1w@tFR7zQuu>d~N{H@^2OE`E;`ib*Uz`1CX+yw~F;HPCd@8_Ng~E zAevv_PboM(W)w0S|3sZO$~sZU^W%nWR6=%F9V5U`EX7&~pfA0&nzS1?344mPu_(Yy zE3>7gBTz*{Yj(6YSNiYvK$yq)Hi8_p{JbT~aCeYia+DivP@dI)vqxr0s@$NL^16cxZwm}GRiax;_by+cb#M zwPxu~Y;y@cq!MC{0g{@MZ^TTOq(m(W+vqs(<-5nK3Uw85B!nmqHZ5=A9#G+^;KuLQn7LYve< z(XO%5a*5DZ2M7oey3tezTwwBnsGV=Z}Y-b_b=94lxc{bnLya%KA=Z;sK2(o9pm) z#V_Wd<-_76L-K~DME8B-pmY=IoIyHC)VgRpnG!NX+PCxhd?7B1Me=y!5`_O1+7CyB;mwDYw=*rjuN+pl53?-!6Zio0q4l3?@iR}>cMha8}Vr4 z5*^Ri67~Aqw5P$OvuT!Cczx3^zcGL1Hvby8r9-A(>GaJ!F-chR2La>h|*KDf=+P?0??Z%aVFlcC)o%pk(t3FY~7%#K3%2s zC2J_7Mcj|jUWr{|z*;kUk3Ndo#fFI$Bl}D|Q7x(hPa}o3J)n-P6cUizsJpVYC0Wj_ z++-EVDguBf$>dKGyWd23NzMn0YpGJFf%G@CSrgC70Ta<;VG&ON4NI9+Do4|l+{ZWn z_1iaH?)isnx0ss*##Iz!(i?Z=EB83Xl!Loj-i`c4;k-K{&-FA&@3@CY#bc>*$xxI6 zQhwemhTUP{M-10%1-PE>bNAEW=02cId>hpQyiWUg{m)^7wdiZ?eOiBWEHCLiqC~ur z_HDAZQ`%c*SG|XoS-aT|R`t_kMUwA<6%BrEcJRD*0D*UjPgI;YQZI!j%J2rQm@91- zx{nI44utsA8*ntz-bUIz{|fPiwAZQC4FZwTjJUm6&cid3P(BGb?+ZuI@+zJ6aU7zEGz(+fU@N6$MV751tN$=XD zn9e+9$A~H2i)*Tr`TFg)=7Nz8cWdtOs4TvSPQRR^ z6Q9p3pLl?i7sXTM@te@w(aDG%p=cLBijcbjjdzNnlMGM1ZNrVD1;h{eJNZPlKS+8#K6HfI%eUXFXo zSwsJMgGi0666Hia!v;g-0h&5&B-c%jfNrjrUR=SoPNHx#yunxmx_w=7#9pu~T%qkMFH2t||hSi|Aa z)>V8)j3F9L>t~F?G{biAQiOk&N!ylhJ!4qc+V73*ByqQv?q?e4NCCMG+{oN`EPn4g zEr(v5!V9%R|6d<#^yPlH{#theWs_)Pzi;Q_b&NL7C^wAy!8d-)-fy?cMmkCmEdPKw zRjn-sqy95k3@@*eEk=x%DXIgHtX0&5V4lFErY{c}oy>%45hbWoNfjv+KcP~Sw5`Q& zb;nnT-vuG8LSaglw}7sCj5diIXc+(ikdsLFOoaOpuwgBo2z12@fD#6=ns%Z@(_Te> zF3#fRt)hj6%o%oyEai>(X3e~cUX*9>1~bX4Cbyz2Hd+)vq`2ImsIn3sE!G=EKmb1M zFps);1d$)R(KyQ4P)IJCTne5uDL(LrM{j6nul0aguWj{ln>5H*(c+Mp4mMCswus>e zFqH-AF~AH$O|m#jrNNseJRr$65!+q@8K8{RzEYPIonEX@dOMdNhkN1_TkI@vs*Y(n zVDM->qAn7}48DZnU#$fw9Se7gr(2e*HHas+_tRVLwIC zA}7XBDwczyU=}3$B`X$*N+p3YC9Yx#nR{sv4b0JotXe(jrx6`d#n1&^9%dzrCOGY+ zYcVjcpT|1W+!Z4F@@}&%s=3eqdaORTs}gb?sS)?%lcd} zST2cMm_^D+kt{KzpkqE`bBykFh*UL-)x58_8lTcMBy9#Tp-guv0q zPE?m6$&0d?I@R2diPg2rOz(CC5SO;SS>W_S8TK=rB|(>vwzfLnm4pb8S@rfuuRdN0l|=J zH8azUU*tF)I2;sV_-jfTSz`$NYTjQ59OAY(cn{(bFrg_R*ZTnl-|`G!dIz5}mf_l}lS zEx(xH1fV2XYhu$4B&to8Z@<%Cr+g^Jjerx4845$T@#>t`Aw`TlP6MV?-j+~`(u;Ym zW2H9H#^@`bMU|sH=)=8r?`adDqPP3>s`XetA=D?iexnXde4@74L<5rY6v?b?Fp9AD zW7et!Z?(e%iK@DhW(0vvhH3K1os>3N(X^#wb8e{bT5nO70Rgz2sLmR~r}<6ItO=$< z17wWGD)pt3L%bch19e-6SepWiJD?EVKBB8tk*8g4f=%~o=b7qmyG}=rbE!tK$Ju8a zjvtOs=Iil+DDXF`OF;&gfDgiaQ&kD7R$HNyR6{~bMj0R|>0K&@c_yiXduC)E-hsLq ztKYI)c4|qHmQ-Gka0>Rfl`@@*ZWpX|puA7ms@0&s@@Y`x(c%un!l=>`+NvZ(CkSfi zL=Lk=(rZGi3GMgU5=KA6baG2euwYpwT3x!}Arp2@31Erq=9cs32&4fNbx-<%aqP=m zzOnPBD{maT)+JW(+$>^%5JNR1#F{Q5F@Q1-Z5~&%8>ZDgpPS zpwK?^gyfc*HMLUi5lsnV13nUmXp%6bKCN)c)Z(l0i_+iDKjk&vj57(M)%iR*t$Uk8hZ-z8Ziyu=7KXqySufO(CZs8BFehcnQTAp<#wGz#%RjU%rAObapT4hG% zAkh}c!Vb&e$7%t0n~$ZWB@Bmhgf>61RQq3waV=o5QcF5R#!dWECSJmi5WQZM<3YbJ zg;#;oMy7^sVX;mWba)z}S1NR}x0^Thhysx)j-yiS4FqH4U(7)9bdxhE(jnFCUvK|$ z2_q1VPqBjKCLrnQ!d*b2B>NBB@$xQTmgnfK2*f0UzQ`>}%d4n}znV4sbxXcncR3bKFyHgf2Vzbupg;o6N`0D|P#%?gCZFc`r8>{dp%YQ!(B zgtwRhH7Ex{U4UvdT5V=srNHSxjqD}h{X{U^IFrB14TL01ps+1%hN|!u#?CI1^frE2ck(3x@h3kp#=&IM-+kpAE$4X5^Th; zGp1v}wjwX`5;Ke1(PCl}3^p6!q$wbnxx=oS)x|hF1r`(S((6C$e&BMz4;Ky1vWZ(t z&uB@To@3xjzqLl=sIV7)7b0h1rl=NHgPyYXD56@8Ci7|m7|NG$wR zjRflrWA;a~Bs`8qywx@Y{wi*(?jK_)@a}_?#WpLBV{1M(536S7ZgDXK14`rUBI2iL zNpxFOYAIwbQ3gLk*Q69H!cJE!3%l7HWy10TIQ22L2(B3k<_DlBIKiyRY=SlWVSMBv zSW7e{*iAOeCJU{?7)c;stbPIfQ()Op0{@HxF6W3!fjR-oHZ?7@un>(E&1%=;*yU8g zGdErOAAaguBMJj5S?>=$PkbT?FU_6R&@xKLpNclkPYh`EK7(s1K|)X zFq#I6B9-N&8Z)RKi>7d#2V{rMq!F8m;j_z?9J1xm6tfiEwo9?q20&X~m_cN*LBUVz za*6oGt?zcC+`E2BU2B6f9iI?cZU{U_iU7#UqPKptR9+%PbRkcmZj}9&8XgkZ4|7}& zOvz3lwAMsxjkt_qEi82dM6CVPYP=Y@0|krSt5{?oizYg;KpAlmu1i5~hQhWUn8@mK zmj$>8CHY3Xzk`t$>v)kcD6(;u@$qqIgNZeq<*`%5zUd^sT6epS0w(Q6bIyD{0 zk1D?Fyz+1CSI>R#+8qIi+6Hle?1|Y$3Iky3j*w_nvOGnJ5e;0zStZBXNO;N6{1#eP z#lytx0w4pN-3@ccKdMTKK#4ME_ERvV@OS`#8>Xcu06gUz#HJZl;`1`Ga1WT+I6U!c zwc%GFuVNp}NI9i!f(NFEI?M-oHoFv3c&RWXFaU#w3&0dg_$?YsS>>Vt28~}oUj@vB zez<>ZS9B9_Mcu=&q(kRL;I*?w_&mdr`-6&e?=7qSPwHx0R(EH={ZuO-t5ynieTCq= z=RUpqHsirxmng(oKASPZcjvXeLp!@}ep8_j_66Q2Q}}H4;o4RQ9_bPmq}{7Zh&Xp@ zXw#TT2p&0s&h)*!k{<1jFXrgm8#+spyVo}q4G~CHleG>-8$heX6{Har3dV^?J8S6A zMb|nx&!<1AXVO1V)ZCee;%ZxrgP3mBIpd7f7U0s3BNLrPI~6=9&l)qM(r@t!Ma;9K zl>4|i(oo=og8?$DV+-&@%rYvP^s*;pK6p#@+SJ9>Jw^xhfCVs zkIVn!b}xiq#uJ?@<24O6huhBRo)OgM3M^=fawxy2y>`62wbo9w89sT(r(~tb{1ZN- zWWuF_{|GwU|0F>F>fSpVu{Vl6B|f>v0FkNDx1B6WZkIT%U2hXTsmz{rau^*MAqjV~ zYJ)60kmKD>jjv{2yY+#ue*eR^(7-9mQ%`X47_&o$M_?(lb_|98@OuamC{${23q-3|ICQr z5eJUrndp1Uhyyr4W~4aX$Gs-(UeiA^SgsYg21;=C#;BV)qgRRTWlS;DFPul^WlbgR z#ym~9SQVF@ z-O2)8VgJ_1&3T_Ul;>|mWexR;yK6-)?ToZ}#BXScqKGoW7orh*wyRC^^xU>mx8p?W zc(v57?`PHwmC7D{!l^&gH>hSJefC|+qRs*`hG&CQdbp@YSaEmVy}>f>X);AmJm0M! ztd;A-w!B^Z(4XG+&z_FsXr2vy zB8Vrx(bCxClp{>RNUidTG*~Z_25zK*jFZ$hdz${0TrW5ByYZu|3Z!5_ypZ&5YaQ($ zDhU5K`LvLC<}!HXj-GlL7ob>cqI3HD_@VD=o`Q$p=8t9WgY25YM{5J0(qr`bN#SQw z4Lxs^lehVX)<;r9k5-c=9C+_Q_yaO{;ApbG>Jj5*e)PDc&C`!?<#J(Ak@mi>JXKWk zTYYYD-n+Z2F<3Oxq0bRWa%>?D`o)2=N>9rfx`RI-nX5_JU&X<)l|`a3<`i!&32(oB z=RD(==iSAz(dIj`WZa_9x$o$&Tv>gfM9DDXN?w>1#?Wz}qhV^OmH#%D22l7TZJPgA zW=CXugT15rXb&KSTmDh0KuoFteREoqUA^RR%Z#u9^XfEOgG%o!ovjeP8D=-yeInYm zxc?J;+!+|@yQkOwnqGlOcWymD(!GTWx%m0ePw4gedjciOzrw`kFY~=^ZhXf0?P&hw zUg6_y7r);$`AY6@VM)B&COjn{I4<(F;uOC2I#lL56cpOt5nRC|!gy*5uRkIjSdSm- zZuvQGafhC%4;N#%@(l3L;BvWJK8$zrb4vW$$6mQ!s9@l;@9Jx~6Lx2CInygZ($5TJ zehQCylyf-ISqor*p>yJ4oP7o46jSc0pK8Kds(@?4!onyj=bB_;mv$09*9u$EAz-h@ z^1)=PutnGwNKV<02y^6MgX@TIj(ozb&*+UxV?w?gHh#iPG}pra3&Bt=IoKF?k*&(> z)7k&ON*9yb_#0e$1H5Etr@TNK#U?vfd+oJoCUOZjY_u2B+WUF4WDs759wvip^7DCN zaE(2GL>MeUKofKQWn?2=;3ymo=x4ZXg|FgHc*!66@hWcHy(5E#LHpePA&>ncR}i?D z&wl)P|A%7jl@ZG#mZ~#7?Uxxf)o(MKMVI8Wbq2L4KrOEZ!NfJ#gy$pE?M3XfbL^`ZY|d8)st%n`=zx* zod7v_1=TZxj`4aZ-wMI{No90yCOVr!*d-H^%Y_ z`FF!8uJuVt

    Rbv>d6+bTz2Pk4^j5b^AiyKD*YTO-MFNdHahe^%aNy;acB;o@@L( z_B&K``Zn)GCpli}g?iyYNMXe5M&*NRIlumq-Mh@k2b?A^8O^ivCsFNfse;ztFdqYd z&?tU5eO^k+ji(u=4Dj#XTuBxaQDyKuKzX}Q!Jgq|!oGC}qCUO|M^My#szmzIqdSMj$V+cAV}b3dx~j#KWCtDNzHcO* zT}<_>QZ--7R3Hppxn)(@QOjX4R;aj=3pr zi7<`sIhI?4>BmnlWBgD8R{xNM-(78O?4rK+Vo%*!z4dcffNVjk7r5W78P58q_9KJla`t;LJ#;^4(IIpa| zk-nK8<#*N9ji}B$Q6;Maq^I{8)AH}cc+(tW+P=j>rJjVp$Vi+bmQW1HXj+X%t|zQr zV%QZi`~jJ42pFGM?ul|I7*E?WgP|Vj!fmYu`j5#N01y+W1-(f~3ExMt@8A9@ z&z+BO3%mNH8l9;=K!h34lp>Dxx0d4|X!@-xS|*e_i#%hgQ0ZE(w6FwL3x2{WN$CQ6 z;J)T^=}kQm?kNCH0_)MAWg^Q8)T^4SLLhGE~KiM8K}MV z*8Owe%$@%E^?tTsrCUswC?AA|jFst!{APdm5^Tt6kNklmEpba)4g*Hh^0+~yi4cw@ zjwAm(ql&^&<-7t%8RroJ8WFM+28A6$i}!o zuq1tnIq|35e}3b7k1aJU0j0T*GinHAtVTToXYP^DD{o20Mi*)E25PVj;Dl=9viGERXW3nxvlcZiqz&?PkmV@pQ8xpfr$EP?pUD#+8=q~yhz4rJOQ^6e@I~<7#NERiUhP72-Z|HKTG)86LA;5()9}3@G9RcmggP9 zG*Gb+Ug!|!nF^1XE-(Eisi+VBf;22m=E4xdIDGposWr= z3*2MdraqS+4gJm-Ky#l=W$NB}r(3-8aWVdBeUliNzO{qQaWgM}jw^8V);jv5J!A2a z?Oc1?JpI$U;`nRL-C~BTUkiwai=Kk#|40|Ctw;C29GRzgrw0;0__FOce|_&iu1y8A zfayH?)LpHyY1B^U#Xjy|Ms=*t7^Bj&DY5#|btj!q=}JdXrceCC<<2NKFG3;5F@s*mDQ*bgwz`w2%9T*m{wFb6I5j+2 z=K!Jlb`|Gt@D;mXJ+WOmDNTl&Y7M5^BUc}C)@DU;*dcG!8Au~Qc{gEGEoSD!#Ye34os4M-%>Nwjqk3!L;{aj93r zS|2tlFq%apy~2pPGbLse_VRjlXDDS?D?4#IpC*HkId$=~NxoQOTf81_Uh9$QYNN=Y z4`b%Az6kTQGzlGlS}FwSw0&ydaHIzF0LhC*J0hnb=t3r?njWUQjH$ukNo@?) zPVD!md7HR`Q>Q>7?8qvGH-nsjNF0bg!`!eTcYIb>cJtDO)?q3Iu4mwz|AXTOn4K z)vD>rZY9($betTjM+Lq&wU_)pb%$~#{LbJ0^Q&|I>oc9@ILM1^(3;&M16V8_{DelB zw>KoEwx@w$Nr%JQY*V47lBqN68>c66Io>p^&T%GH2PK@ZRroeri^@p|@=pL0$<8Q? zf{?;D3Vha3?BXyue!-L=elR7h_Vo@}f#EZdzEqKYkd1G`Ds9Fn4+|W`3DAw=sLjVK zv5<^Sp0eN$Qdx_NEFF#NN?(gKv6{ek<4eGzjO z*}-LMCc0k)r>%k|5bhLZ-mQsyR|z+2T~e}6lq{?mRJd}c(?u^Qi**TdZJJ8}9}!lw zb`-=1muevBE9(qeV>$u}R_MTIo7-rJHn!+JNN*4Dl#gTfjk44zht=j{g;qRtPO?%& zm|h*!)geOfOF5vY=nH|iJWl5Kt3!qaa1V5ZK$IPx+Xm1m@OZr0U>60JA-NNSq~j=_ znPhBC^S&K0R2WM8EE0iZ)DiE)k_Y%!7q1sMC<*9COJtOY1$80OZ5L#!t!e7fh1=CH zFRRzOCPyA+8p%rE??Uaz+`v~ySJKH*2lNdbRswqUFN zre5yzq6`vF6rFT-zU`cl^y6QbPolH5nCaQ_{BH-&r+0E!n9lqwr}|t3h^m6%FbW66 zl^NwRX8k3FFN?7so){^^WG#OCmh#~4)#XxFg&q4idfjpB;RX<}!ldtxB$zj3&X+`ZORF&W{fk?zujT!-zBj zZ`KFs>+j6$1`O~-|81Jv$cbgnIms0ms)gon&2&kZD*Y_3v3G1rxm7r= zJEb=7OXS2l?qd|kAdxcfe0#VFap%*3HGfNKS$oP5?vP?NGrnA0D-M25DJtHn8)s9w zF`T+boVCY$fuX8>GbqTJhN9FHscf6yxuZ zitfr!xhp>_z;waKPrs)eCWlWB)!>dlUoU7>gg{NWG}0hlx_3f7avDU|8GS72D@BjCY)S^*^klwrx7M_>UvG~l>hfld zys^i(7k4{jEd>D>sBUGtoJ`-EF~C&x&|@1$A0{*8=0Yh_mB*ykN{Xl952AJ{d9Zry zqnXp0=hNze*Yg3GwU5{)N5uOzFUaYJwsl>T0`MInJq45st!k#fV7STI z45NhCzSXO(eXFlERzuQv1ldczW4C^-p_I8_&_}&71GsAhd$bw75lr-Q+u)21W2wx2 z!U+;~lTXLm3c;8>4DQL<+jbaq#!xe{5*lh_!6==qoa3(iqeE&f*f87_9)5$YD-~-} zBQxc`MDe*7qQwXH@X3Og4oVBVw0beCV+a$271b{dy1V9F9c^t6>HCN*NKvFCeU^D? zz#}F)@#l{f@#i0#Yi+x;Hm~R}`7!AaD81JH>t@n?mlO?sy#O977_3|IoF1-tC|0v@ zZv%GhIIS-@|3FF|^-QT_yK^*aoSv<&BEU;@8tsVA#Kvm9W3_kPnz|z|Rar0rJegC` z>4JD)&39nDs<~p)Xy7e*RRuKvZ%l_W2b3?V=j38Vc|`_T<@yC8Ho3UjIMBwpnRErC&Bo`FXXUBpX;AV% zK(PB8K)F&LEFh&q(lgkO7dT-fM|y`F_QL|#=UPn;0y{7~JN@xayx=O2XMu=#C$O;u zf@5%kUAS!zo{i6l;F+8Qz}tL078ny?FYw0v6E`00`UL8dCSOdteC6~DZ@@02(AreM0ev#uExyC>pGfx9Q5A^wJQbsp%@O2DSL-VAce$G+gX~Mym4{-p2 zWXKo6fuBl$`{qqw2CfS^pska~$xw`21P0_3YSU|pxj)cAek)nmhwgzIFO8g>Cqi~N z5(POyh!BERk3o%KFDniJW;DbzN**!JhFYA!kRuUt7$|wcCpo71L__WPyTObSHhM-$ z=&~Q^_f9h90oxP}CiBz403Ir#&2k@5!OrbBr2WGU1XQCTshrt2OMr+RZ)+sL6r_V3 zVJJsNvCR@oK#KUmz*!7rttp#0RN=wm4KT~g0snbbM|e7g>g*EO&g(UEy(1u9)ex4u z@C}SuEY=b*pObT4#sn^rn!$Q5!nrhw0KrW+<2sLl>6SYmY+*)SG{oqp^ubc(_hrpz zA&2JMAfGB-Au4c(Ib`$|M}a6e5wR*S`IpeZ+H<-0OTNoP(MJl{m@AD)HP?w#yP_dZJB_24P-qIab8&2^;(H>Y5o17vr)+_g%a zZ4UOjwxQ3~mY}f{nFfAfU240VG(;NzC{(D<;g2*Bmw@3Tp+@W&>d}a z-1cw7*J@F+uohJt0;=$gzM;3)R~PH$(SIZr@x$qdi?T~zyV2A>oG!FW7g3YF?jqVi z);QK{W68PBa7j(LVtxEXcFof-t=lR-ej-DP<~s15jY_cWK8JpDdX2QUv)jAlgr0H! z86B_9#7db*oCUGc!aHLw>C>sof_{&3_twaC`M>J)XU0}~Y|1@$VkTPD9^oI{&Jn5R z{n6ZAlP?XRiBI}7(Smi~!3F&ftPBsXLv~v~smm2x(*u7hw^3KM*(U7zStrXcX=5YapsR zqo18Bab_CWr||Y-Qubh!yL>aL;D^SH$Maz1I!@+gjFLQ95+BlG)KZ0SHGj(GPJ_= z>(Fl0TJiXa4!o`j;X9j@X8zoIK0dg6WkcuCZs%4ZQ~l>^?J8shQ#6PVbtPrDv6(}_m9eY2~=FTfx$TPSYmoD5E7#Y}QXfR~ef9TH++v<;Z zb=Wm?pE!wDcm(0F8<(RD&W@19(-7ON-c>oUSvyp|G zZ;@_c)|)Ee0Vmo4raVcdPw6K&7oAqz)sh6J#?R$MA9`vS^!4~o`<1y)?h3dNVaapi zj5i?eI4Q2XJ2j?_={Lss3fR0#)PuWMh1c96eiJ>$q;l7#BE@N8w_L`l^ND`EGH-`* zm=R%^n2d2SJ6bON!@=|15;h&xJapYnW)lWS9Y!AA)& zpyyG=x}VYW4R_-e!CGlT+yJ`Yg46?Mv{B|M72VD^^p3X65g4vL$+o=Kq65SA9Y#H~ zGVOb@Io%+FhOY7<)ps82W7pu3HTogB=qL2Unc+nNoI;nZB-tB!H9+H>09^oNs}H3v@3<^eWBw-r0uR6g`acZh}CKFy@TSV zR}YF~6CcGoq>rLucUs;R$+!=lK7YgC%kSo3vqKwE@Y+PT_^_I_Hicz8z&?$gMYwV! zQQKY?M*Lz*uXQM#Y;Ezn0BEio?BH)Y=LqYHO9(flin&}Up?mla;N#$f0(NuUltGfJulKA}!1>2wAv+I_ z-KD~^x{Y9#XB58)9J4ieh%S*9uUsZIXlO@rXuU&YLYxa~wcFohcZy(yhB(zKu2xQv zj-a`ufdezt6x*za4x+(=2v%$zvYdPBXy%>oefhQPQLO7?g@OdZtIfh@U$Oy4hzw<$ zarHly&B?od2&CfuKkfgw?e7O=PjvmOCidzZNc-YwKkNq|1pH9p+dsba@V<+VP1#S- zMm3psA-iC23+QR179iR^_VWrxi-$EK^faGN^w=W>(H=Y1+@pu!SiyzB?Z%No*&!jR zxm&Yz>E=Q(&MD4Vj7;5tFEz1dG!SP_Dgf)=Ng$V6q0Nj!HuDG)&2zj%>>$KW^&K4G zaFm>c*`DD0As&#@KsPwdNjbpF%OD4WOnIiEYRyQLR^%OY4wpjqQhg(qB{{g?uu~n7 zt<#+F4@!+)Zd{c>oNDP-CfPyUMpFrQ&u>Z31DAxoeIK~(W@{K&^te zoCBto^69(oCR577ZH@cEqx@0)vk-8B%%|^E?l0SR&IJrOn_ry7=cJ71o#a3&cCzV~ zu36`ofp^8p`S`CK_jB8Tz@`>o*t#=#Ve3DW-zi_!HFSLu`aOp~+wv3OmQgPDRdDqe z%N|p9T&M$n$oLC;`VI`F#!ud#a4j$c`(FcQn%lr-o+ZKQs|Yk-P|hVUD1WN|qIqC* z{DaUJ!NRQ&zxX{4JbChBS%n8^KrikuNBx1{#RuX?KpV~jrT0?s#jRTwfSf%rAAdJE zbSeJ7=%I_RmAr6qe`9=rIr72TOnuX9k<@>ew*0`eaHKraxZ}k~0zVr-Q=bPal+CXk zViNPwUUdI!0Vs$@4hcYq17tbpZoBl%>%rc&j{+a%@5htCkW#iSqulS^Hjf7;@zigD zzGhn}7MGDkN$GY{Ls2B3o{ja$o9rPC7~;L zU^DXp$~gYr({#&gQ?bvs-}Q}!+()$Q(`L>ygT_d>7j)cyQcU`Zn|!eM;les4`66~) z5YKU+qXC*61`_DyehVBh({rnG5KNuU5K3HE(0@ho%jpr{ZFtDC?&UTh(9ZB z3pCGh5BCSxlHL#y?4WzaPl8V>^FzDgCvID>!^m5rW(G4U|);4CDI zfaj*GBtPjS=UQ6^rsGr9mjiF2`Ou3Bgg&oUXL&iY1t@A)Ore2ja@Kwszf;o3z1y1I z8=MFJ)d7TOlyjW%xu<|sL?KKzkAKR8k=YuYLJMG9l%Rze-w(E9X@1a+Q-y-~Lj?$U zAp0f>;hnaDP%7MeFD^xmu3}tIfOE$6n#+K`!G&9K>j2*T2Jqt6^Ytje@7&)Wc&K=P z1?M5}5AR?WZUII>={uqNP(9zobj{PzKnLE*`O3sMYg1VnWu;XHPBEmz8L(jk z_&8KRhV5n*GK#N@9}7fWsJ;F{zb+y^yX;zrC9DEWLJ+cNf+Fy|U^fFefEd^i;pnDI z|LyaO4}5<3KeD^waK_(lH>+j_(t0Egz#kNqb*g7Cnzix)_!W2y{{paBLYYabS%sAy z2yZBhNNiPpvmHL0KtTH`%EwYBKv!8I%h|%)B8n|rpvnw8paD`$weYH-nOKlq{HDbs zY-CVfRv4R9!|cz2E`gOCx+0;eSLK0K1va5H3&$aV14#q_{rr`U|M72d*wv=PbqUgt z-y%V+(H!{8JQuO30HGnA!f)HDnUy`o`OrX+G9$55O)hd+MhY|y)O=A$KqO(p>wmn zm%6$si_^+BdT8Mo8?NvE;^*!|6)d>cA&;Qj-?Em?2ZO{MNVuF(kf8Pz$mx8O$ zHaR;zsrc`iAFSBn&%ULoWq9-{P0_R*GR933_UQW9^M1=F(TjX2+S72{u*G&VT9WA& zM>mF!DWi&;@ub&?Tf7_~+$I2fHIZYyy~j9SSZ6=jI6Tw>7-#(GzRDP#h#PsM)_akW zu0}SdmoxZ?I%hx{*PK-Ql%6hP>a?zeza7tr_wh_iv3`JSmzZJQtMQUWT&Hy~4|8E> zyyboYhgGEPQBhj8IGfGI2dblJWTa%yKHABJ8-)fmB5PiHe-Y1N%vX82#*{7XA4^%p ziZ#5Zf85QlUoM>#{dstTV2vHtQ-S|Y)ce4-ab0=eBUvUgCPp(J@PHLOGYoiy1q4F! zD5=}b41;_T0tJP*isQxxD~{|Y@!Ctfq}%N?GhD#{G6bS{NggM$i0qIwsTVKlx^4E2 zk!445TxU&b>h|rkErg_`P5Ts#9pe1yd$8T-oev)*g#OLFbMHOp-1GaMLN)w^fS6i; zm&bfn7|!>of+{C9s8kRv<0dMVG)2twD8Llkr4Zd9_YLkxur_I@7OsD9=mS(q3cu>@!olX?G}?B zeXQB$F0n{ynS0l42x31?1$n^ajK|IC%<4k6%BW@16Xo1Qajav|&gf!^mryZ76*94D ztTSoshpHXV2sY?;M5hLr0z6-gq-tXmW0t8-f?kY40t(U9whozKlR5oW;(ChxeC_W( zJ$LK9zrWF5D}fP~VJFz@*Ls$M8M9^hh+zIm0{(|r@yN`U6ew$L+JkI_4fB#v&6!9L zRi2WTlM|x~6q#T&jv2X+fLatrs<3M&6sya|!`h&x+G(xcMzSE(GDXu~Xc*P3{Ay1z zXux%cv0|;h08mcOpMu253!H2^N+)8BUc&>Q0VKmv#eEeW9XI33US@Nzm@Ji-g-Aw@ zOw_IP*g|!jEmSKTEvHCJH`a!9TGz;1MUhiQcG=h^R>&)a75gXkAt{1fJcv26-9`j6 z!oY3v(p!#e>;CJ;*+ZLZ$Q*WXC_!t3W&_BcDTh@=Dzw+~sI2Gam~fX`MoiT4kWGpN ziK;T#VP@wF8S*WOXT?(m%JPx|lXGbo2)PZyz(S5;qm6Q!YXrDLzL?2FJrjPOu=dAw zCv8GI4{>aQXR{DzF(u5Sm8f2{Vx*0#F#X3?0jSH*V1ob^3F%$p^GidnhbJOn~ij)G-lpO<4&5+IGHt!c7R%evz(o+ zXjAcjGgPVWd5ogx$SHAJP+EQ7NmR`;KQ=vPbi%S;FPX^EC($9#Yg})NETOX$HHU65 zjl_CZ;l2kqKSSF9RSj&|2?sGTT#L?pRFGXhO+5nPt~ElZS$~VcbW={0ZA7P2<3pJZ zyKfsZKO)40lrpW%c=j zCbBG|1O-tjMIMK~w<|V=CT<^8^Mz1xoDukY9Fd)EFA0&_QX=l^9T$Gf#UEGK#r%9I zZ`6a@#P^u9w_rb(ZEGR9M{YZ{_qrx07fZS(_PUn(_X-j8N?i3 zp;~HA0eHfcttw&})po=N8aBh=1%BVQC8s>khJeMX3I`T~=+Sc*-PgjvVS13>+igWp|Lo8il>p)R0Z`RTr4cYmz#~ zgA6R`Ad-&xXkhA&+@fFHI91|UlhfJuVV>dL&GeU=Tf{~=HrqzB<0Exr!^FgYof$Ay zd8{s1Mrg{lA}==#+L#<=WVZ1HRNgIKC8q1X>7TuSq>qfOJI4IE+L=5NP74(jq^^p4 zy)jRH&64>96Iie2Q0Kbid8t`BRg9nAq@xo@-YMdG{<5xck{`*UUI!leI%EgLgNvUz* z+v7OML>YeeBBLUN<}1vNW#)^hMc(s2%woikjW$<6I&N=f-SfDUQ6D=Tt5SX5DV?vW z6vR-mkup8|>Scb`g;N|Sbf!b)0!`l5+RrgN3YftyFkyop&1oq7r!Ne=`sdt<{Wn|P zGxWARtRedT0nqEq7B_&QxiRRWc+79RZ&16xCv-_Q!hR;Wsl9|_N(-kH1tkaa%`AEb zCw6AHoNWfUiatxO$`3)+DI=#!)mJCn_+);Yiljv~+U&!vjd%8%fE`n3^_&TLCQlWJ zr#JR^^R5*lX|zYFOZTH8vS0VfDs>X;ClXZ{B#5=jgvgJG=D*>t$p;PVT5dJ%uLafo z-ilUUYVAB2jZWsLcdkrMd2v^^2v%LADy~!AOx`onYiH8bxN@w^tl1JAszhi|WIV+cG9Ux<82to*&cN%oSjdYfY9m;!l{qto>&=G0AGXRgXy=`NDtGhJS@8AbEd>E6}UQ}?Kx zpYKRfi@3xPVbm(6BVI)}s6tCIKVuLej1>{4g;Cy&d$dHM+G`tld+O?w?A5Z{Z#2tF zS-?GJQqr9nteP=Ww+Rl))J2`dV*;VuG@(jnL5K)`QArk+HRuO5a1NT4ZL$MwVIjSf zfSGGXXTSq93p(ND4l0|Gb>>DN>T18IgGU6;*4lSF(qMYJSv#Z5pDCUr+`?<&;Du zl^_J2-mVF=X+#MTw%P&nnLOyVmO}oJ zY{+33XOINL^Q(|Wn^r{C)~nK4t*tmL#8A$KFOKcJR(3STr#lv`j%_&OUI2qe4eSICHtL3#t3C7u0+hpseG$r0uXxc}> z^tqMcO@~l|@j2|zq|C#&*~^lxhLlxcJ!8nphRmr<7nZ5X;A8b_PMrvX);%oIdL$wA zGiHLRh<*Yke3UO0DT3uDrc}wiOY3WqpkE|I>`F3JqE99dSMae66(+lMUS*6b@wR5J zo>!{XjUnEvs2!uT`c&ot(P<7krg+^$9<2RgL3c<~nXmbo=}e2!crxt}>~7A;$R$t- zG_8t;+3OrJ8MBfyIb0=hb!~2Qs4>&9*^^J1UlWuv(Rk@)1G#y$wD{hR`P{#J^H~AS+a8OSML^tQdeHT#@z>_yA)!8c;8z=5a~U5Tyel zhO_05$^!Ip&qNW65s>Uuh8kdm+3ZYs_+9?lnKtAehH}q9y zeu^MnRqD=5g1wB&GHKboXsC7p(=J0@Lq}M!ss?)~YgF+tQt#mH@&sR?Qhrjmmet$B zy|Ov~v$tw{*ZlKM+t8*sY@AmFoxjHqehThqRJnd4#W;;Obqg-`TRqyEneF%w;of1* zzlu>*fS89*4K<1-X~EWA%bc=E&0XY0)9QLP(u-}Jrc+zBoKS6>8LHaZnMF_1M7$4nRH7^7)&i%&Q)*bo;(FvRfx_U5q76q%I}}w8=)Fyx2mqd&19dd@^XWz+478L3^Xo-PM3Pp zPz}2s+3UL!W|wSr0kQD3Lp12@l4{;%ya7zPJz`aO&aV>L;viL5qn4Vs%FoYz^~^uK zecz3t1?D^l&QRznV102bI*A;`;s_TovmnG0V9;XlC=tr{E(c@k4PC~E93RUN&kFZI zoWSPDs8~aosA9=gIB2*zRz^j&zdA!6pY~kwsQVR-?`!Xq&d$$yyz2 zm*Z1b4HJ#{c%hEj=wgUc3LIQWhPkkK?N+pO6*p4YxQc>In2 zTYh=u`iK1e*GdQ=5;jw_u#`o;PlY7XE|o|^?x`4YWF!IlO8{d*BkGB2)d9Jz`7{8M z2u7#ig#fswp$%=SM!Z`D|Fh01^_|pb~cG34pWz#SqyI# zhGs)+O$9yvV4iEQXwpfaLrpJnzX>I3>rTh#=L`skfr5W zLCyWAu`iwXEipUc@v1t4mIb>ZK`C-We#jv}8jg(P zQn=m#43AA(F)_jtA_PNMaUI4oouPrj;m|9JN|3glNtSQhXyV(DP*KW$`Hg`Wde(gY z_p1^SrjVm%Gd{wCfyZLkNSg%B0N((t9EGG+n??{J@xIkQ4cd#=JT9Gx$gFNM7J#CJ zR0uT?3O=T&vcs;q=4iVjDHuAON6?9cV8C@~tH(;QUDu&*1z~py7C^Ws70D{ur5s4X zHgv_S*c=o2BEcaTq5>GGifoZ`fHMvqRftPq3Mj!w4P1zH%+3-mZEO||S2EoO-^?8J zn>j+BRl5B0|9mx&>x9ed`eBnEoB4v zMp&Wdz!$Jl5?`fp_MP+#67Y~mb!j;+t(b8Akq$m0!f>z4;T3yNn+<6eMs-Zk1`-4| zuD!$SrK(6mzmG^HvZy0sso4Tp!1R4YvPhBaw{t78j(}!7OOIotOCc7bJFaGOTld~P zQM;%@R{{?N+T8Y(5rke62(JwS-Ivy(nw1N!$Ww4^v|ck|mhzPQlP}Kog>{`FpEhPzgwzPXUux z%U${%l=pFOB5oY2b*=dkzW!Vp6EL!#64HYjY)=42MYd@K>>L2_o%NKxH#DJ5mf)a448tVhjo9aJZl$0%n)&qDX4y2&}!Z|DRGdf*{=R?l48RY1|q^ zhqDsdjHcEACQBk|;6vHfr=TTPBCzP8X{|n`hgdE0lHJgt+ZmwsEzfxto~5&6HqEMP z#7Y<|8@_pXe&DIUE!+r#k}%?xiIuWd+_@rR74m3ka&^KqWp~C_Y7$|; z-wwoQ9kv1xL0cvJGb-1tjY?ctJz_0Wfr-Rhme-kFx=zk9swvp`rc}2$0t_t`rF)Da ziR6j4E@N62D2rGxhuKcf%COB6PYeny@OE0YRqB}GvS^SyP=>PZSSc*82rka*pfcCD zXL4WN|BD~zqLJk@hGSM&2NSWK1WR6+*5EQRY#h=EeZmK!Awq%C!D)mwBk5=r z2qpm!1Q;`LwXC~}L~xPeeR8Ss7t%sz&FdB(v|K>{dcGJG?; z&Y5f$RSno~iD(xH!Vct1?b9D@XzRFT&%eQot{(!u$^gT}VnBJEeY8cisgM}=2W zvSedb!YT_60?#S{GqSK&Wax0gU({`e&SDE|V>A`qNw|=u6-=wH)B*(v?q@MDcuj+~ zv8!O2Cx{V^k83hq;Dox894;(|itW!29a{6v?f7;5Op&|w0Qgx&RSYhH`)$RQb zS~AKg9EWA~lZq6))SNWdkX~a!IV6OO+*p$q(SB0|iAQ;OqwHel=?!RPe_e~>l<6;MwDSP&ZEei=74+vta6j2V7MT#M@`X%& zw{Wa&;EwNI{y%?RsQLZ%W+!qP&|$QE?+onUU8>C->^jnMHAi2K(BYwX0#vCODZ~dv zV_wO}!Xpjaq|J>J2Wy>bhc17C@Nv2)GO@^OSK><^O{?r6aN&C@m6l-WT>m#Dj` zIeG8Ev3o{}xShRtaeb-G;7Fp|R|G;7@si2Rs6Wg+;y-I_V-_RyKuKMyKim{*(4*$G z5*JlDAMnd&>b?_VsGDyxCyXwv*LdFD?;RF~Tw6aj=1G5KzpFFM@?v}&^HED`lY2b` zBF9jRU!Oz6Ando!LZD!JIrr$-o@8IuxT1eg#o6H!LM;&-rG^Gy}fRMDF|=vr7kDC z@BQoFF0wb8f3+FI@hyVxzx2h=k0iH~^X7JM;r0QQ>v`Z1oqA1u=dielhUIR0pdPC& zpR{4Lq~JbJMX6Gc_VW{7Y6^|NGhI^`IcU1oc)Uoj_;2szhyMKGzQX?$-I>=Klv3tm zkDBd&7DuDA=8|kQ%JN2i9d3#~O83dPKM+5I|4{QqP^c{!90=ERD9x|84(RGgV>tF+ zIG|8d?$mbqd>Q!xUhr;83b<0(Z@=)WbhIHVG(~%rkbC&mp0fL&z^)jmtAWM4G{CQo zd|N$rm2Xlvs=dN^-dyh(-_>bO)y7})?0vl5C(cm2lJDZR`#&%27mUE&`6$`2{kWf= z>-r#{PHdne|56&-R8`iyzvRrzTPw^9=+5-N|^ZkLaV*SC$z+SVpxI|q{|A;?Ml`IbY{^YeA9YielG{27flmL2WsQmhE z{Dg9;eq31W2?!utgFEK8lkVsu--sHL70(=08quDS>1|WVaqmz0Xd86b+Fq7JJ z|F#nNUHedp`{1}RPA^0&qsq9D+#m**S}Iim|1k=;USS+B;mWA59qw?KwzUh3F?2Bc zlCXGCy0q<%CKM{iKUA6`5Av7LxbRVQs1k4&O(KGuspTYp>J#+$+jOrmNqvc$kgw!7 zw7rAIQB+5%}qt^TDM;=&DZcx&b$^&cj zJ`&XL=v6z~l(2VO)x&LGwSBZ|TgfW{b@Tu^5<0GqwiTB2F8U57MhdDHeff!z^_~sh z#P{ft0&;QhjxzcLGo~+=E#KKj!mUW3@D1-!k~8{(n%JNSGy0XO%IW{iz31CEdpUWZ zwHT+ISQKg?CY-{K0~lxOMIM9><(Stw(6sXa&sh=AHpYPO3%lbny@fOKdUY(Cj})7$ z%v)fNY%#R9JR?V4iK^@ALvFl+s|f>py$0grxAS3kl7B}r)Q-)}l5ZN666eut3e63FjYO;mLJC6~ zS0&&g1UwyQ0!*0>Uy8xKdX0<0re!S#6(>RKgil%k4wOid@_(trWQ7 zQihaIKDCPiZA668yT4Uf;Vv~qyTdRP@Qlr*KJ^nUz)YymvO~TdFPkp)XuQywb zAkH~3JwpSG6lyJzL`DLb>4b}i^dAxB=vfdWXwzA%4kRLAvL+=k4gfoxg$cff1^p@p z%gO?N7Rp1*u>=J_=8r>gxEVtOzjqd@?}SlOV1Y{3E&9TNq-ZqG+(+C;WHIK`+1 zzN)U~O{$wq%KSv3Gs*NS*2AgYhue(Vr2Jvh ziVB@v4uY2StE*`hs#c>hRoq;wnA<&udxngyQM5&|r<9(`r>jEI3A38MJzWkLDc)4W z#*cIuSteM{^zoDX=UQ`HXKpkak@4eMJ)@MLKA4WBoGW6#9G=I}a1;GV@CR3hQ~uhP zgIq%mc9KJ7w60Gh|B^xLd7`PT^cc68?1N>@UHR$IJ@MfUjVN4^MroP^zHGj@HZoB$ zdYTi=OJ>ZX50D^=lc5bK?yo)lO@j(xF6`si>|*0TuRX}Q_569P0We57$*oDNtp>Z!H?(f2RbIN>=)8x;~G%b{KW_`Z+fk59= z@me|KsLr2(49$-k_U?SY$;=- zI>nSZy{|y3VaG~ZYZcI<39Ai^p(5yy{}jK1P)Gu8r(2R06SZ}6d!bozP&z3- zBh@i9lCOw$AS&{W^&8&xEh)bv%}BreukrqEFKl~wI2O97&Y$y_d>J_}T)O-F z@c&;854g}+rlvpmVjK0b|BKW*v!QEy`A=S-^7Jm&94~P#;sKX$imCsQn|%e)Jnqj_ zum4X|KS^F|=|5T-^PO}-e*HVX=r4QQUSwVX@J^!1>>GMQ?}M*#E!blgboid!mN-~{ zw%};dGsl&@kGC(W-^lAcIz#{F*R$#u`@3=F*=^5kiJtW=l7a64Whe03xg{mQq~?_- zS72<QyuYbipk6rtHFX`g1y>$2-@xA$yOxv}!>@Os1$8$* zv1c~AkpF`3FUpqDfU0~Z_Jsl?;w(TWUwojX!x!F%aB~1nEzr*gn|y1-i=AbKSL*%T zr~e$-#a+FB!@J6NUV6V~vg^uNBY8Zy2+H7iz`uVCw_ka#pFH>hz2i?%eRSdE6JlV0 zdh>DR5LDBRtBdA(b4%m5M+Wkv=?$e-i}{s;YwCqX9L-;FRx0^3&dp*U_b zGWNz_c272cQB%x4w66jElD^^&@bN3IGRyAG*!jB}ek*zgLZ-77;5_pHgop*#oEIA3j-uZ_ma1buU?!cL4IlIGM&doQ z6;1p6!?D_yXFQV(B!&m^a1k=s8Xv3Szc5Ng8dlrIsVEcg$;g8<1EF4V@CmbroP+V# zr3fuB0CQ#EH1i?Z+F3iz2m!Ek*sis%B+; zDZ+WjFoRc@zK;tdopJ@W*RL+qWh!Yp9t&gPmpD}vdK<5&6{QJL6@JIU*ZjK{oBbb9 zi#2}k?9TwD7q&uwnd8m8tf=QfG_T4FHIIv%=-O1xqy0>GZJE(t6+2KcOAUd*t+BR} zGw2iE{Q15jT$>keEvi+%an{dG)uc=4%kBoTKHr${4Sp~))6~wjZBg=fPx+rdGT*=R zMvvs2H#zejbU}@srpHZj)}+M23g(~zdqz`{6pA8et69bfi9UB3 zn^V$HCCzoHjBYpP(rf%%l%li*kf5B9I2IExMk77coH<&C)sv{D2LTzc?iiDJxJrLG zud}wblY6W&mJdsZHYekuQsydIS!L1-F>SNZg-^;g#WGGiRYl~c(MYe`cH?vrmMzdqKGi-%;e3^TRl^)2pu*GcF-=nnR>u^{WtDGZIWd zpz%l(I>=R`6q!(sCdAIlS^s?YuIBSKMGcvX22{kHiUg3nT4pq?X57HVGv?_AvG{Cg z6J4j9?ZHuwNqI7l*S><%cT)L7t#xOFyg4;ntp=`jv%mQMhVVHtI?`?WDk8=idAX&9 zh2sDD_?x+pci(7g0)p(=gR~@A_z?6ZTC})7$s~FNBGD(n)+6jLuo)5>7PbSBK&jxC z)De&>v(gj?RSnisiLfg)rRcOnBn8Mc$Vi+FKn)8kf(fbFmP7IhvrNcls5Mxl%wf#- zGHtL84f~|XR?8(NRY|jiF$pRJjSy55D^N9dNR%Q9WJW+d+H7?z+&Rarm=&jB^9qRT zgt>|~_AB74)NqcfGNYPNm>vY1c@~-DC<(kG3lhNNTY&`vkTm93^FMg^ub+RV{r!a1 z1N1p32OF2v@=0SchAsZ+s9T}sHI&YRpb|Vo!kQ zmNMqLQ&s{?t1|Q|IsgeX;@~!cx2(gwz?xK>b_r6PX(1z7Yej`y2}FPbQ5V5ULp6LU zM~$*=+6j^NNQSKk>H`!hY7DPYD8Sl5VuuA}uY8+iDoQSP-7T#`c>c&jhE98GgJ!h?i>V4kJxqsS zgS&`IqA{ ze%y4wJU}a;2(I*`x9}j5A7cOo^#1ZNq25s8|%G?-bfCNn6r0Zp6l%xImax-O6qblHI=w+ zu4H`U+%7<@b&KB)f)^TRwqkE1HyAXA8?Ig9o zFjC7el@=Gzji+Dl!j;`(^4ZJ>J%>7L6F(P==1wcq{?G>J+{SQ)T7q2XANKlp?KiHB z1DAOR0{2OuRtMQX==^?ihPoDK%9_a(`)2BtbP&nm$bUW&A!d@ z2TsbZCoa(Gz;G)%z)eLmk3?>*#d+e>?5~VOcN4Y6D5P78>U~Di&rdNIs`{KhX55?T z$)ApeDm>szjtT=4Ot1$92ky8u%Ut#RrMYKq;$8X)*W1e-MKzVUl|S;g$^*6L(DBRW z;1f)ysOmzzSgD5O=<}6z-1*8{=31nS{F`W2pJUu=q!ClKZFAF0yS~wNkGv2u1II=u z=@S^AzzcL4y`XMpI^@|$zrTNOcdo)D*X=U@;i$Giv6tx|$Edl|yEgQ;dM`!N`?|yh zR3AC|EP6WlHeCceDdCB`&hp_3f4)MWzqdAi_Uqi^4|N(>-!uYD+nAF0;{%XtQN6L* zsaH9<+<#P48}8^@O*e_}I``41&H2uIjICWmRWG~)PU>cE3WRFA@MN66_X)g@`KLMP zqOi9`nWEocW{vg_1tJQ4&%RjOJYJ{r%aES%zD@t<)1>sb2iO~3l#^CJk=3uBGzT7i zGkyEC=($kXNAulaQuJRE{PZorwVQygUPbxvcP zJHMN}Cid5E__6ttagIs6jcQ^z5-<+TeQ@B8xexHQNUwZtZ%jUcp}S6OnZ^tGd+Cn- zZU2-m@_tV~;Ncc`^@dh;lsh1<6HYnvZ`sHgPGo1IEz4>fHZ?dT8PV=R)+=|$O@kU!o082781 zNFTi`HKvZG%=x$PF%F1xCk}A4C(dq=jY(#|+2PG!JS+$3Pe=DT|8dT%KC&BF_wqrx zkv}MJ!7d0q>kZ65d0lJU6*asIy6pRR%#P>AU%NS|K12SJacVGd)YYMU=;A~D)Qk9N zZjc)7j`u6;pYwC+gLELufet<#C&W=nwL11>-@z6N_(%Dh;I7O@+w z*$&V`&M89`!L^AQdNw%-@2~s>8;el-H^FuI-iXgBktXVY4SC~>i85w^0x>O4Z9u_k z@zR4GzIhQqH7?)L!|_%?&x{^)J*T!OQ|km54T4*w-~|P~Sa1Lf3(Lr#ILIu0%4f=U zE?yo7O3_<)1K$J`M$pL(H zfqu1_+|z{a7eK#QG8V6f51Dqs1{*#)02Ca$OkUpB0$0NbaIV?pJPIU5_!J6cn)uZR z+qo3Afi8(ODG$@HbBBFn$(i7y4n!~Rd&(6Tz;poj!?l-84$pF<8|i-ELxl}|KUzOr z*W95TeLj&Nzaj##XL4xP^=q}m2ar%YIy8WSCzB^YwlMGVLaMN~5X^gS(G|eL9@uG_ z_dO&G_<|>enP3eteM(A`&%pP3a8rFCSrYMbW6_%9uvNZ54FRubCb;l}eW)OJIsPOLw7kqUwWxIT~?P-i-Hgl!o3WD-ytN`C-4F6+M8c82bsI#GAFneryT9j zz#LkqCQ(aUk*#z{lkSV<(np=E+jO_`Av1fc1>fpbcj!Il`8i0Vj~_RZ>9O1M%1 zD}UM1o@Ah!1@FaF0sxc)G{+~Mi3e!0oLeT~E?|x~^P{7{4rR~YZaGjS@Z zZ;6&F^S+=`6y2y$jU09=@c@8)7R7o&2>+0IND#a*@e5zo{cfTl_ubi>t^LVF&iUM3 zZz^9s8Ph!%)@#$A4rSULQ^YfB({}cY;CXI|YH2>}Z1%xC_%2iCTsl|N_X7Q1musqH zu5HQ#um@oI^*?DAJ!$6me~cqd49tZ+UM1phn_~Xk6CVfx(H33MLyry58+#cw15uNK zC=*;>xdwJkwGJGZ0xnT2tXkxGEL_rs-*%)cw=j5$`P9=*zPI1hFCTW%^M@ zwL^Kc`TP@g+q-6~zJ!(Qvj}v`IZxt3@+>}aI8>kp7aCW# zFx|5AC72mMkS{~UfloKXl<1u?$Gr31R0aJT9zeAWAdwZd&nA`m*cw=8w1a>s7u03+2 z2iL?L=~I)NGxz@C&6uZuz5bU1Fg5zYs|K9Ki z%l`4D$W+I7T~nbcW=e#gKMd>e!%ySK{z%U$v$&nx)A&ITf-l32Hzfv`3yEk~qL2E) z@Og}2aD5?1#osRX;D1jufB1T9AHACy+~!<@E6=2wQcP2wQ#n!isb@fTe#)2|3Q~hj zp3~5IAA36>TxHg6B6HL6fn%fN%(cBH3>08s`gy&@yO4sW;$Evj)DELY)ZOozQokg~oh<+F1KS&&Jm4ofk`QsO>sC7>J~(`R?y}zrNesQglZ7M)a{( z=sD=UtI1ltF#0FJQ$7N{_R&JqM(8$v_Y-Tsk-G0+p1wKq{8@T#u@L)B$N9Bgv%}Q% zaER}r#Pi{%CiXk-)Oy2T;%uF0B0B-%m;yqU0iN{RZO?D%ZacUIV1=R#UB;||LFWSn zNX84iwTK^hy)X5kdB~#Qe9qHR0&x-pj2c{Fs$P646pW>(#H;0{%w=~|RZpIKtk!)= zjzE7kq+(DLwZ83BPapSxs=)s6yhCs1VYp%Fv6HgWy1grX?^d&Q!y({(yI<2)O9b8%yHbcy*=n!S&wi6H#a~L=O%ETxoQ0U{j=fkw>}XGob_-An88ygV#cI1 z@-}r}b&;V|^a?Q0(&b(K4?K$&3hL2i`r$}l%{`D_LVtv(&(EgR@LkGG+u3h~ou`K$ zeY2Z^L=hNjN)xkhd-G^{%koI$V5Air^EHvmwP4WG;XZmFQ^n8M7aHx{+)(@7=MT3o zGJgj97tapozu!fF-&?wQw;C?eYq`1W!z`5teRUeoj-J3BvnOUc)CE7=wp-a9=yPAb zS6V1zZ}yc9U_LmA^a@q02iGnorG+iZ5&B$nZy_z~iB2VlM7BC~Mkon^L839QXemdv zA+3~WV>Vi>7n?EKh+k!@{V1Ec1*;NPE-~@$GPO_;2e%4V0F;~A%|yDGoMgZ~r|%Rg zV+*dpY`JPILg62vmv0HO_9BE(RL-0%F$=jH!)<-qUZ zaX0{=aGKgk_dwcmP{3t_p!&(t`IJWB0**1WNgKtyqK`l#qQ+!#rbUJ{Tf?wwHM*Od z=%jQA9b_b8HRR#3HWmRD$W-hsk&A|wzop%YX$#37P@t4(MoQ?8c}^n8HgbR(l8Ip{ z(aEWVZ0X`q+f#&|4PXI5wosI!MOV?v5+WTUsG)2Sf&lrLN;X4IEydf!Q;=r*2y5sJ zROrN**ui%9k#&VjPwfbgeDvdRAdAj!LQKS#f=q^w02;+{!7&O^1#{g-ynF_9^X>% zci&bn$B+9a;ny~0F}az(lK2K5I9v0`hWGFM4RbB{-X;L|y!dTpA@;+%O4l;IXIt={ z0W=vN!1}ozhsLiB4J5^@M~@$MU5!?X-f`ge{5e>;Ep<}8^7=j+e^%QxS{bLWr3W?w zwC8v!2PmCAzL#Iz<@=>@<>kc!dusl-+8~%`kf5#V4U!e?6)Sf^R{@+tS z%XMs$ut#kxoH||?>lfv{3!@uHS(O)k7S6t4$7y`a)TXzx|KMCLmWdeurr01H_$Q|Ua zQ+yU*0}4+;#0PHJ9C)XhKwMZL2XF~^1h*|F%elVBjd9@k6apg_FtP*GGjqykyS~MN zlQ=mFq#oe-j2lmaTeui4#^6+H)Q zPl)Z@lK}F8vmP?HjBAVBaRWn}hQ{y-aSU=2lyAl>-Qci4iP9URqfNdIhv45!g$+PO z0$Pu`psb@S%i-lu0?Frl$~zUlhs>tnhNu9q9U$>Cs%PEdN>>D)pnM3f*c#u4t|U0^ zfWv)mfQEq7K-DWX`+kSNEMdPGI&!1S33IuUD9rn94iV-Yg9i;0M`T0RJygR(qP#&c4@JNEHTiD{T=)C?E zWK{Qvn82efWm^mS5I7ezgLnj~E!FJyr>xcYRhS zY#JGnIcN|#7g@2e0FAIq@Z^<{)+7-Gv0NX(rokO3wA&o`^SRG|{12b`{|bM@v5H9} ziORx&v!~Qc@=6*AMj$>}McMRzp)_S!>XDe*3>pqWUxh~42l8WPT!R%M(+T29o2F)J z&1})GkX$WB&9Ki979Ey&k_3gApL-g!>7Qdrtb+aJR31+mpbv!<7zH6qFCa>i63{8| zlFCc)#nP;`-f0kgNT@{?kZqPIB7M%WqIyDeBAX*wkHb6VLp?Q?az233}Iz!wNEiA>nYi7P@-NFQAmenC-GxQ@1bbX#*vCcCG4nHq%B)Uj@NeQ zzP0Xp3;f?-%hv;Y-Tb)u(DfdHOH7xpKVZLp0~QR~wtR2-a=UqSE?;+`ACcg1#R}RB zI3UgZ#sQb<@|#|NwGEcc8)+^!E@ysDI;tPjTeL$^Ob=7UEpj1F&{D>5L%no-w3oCuF`Yq#hojYFVs>eB zj05Rb^N~sYmNXQ&%Wlr}>v0pwG=JE`bs{_7dM;DBD54kmQWN3twF&pDmuMoMLg3d zI*L!U9h0b6T|(Um6E$qi(ax2+P4b#G2ffdV)l{7zckqdNoQ0>one=^ptiQ$Z{|xLb_3)#o{jhx;hWPKsr9x$W+I9M}FsyU|`bWQHyn zB0=RYywhsRJ_9r%5yKasrmT)p`n)>WXVixXW(}Ze*m=yhbGF_Z1CposEdDpux2D9~ zt!0A1oO9$T(G~of7KzSqY7&{aYa1Rc94@u)q@jevseF<;O?sL0YwwtFsRmHR#1*_y zBjxjVA4bG83N7;NOe#A`n2~$Dct0;@F?QN;Go&FTON9&zI*v6}U8xgo$(^v9Ws}s| zgDbka3awO`pZG{l zyp>{Xjq+L*GHQHie@B}xR)%UnFVMbp{{H4gL}Ve0DDl*|m>pbAsZcnXSbW`Bs1{i98HXa-}L&Mih{g3}aoOR`P&UlL)&`vJn3Q4JMGT{q4~4(T%wq(>G7? z5`z<_AEmm@#*;B+1$}F?JGGyJ7-ubB0n=jsssGi; z6kI+-+vT|AL7DB;1S=#I2$#+Yy;RSrbV5DXB(OilX*Z4$9D15LNS~L33}*&6aYiH6 zX+{Nbe_BzcT4Oec*6(7ei82r?8ewTc^$!9bI~B^1{~vL010Tm#-i^-AuH>~WTQj4P zd?d^EoY6=b$%<`lHn4%T%p8s7kt}~m5k<)h#1bN1AO-9i(51caof#d;BU!ey8xcgx zhgaEvLPJ5pa1HIfjW&XckY2C}ZC={9FAgp>T-v@iP6#BB`=6EU1j zZ4oYaEDxu=OFT*5>N4$*>4-%KcIw+{@zTIm;-2AE!55X0(=4AI_w#R2)ciD5d3gH$ z;_cx)?;+V9bf+y3Znx z_~adj{+XLEojUlP|8*mHwtOV570LXFCxk5F`;HNM!n82_Q~$@FsoMzVYU^2_hHp0B@e zwX+Gw36zfckyCV!!cAMLCjL{_OMx`#ytbKms0vyUR0kFFD_tbfw}N-GqBmBz(uIv} zMQj;M%&1k@F>7#tzk}+t!?j(C+1biR zf!}@~(a&6R?0)aD&|c?j>5<>(kVuKXF1Yu(>H8JK&Xv*K`mz+3Q0mT)9d5}smqs<< zi&-z-o|)~<=qcbEWPb}^EdBL&cGUdA>?%owD&INguqu7Ps;1b=C{!aSMvT{~OfW=? zI!=;9I3&?`)O?rKbsWLq!7KmE zjcnW8yuOtvJ<38OBgv0-j)TN-)nOsIEi z)%u(^s|iBtVI(o*y=s)PYqaM_NiSs@K|`aG)zVbi!3r8;Rtz9LpKc7bMylJ5RY5G= zEv$EpxyOrI>I@7maWhA)l=qA}L|hF+y(MP*-_om8EEyHDBQhyPnyFyUN1RPx znTg!h>V*9oufqK4fBWC={9#S{zpi!oJlmk~K11+JnfmrP-_&G!ZYngI0usJGobCt* zq>{#yXSh4Zy=pVourNS&3ti6yG?vmIuPj}`}&w8aA$p2ni7c72)Wh<>hLDzyYbc>b}YIFlSeh| z|0dPgMC}X4xF8JLyFUK)E9v(>_b;Er*P}aVK|;4;a}$0TSL{m2CsE>NrIYw{p*M_a zNI z#*cl}!!Btd$eQNOfv&kLXF{PM8SDFFw!xVs%tJ#*@6DPo{qxm-JoJ;FM?Z%n(d^*P zUW|G$BCC6#PBA645-zgE3JIUXyU!r9SQoKlnv9J+?wPpJC{zN}VL3}mQLc`{v@f7s zou~!OP0)MnIg2Ez6e|RpsErVhxS^n9WyoP&7t+=!w}g}>Bn`AxkV%5efrMOPf@tCP z$ssSv?!!+ZKaxOW#Oj>z2{MYIi{3O<_)PrqPzUs_)KRJ48v9bdW2|C?9HweXuRztI?h zyxjfopE_RicRyacG=>#Yxc;zq?#2^fdo*Y8fKK4wFEihb%U+o}*QeC0DTM_9-mYKY zwzwJdngQKqarh6UoBn_D@>MPQ?F?}J*J{R{Z-2Vx7Y|>5|DSn&g}lG> z>d5x>yN>eh;D#bUx&7Z457yjLyY_|H>R8e8^Y6OJZ~HuE;nP=9269IdkP|5yr)cT^ z^+!zFQ2fLP>Nox4?zPW<3m0GK8(oM)HPe3m4WlNMn{wmhwKlEE{MDBH#{~d$Roo3( zBuX{=27dPX`+ok}$8X%>Kl9?oT>q7ef4MEc^5L(0d;LaH2W##)@WNkx?nNZnT04yY z9KZd>Ikifgh$0aMUd=Z#EfU0d{PjnH%6;GN-=9~up)R8i5^kv#GU@bQN9~whaM*wG@#tuY9*Vf^`nz9w#^Sw7vQ-kUL5^R!2=fe$TP58qugVvdZ;i5NXMe)-SS<9fUm3BaTO(JTC+VG#?e@ihKg;LiJF8) zlGy3uC$E0$%+H@ZvqlM$aJA^AQbtNiRf@PZ=9OiF=|rChvsUy74K<<;d<4;eQ8PLx zxIB7{QrzGO7;YoP^k_I^TEF4pSy*4bQ-WF18z|tBtkUi1jlRCjEu!y%buj-NE0R@e z)Q*Z1FaDwRqk-tE|MUSufL)@8Up`i;9Fh9h9T9((ne10SEKj~0Gw|B zE9-;-Jt-XHLQ^H%7Mv;3G zX|SU9;lzzelCXsG5!?0e7hZVm@bm9GwEAAO1eBB#M5u{KT#Ivt7`z zB(d^V!gnmV13?cKvvC!A63i>!dL0S>kl1lBf)NNO5rh?HZR8%N@6cfssz}|JfT7Ap z#(TtIdR9kQ^ik;o*t}+xd<$KoTK&j7! zm+9b{dS2y=Rum=TS`n$i;YGL6q1CF8c-#%RoHXrsz}lV-T-1qULX> zhM$c%sMC-($r2C05;g<^%u2pnl1k2l%t&>2*;IX>VXt>|VR@2`!Vnqr=EgZTG<9&{ zn3g;7&uO3tKKH}pKsc*;r4vhxTBq{BYHxlZ)e}J6vp2QsAPVWZ3^3)gXA*7I?weA7Lfcmt27iNGwoeu2%2&w}cgS@piX1 zfNtx1Vra#Pz1tLh4F*1>E$!buB;~R)68yPaeJ@us>RltnCW#3HsU-|Mww1sT)i^XJ z>;6dK#TAvj(e^*W`X#ogAZ)7A5tKd~Ln#PLnokETRX311m?@SYAaxi-9PEr*lI~%u zBWJ#w^=2{W)sh!SW{)M`8<6f&BuXATaP?0=_p@(&6CK7Oc94SkHa=nM{|EIy)TsZU zmS2=BnP6Tc(pleJu^b-eP)RVLElfEVU7_e$G{v8yQ-w##yxB;^cI56JY@3^XYOvo3 zoq5S&7u3X%eK=`V_~L#4JRP&n8Zf+}zM5{){3+#5Gt)};oo#GxQVxIe@ZI0PcN2T>D!r18R6w@mq8B*6 z07vwor6*v*3}DY0>N8;$myn*6i(avZIRfVku42X1klBjsL85_L5J9ktXfnq9DgSX( z$Y>QTVU2YoQDjchkzqX69D;TU<_S(vsV6kY5NH|KB^m;J9}yH*!uBd;MMh1&B!H8I z2)AJ=@=_)^kmlLZgMze*G%uL+uD~j z<(RWHExSo}E6nzjTxmjH zJeWT$8!rvglYEOk(ea=k&b1pQQtwi8sMQE*QM=Gn)hg6}+e(2?vd7w#t7`Rdjccs2Re7QfvBEBq61w7NMYO zu_+Yg6nAFjMyJY;*Flim#|%E~5Yrm*I^Ln zqOQW622e1-=VK>lwPBk=`vfnzF#E%SXSxl>l(4J` zF3Hvhsl7p)G2dm(?{S;`E5SR4V$&$=C~C7_&}Sr!Q^;FcFk94;|4!2hll%ZRln!;i z8!5Txt@&2EQkc}o-C^1@Qh0!vi*8;LVXRj<6Gjd=va8^sSq|0pLm}y&ZwRV&K7{*> zx>~|=10^_bpVv3Clj@dQP4{U@%HQ-Sr}r$^TzPPfR+T(nIwqrZni4y({93uNjBwMl zofS6bTGqs~sbOZwdnUOlQ#}q>s}smf=2dD1l?QA6r*$N? zWyxA@W)Ahk7%S!E^R!`NsebxNr2eCf6K{hPbi>B3A{y~hn^rF(an)Em(Tkb1~{aej$h@|PRjg$JB)zI(!%|88kUKc|!o>q_Uh!{n6uq%owb z{P40jV(!>Ci&1@lC~}ocLCF&WUXcD&-KZWgyyZUR_zmgNxwZuqKwH`FhkgBgf7l*e z3)<(imb|Iw@v{*fbKF|lv*e6U1;==l9!k07XOtQH(W13%*?y*0C*QIgE-1av(B7}z zn&aSg|Ku|ZgV-wFN*pBD^~2<}tDaq&?BwI}k|)Qus@7;*m?Pc=b%1o!Aq)N+!)2@# zN`46|lj|lKU-RxauTg8nY=V!JOh8O_1;`!DkfJ{p%APIzT=3aS ztIlF9G+kFic(p0c7&_5~d7|ktZO-~*?&d#2oYFhsJ3I4L<7BT(wDjOUI?kLl^`J#B z9hbF?D<3f2(D|w}X9;Y!MOV}yx4S(yi7eqRGR(Wv%7y9JRIOldDoM-lC4;~)F-Ny1 zuKFp~uAY#Al!@N&K^<6;ke4 zHm)9$!w#0bQx6hto7V%eCi~`pp8Lcb-c@t@u{8o9ZRzlWtC=fva8O+c{re|56;dTR zaM-q%b~U5T@2E2lGhvxEy?wZm^RUO)Y4*?676*f+I{t_B+Vf`9{a$|D@ewZWZ{n)8 zWG$?8(=arv%+d@k{jIA^CO;5Vsn_4J#*8|rnJ03)Rf^>y5gi>Su~Q}Jf`Cvaa40q zTRtFL2rYDwXs%LG{e-DFMw86H>PRDDb4$KlZ8v>_XaWil+_Tlx5S1Y6K(rySj4^aZ z&^OIlrAY%0qO?@gIeO&{-~93IpDTA>NG|NIFxQ3jG+@->Mx#0uh!WEf)Q|+82!w>^ z3r%p}9%K`WGM1u9A036R3xOCC;#-8607up0sLTN7Xc!dWk@?Wt1md_uTgM zn`++r#A;Vp9|=}wzu<{dso56?a~cy-z%nBRu|_kgb8p2&L}gOTNL4O0ZljWS5(|b1 zrAnu2Nvf8s3kj+jid`aBp8z!&+P*QZ(zKUgT;2^uH|DX&!cnr(}YSA$~yWePBI}uy`-T^wvXmkJK`QcnX`Pc&lW?2 zNJ*{-nws0*_x6`w{GV69psjWZqb?hEq8k*TKJOJOIIwa|rWO(FL&0dlRVc^h1!e*q z;t7n$l)ys6-yvaO(OqcRRd)GSqJ^3hh!$2ouymlI;*d;?5lx8ug3erAqSzzMw?xes z9>e>XhU%5NknuU-T1#tl=9J;;?gp-%odyMpuGu_+-$(o~x(}`L zXelAZRh_0o)Ox9v)wn#V76a=@VPOJDrBg~!ZG~nv$9$L`QX9Y<;POyf)_gz4dPxPv zy<%Rj#};(N38-$hTS#@8@aZz~A-KM~MwbjpE|Err4I4E(e(>_1Z~p9$cH=uZ;(7vw z8D>%nVRQv%5bF2|FJWQ845wE}wjx6a%xZo}j~Pm$h1+cOab8)BY;j3h5)f4Di&k6> zmK0|yK&&||#6y6!m{%rZ$5*(8jXKK~i|L`^RoiI`VyDVz5JU_i^O6`B$rqcIgw=2H zz9eKfJkml-44=3hZf!@ImF7G_uX02!VOT4kK}7RD5uiy346u!etdp)#(8SZv_y3gK z_gw3ta-W0>GqDX=Tk;vfZEC`!-k{%Mla)|I2A5da7le8@OK1wBYeJz?=cTW> z!vZei)8b(@6ShgbM zP`kmX1j)Vie%3P}P})L3I5gT&pq!`<5|YD+Y6vm#VYVyaMJLk?BaRVGF{x60$`XXu zq&#nu`mz~{L3&4))r2Rjn7Q0pg3Lu-;r#Ymj=Km6AJYjj`gRsekO-DB20cNv^hCE_ zK#>HmlGZf+!*dO1UcT@-ToxTDh=J8oLPUnasNW&bN|V_PCa)mT%MCDvDA|fH0N}c? zs2QpSku$F=ULbIp@XGFlzF9>DP%Bgt3ipI0H7%@C!mK91<%t&5L%bv*C>^w1N2dHx zs15}2aYzl>plXsMQ;>)iR&tGsP?W08ZW*y3Fc+h2FjNY z1|*}2Ecl{^8VMQ|W*txo(IAHe%3(V7=0`m{Pwp#N95Y0~hKHCZqNdHYS}zuADT@X| zN=qmU2xyydT+A5`u_FN7+nSmfwB&dg8NsVN=OtYqR-3R^XfRozFG5MSxi<{`Ru@Js zp(|<2L(K3}9v^9=OC89RXBk6pmvgFb{ryu{Ke78K;eF^B4$SHVm+Y8YucMK9#Gp#L z*rTUHANv&%6{SdnTYEgHdcylUdoK84u;Bu5~TezC3A1xE;zw!mnTSxP_?3P{3K z`%zP<#m{i!L<4k=6IP)YLhoKLW+kU!dO_a>(G67(W0rH_gu*UA!&KS92Y>qW=XbyG z?e|9Aix{_s1dbSvo6ZC*?E+t>8bb{c5H^^%fGwn0EyHyp(*{*~2Ipc;CZE&d<&8RE ziYgR=Kx|{ot#e>9UI&SdYHoCvSxZt}Cetx&g{?KiK@H6@HVsX{l&+!Sf?4RnJmiX~ zK8}5gXZ(l_5QP=-teb>!TT9d#PkDl$A`)*DhZGnBeZd!w$o*Dyhg>OIazRBLH7|bT z$ip>_N21p_-IXw#wr@W=e(wyzV4lFa2fT~KhwjlO>95! z@OA&v@DB&akXe21=H(~DMf+0SqW$Kn_F_Z3^3I)1-4X6mSDxtBvwy>9o==msUq{~d zIyM;8*XEpEo3n%d&Ypj*-Elxa=U!C>!SI`wv!v~dUNnWdb`|FJZjo` zR-lvm(#I%kebcfp4?i`vj@kA4*}h=pU~4hIGv^fBHeYVa^?f?CO@7yp>+p{~+IX19?8wyt~?vGV)r=C4d%?4KI`k^1JTkt1QDYjmO8eB$o5w=Tn! zdtTmPoW3W3?dX!B_=#HbmDzs%znZPiBd5*cQXN&EZ9KAYdrE72-KpN%;#2;%o)fw( zlRjgi>y3f&G)r~lhurOK@c-s0*8KFBjt&k7Q(rmS-gygMu2)7sY_vaf+csx>@;m;v zXEKZWCwIxgkNidXJM#BX)WLllMGdOKHq(Bg4~Xc|C!Rd7@3<6`n2)|&`Is`Ng%97x##}R_*1P@-#illL zjWnOX=%;s=4!o`2y-Frtw{Y+^j-UF%{HITkkwwFJf$wN(Homsi>|S`k|98skJ{Ih3 zKWMHvPwZ>|$58ol>6Uu`mXqh&>|-lO)D1@A^uXIM^*lyCa+7}YfnA+EKUsf%P6RFLv+nRrx^s4dJlwoDf84%#WuN*D zgM4B3p%&#Cdp_8y_C0lfPt$ETPi|ATgcA;{ze<9WAKfJ{eN=wfJ@RQk*BgweQ`-u( zn_s*sRcrRw+GB2^FFaz*zf*l_E@-3YM;Cg#vwzXli-7A7T)MQ7+n%$p{_Ds4KljtY zwW+bGcg8H;{RhUSh0@He&*=wxr;YC^tIvz(qH$^Jht8sT)cC&tL+5o9c*4f(sk?fI ze~1m~b;aOWcGFi*5x{3AdDIu4lTAXb%FCanC9{S|TmRI)@2mZ3L+bG?wHZK-O4zwIp>7sFzJv(@;kn0-wp?Z#= z=eM@=g_FI=qIYiM>0-;&+*^7X)`4q9)+Z0{<*Scf%)a98Gv3CQwlu!Q-0PU-r$O^> z<>AutL36L()b>8c2b$;+JieJ8>D^$zg-$eX=o#EEpE*0baIitj(uot&(N>P-9Jm z`|giSM>=m5oA|h%??qiwJvOQLcVlbjMWpVvOHJIJ_N&JfXib)hsUu^|l( ztz$;UJuK2bijr`PyolRY>r0mGUTA<{adCElgpG<>+T5!EWj&6>k;ap*TTSO2Z`3_7 z(A6!dbYYKS2*^^Hpt`#oG46}J7chLJqaA=JxaX7S5h+AZmAjF2f7}bCxp!epygsfo&$L3l)!TW zd=!1UgY=RTQD{AXfx*y0F40Rg+(3|{x!_geoI1&4r;yI0iSvwA+CnUBc8&I(Ynxa- z9Yr3@YP!e+WEZLDV+>UZ=;%%+g*HZHEA?bthzhE-lHsN+R;G_4{cR;r?;zb=z=cix zalIC*6dMv{w`Axml}xZUt$#)pGaN z;g(|z_e4Wp)xFKUl}Ft}Dk7*rtKGXg`aeFS*X(FoC8bb!iYkjU^1Z#P?$*GE^L-lj zpX#m+N+`-{W#5(2vepaiHNhp=El;uCPc+#XS3d~T`U}y%&5Qgf88uHa=~?oRDEA>P z&deWQHfw3Nv<-P3ai;^_(gm`C>>u7c*-qp!r*b5#Hz5(|?ZLMQ&-68?Ur*e6UfG4( zrI%R^lP}v>$qZAUm6a=DuW9%wq3piIq>*hiElrmw@`Eid{~df!>-am>7e>@z8y`M3 zf_*Pt$Uzh=@^!o|b*wXLuy(0)R9dD7DOui5c#!UpQ%#{esvRZwM$Nr|<}NOmwOiA5`*nH~QU)UCy;UkgpJTbJTBSMWm;=c8#LrPp@@+ za@kL9p3%20qvI9orD0?&h&q1oz@uNtt^4xY8nQ<8{~w;#z4(n6-c{58@{ON1R-rd0 zH72oEp8v3QMA{ORS`(K6m~$a!3DKtsT!2q8HKB+_pSpM|e=1t|swO~3gNTSewef^c z!)Oi*q9r6Oe6{?kE|fooSu7GeUL-oAPm_pk63)Kq*;{M=_4~LYIua<7H)P5%0Hh5q z?fLdb?japKt_&51ZE~N~s5H)2F=e#31iU_G8d>($MY8c{&j2*;*1Q8Ox ztx9s0ow4vSI*RoAaHPSD5+-~&C#33SbQE>qVefaV1JM&!EH|CgZPa~hM#b7VVDTG6w~N} zhfR(l6nI=LvapVf5Ux(T_VZtSlY98NbMF<(hX{X+9cw3fF3AduqX=$2ojb{;3POtT zri2p#LswB)n+#4;*+@P_I=&h(K76rqGN2ZLF%Un5xMZrhx zLj@^X=pbSeDhyJEtw+(~0Rjt=aoN_Y>}XV>r`tTHnO#LGxsSm0F{MYtimC(CQACy- z!#*=?3r%)$pGH|N;PzlS%TfD1!OdC8ZpC0T1|~u!hqWT%g;gYDt@kUr@Z!JR{ro#> zwtZ){vtYw$a0?T}u8amZ%NhwxR#>4bh+AwzdLitzl`>vpPcZLuSnZ9f6%o-*p{!(( z*s9%bxWYA|067CgvF{n!Ya=RF%&TZXpRJ4jdd5W{Gf}fIaXIhdy^uDWCi8)!!Iv8m zp%*bB0)ZDjiP4;^)BQvcIE{8nZbNd#qNLBZsk&q4cNa1AX(WIwDj^EZy0Hm-r?PXA z!`M>qg6}Hf7o4*&-1mV~JYg8a9iSnJZf;|4C%ppP-XjhEQav{}F7N!n?w{R;2^}3V zvx6;3il0X|4e+4L{Uvl*LH~IS#ogJm*3Y#RCU1Hsss^1l^a+$qHUfma$OYF8TqQI* z_#znI3}ioloa-d8Fhy4OnfZNzt79%hgy>;^$->N~Fq2tH+(EQNMeSlD4ZMvuKmj6x zw*<&bnUX{csW%kU=4p#Aa@p}qFmDg6PH0%?tcLEw=}DgqHs<`%y=Yo=C$y|AoAESzi=)G3Nj-@s80ue6Q(M(V4@^->_m~NNNQiNYV z4_ahG-EBagP8u3jFrkO}0JLDevW<;r5IGZ=^qBJ-Y)!OQ`ly^Bwqz8L2%w(f0%E!w zZTM#dPUkiohh?Evl;s_c7LjD2O$AA=Dyky6>(ybxruC%K8khtVBi5p0`uhQ>f+9E` z?66F9>@J^%6@h6Raea*ON4=yx!$JTOAHo?3lvVOY-zOuDfxXVP#@_$mch!7lG$INP zC0O1mdrDynqY;Bt58b9Hp%;X2SsK`SCe0+1@h0%&ii}ErOS-kkk;?_YXq$kAYOh3f_%$Na@mh=o5XPYU^#J~nz z3k)+K81zB-W`dJ6h!8&^wSvJ#3;1A+9W(j^>jE*@ijDMa$tfdkSfUS|M;ow2gf_?| zrU_xjl%<%2;%(!YaUqh!Z=IS_U!%&NA#!BMP%?Y$v0-jH9q$tCI5kAzm1>-h;)aq-nu-+)+e%>}7Zxaz7M6g66Mm0*_k26O~1EOG(3Ar^6$5No$Hdl76qs37Dq$*5NJk`1O1V18U6VqYZtwux*^MWm`Lx>ROmgwvt z%rfP5u9xn#gi+msq|J39gP+KJ-_u(U>K+u@#&V*ETj?YXZFkf^z4VS}{?D2Z z{{1TiF`#Glm?f-l67$nu&QTh|XY4roSKJB@p%<<2ByH#-49hrPL6>uB0uPeG9~e^l~* zOe2mAha}zwbsjE*fpJ6**a7hjA55Y<<`XOimH8%K<`P{gjC0`}O?dg!2mk)%o!@-! zL*HDbNt_4J`4>5I4AD_7!XMB!*GKq61^(D-)br#-Kq0A;6SiRz2Q*1*k9q~LL73mn zn~`j6vfa*qf-pgpd(0v>^xOWpO~ES+ID~8c_k&qxg9C)@fDj2+$r3S3KCmJ%(oR-} z$8-7yM;r2TG-Oh71?;jnkf<}}!mpO}vvu|}Vdgr!BvQ3bs)6Oo)MsH8T? zOCjE1F$R{oBVrlQXV6P$B(Th03i|Y@nS5g)_D11%tr&!~7V~6hjM<`vmF7A=0U#wm z{@6GE@Mz7a&aUxIeE@(02@+5@k|1u6T1F^H^%M5cA)Vx)J@*3xw{jJUuPKPWj&~?H zr8!y5u4*P{fm0Y{3HUMsDiA(UC&yS3NClJ9xzI3%d~rQlW4&3l9AUkwhxu=~%n3vb z3lRdfE68I^9|~-ZB1|Jr3AUCisanh@u`x*NL0cFfUhm3~6LBunh;ydY0MN56Skw!4 zGGc^$9pP~X3lc=jW3f2wpU&cpTFk$+EQzc3I}6+ik2zU=gDY66g{Q%P3|ku#oUPA{4@!Y;V1ZdKP7N zYNEg?1~T)Uqz7F#*kBsQDwuI~&;BB-2#pMl(9Eoc1r1u6vMPQs>g{B(0rc8n`-zymzm#RdWpE)xl&I5d*9 zcO-&0GfD(+<~FmsU{luc0NJ*zkeROJ9V^&CJa4m&&z zA8L-cOxa3L^qIZB;RBv%7aCTn2Vhw2V?x3X%qjC4H(V|9&tiq@F@^pUqk2o2Zc{`> z%ILm4&gHlwr#&RFq6<3XJ)!v;Y1UVOn2NQ_AQK38g7iRVjOd2-e2v}A2HGE5X#?Vf zW4({a_BsM;gWq7jTE#wbOyj^Rb6i?)TV=W0=$s3d12GrL<44FpshQLW5%{lGw2+^SZ_SOH6{dD2*wUXZqV zpyy@iVYNJeD#AhO<{6S!8q8}mYm_5wJ(4M6f+AMod=ntu6HNEXavLfK!iJSE zQ*#~PLDm40H0q!H(ZLfB*8Kh(*5sSOen3EO;PD7SrH$Rd*(%x3eN^Gj^N`)c2d2Zs z;r`IE6u^l@8#S2YvK#_09PxlWW#UZ1a+1oFxj@253Mxxlhk!fI+5B*WpPrBUS|}%eptm@ z%s6uaR|4(xFx>eED0Ig-@+M=x*3X+R@19iqKT4Nxf+9Cdt*59{PhmmC?1T(Xx4C`- z;+QQoLj)t-Z^%1Bl}*bC0PgozpiBb^R8?Qe_x(12d6h$9lbMD380LGClXxOXnhYkF zaDpu6Mzs;U9+nD{0M;t-{d7uQ`CEF@rnT;n&Qh5AF&2)*IFjwd> zA7$-68eF1sTHgmVRo7%tog1v@e*eelDOMk(TXfY3{b!YtIv0{nsATCKcHkRsZHNT` zMu$xQL>O;Ypx`r*?lLRw#p)7h!X~aGj*Aq@=}zi8{inZv;KhIQe)@=agLoae(dLNF z#La=!Wky_9PtU8gus|MG|jK&PI%eVKkUvn)&Ia za2?mkCPjrPq_C2Z?v3;Tp28Genlg*Mw&*rEM6YNnIz1+|u#lr`mD!RG z%xV5;2Q4#Ou`Xh^#5N{9V;{x5L>&t^;;k&h6g73e$m6a#-$9oV2nTCTQJU96Cp)~K zg56pnSnQk?s!Pz>J+K>;62zWQsR|4yTnEY8jELKs(?NNvx-7hoi^VC{p9>oJ$j zDVlZMT?d}~;JY`zX*r|Y;LPMHzEec!0`u}XxF(d+n5sUhOG6SkJ-p$fW1{Ps?Q6nfnbcuT~p z97(qfyP35U5h=c4nviSRQ5I1T_NwHEY@=|JuCbhP8(6Mzinh{Y03v0cYje+GPmrG} z6R#=I50||Ma>w!Im+Now*<~gj^sr zC6@&okrsR@=hTIKex#c|G7f2OFPq~uSx1cJwq}^^#@G_7ZVIVd2GhN^+(y%;l{WDs zD;<11%e-oZWLK;#%CuPBEB5_t&ebiFhQ?~n_EFfGzV2ukUmrF$Ox1D2F63LtHKUn! zdO3}b)U&ic$u5w>an?kaQM81EVPHVo#&0s(i9X~VvxBE0=7w~Scbuc0YtZDX0#PTY zZ!+LK!tOv60)u!*#>jr$q!orat-6%itJGu98pqmD4P0lsiUl zjVppmmf?5;d~Z?v0q-E9Rz{~u&f;@hQqnO_u6*{h_rAVH|K6*p2&rfIQ1Bx2R7&iH z;sdKI#Ga#i^P`jW5lFq^(8Wa?kwwRL?D){9kIj*L^XuA4yeMXU(Pw>(!1=B;;f2dN&6fEA5JegqDsW}4j0 zYC*}Z*QFoAr?yi%qC}8IsDvYc1#6Vl$Jj%>p2s1Xij5)QXGF*dY;2?fwuG_KR$d6D z;+9~0Ctc^-rwjDzSHAn>&wg{2lCazC%uO;?WixTeFzl6G2gmXlXn&LnG<D^NTC;L9guAiMK_l94uS!9!I~gx z7(}f3yg}=IWicR>jRF8VAnE=Yd`*$paoE7hByELREVH+pz<+F9i_QW`&uxvw`PQ$A~}ogcJdhGir;1ha;obEGm7#3iD2nZY8ypJIz(TW8kpF+cE* zpMCtkpG>~%e0&?}Qp+VDYgCQRT(`|d4vC=lTL?9D^YSyse0it3jK1>$NP&`gzdA93_YXq}8 zQZrZcG8&9l=d|v7Y=aA;Z3P^>jAcd{EW^PjRLz9tX7uJMz`-npqHHXCf~XVZW-CKM z!+>41iA9nn4;(dm^M)%WMV{ zN(2SLXXAl!i9QyA!J>DOZUn1cg`m3vJ6>d2aWpVnga;#QUCghiH7*ljw(_}kp&{D^ zV?iH*MJ}=+fZf&*VV3onpxq=l2kmf`-vWl9;Ktx~b2IE9^-w=(nc?6#qZ@gx;}154 zmEDmLY(eO|od&FFQvnGdqopQ*Qn+WQ?J7OV*~m#6cChSCyhX`b!V@Y*>QaK-b)s&zbjArND{iK;{K7 z`+FP0lf0~d1lx__F}8{c%}i*a`8!|&?zJDoss+*&U~)>HHmGrh*kz;L$B7g1o%JXg zru`)0*%NAjnK?Dfz4!N1nKpm?_S4*YrJ;{cuzbw3Z$_>PQ;>4@PIvkcifmR;TSzu~f^5%id66&enibxxH$dVLX& zEG~dE&CEPT`%jdY;nnz@RXhW=DYZ3(SOGRfd_#z`!sqBwB{G9fsgE_6dGIL9MbI#^ zTh%ix8Hh`oVOTK~#HX2X*y*sJ+upL?#h{(6S2L;^G_$;wwN}^QN4?1E_X2C6=@u31 zT6wjALaGMKJAy4KWiRl|hhF>Q&kw(R*;*xLJP6ojatxr4L!?Ctu^zExs3_2pK})yB z7#?b+g-8qn9UjN5g`tbPU3%7u)?r@-JUnGY_|U0kMd^GHnPKvL+bTIl=Vp4!owlJB zM^L+B6&ykmZgE<%1}|HB+3!HnRwGy?X%pYjP`|bam#r`$XgAIScxcleB0@Z|I5ZZ zv{wlF12PIN`T~KEVvHtI$6?n4chUuf4n^!4&GLvnk4NGW*ap z=@FLN?2kwLH%64^68O|coqAeQBDBc(HU?{J+N`rzfEI_b64x?}a0G46!M>G)e`k@W zZl_qG_Zns$p(^0wFR`iZC)w#$sse2w*(ZytGA|-84K1(IuP88Lw3wF}^=8>B!y4f3 z9E{E#Fk0u8ZZ~-0k<&eYQsYjol2s^AdZ0ysnbHlo*hu_{sx(q!R%Iv9H}vUQvaU`-E4mQz6{sN#lOoxap)UQ)F5~F3{ zC@WBEZcx{kq04}{*JUZq{2@$-W!wlMuxisu`9`=V1zt~9xpthd(f1X$-3+!Z3)EG` z2KK{M+!ztKk(3!1xN+2bDRcMo(7KPV@omh3m%;+E6WCHAlXQ# zZVBSteFIjqeXd`-O}9oQahrM{;%tyW<2Fqg<%nhC0-38riGZpGfsT5DUTUPy9mZ)E zlp5tFZJD#y^5&Mr%`|wB_{GhxY)dP-aYY_+CSk>rg$i3oCF5~Db=+^UN8D~xR3?=X z`OuN!il7uoMiuq}nS7Xb*?L}oyDmB{2GnMi>m_E1dx9X*uEb#FWBq$<+f;~gRmp5+ zT4gUqLLA*D^ZUO4;lDoo!k4a7I#?YJA)y6HPlz%~r40@7IReaTIEg4eJmP#J`T|a^ z9?>aKz5E!xilX+)hmS{W_Sd2}I)>SSMJ9Um@F>JQ1X4tg)yTRnjN+WAThSACAtL%n zF#M#3T|D2u=gO|bKOS3aVfDbbhOV`BeBr0=Tj>8o*xSdoQRVyNlQgCDLCFk57-)c; zVFDALA~aRhu4YaK7y<>8qNdojO^TJ)?z$kl==QFeVFJTLK`?gF+qDgbijTciy4JmW z?@CH*?7Di(c6+PWz5At7w{>;BP^fKv*zYH`dw;*z@1NiF)d7;pWagYR=X@UC@Av0* z`ZcHd-#7cVYDP(>XQL7yLQfe@UbJMzycqIX3rOk@@hzYY)vvKl*TC)b7rl zeQ06y)y~mKiybIv+kb5t<&JKmB}Wy|r**G%xza_Swi6%^z|fNej01qXUOu zgELHwLe(t(p~YA2ylrvRj`0Qm0xH_`?RGc-s~`F1G1L|N+WlvnG*AE5Cr)R=L6Y}E z^+Kp5Jg_Hv#`g_^BS&AmG;t7i;Q^cb+^bNGtI@k?g#+B)3(}Q`ei#|JC;E|Z`iGYe z9~>>dGyw;VZsy&G@#1T63=|-%-!t*inJW)%9);(0-wyZP`yTQwsIPkAJl>(X;va_( zO4AqcT+Qb0>bdI8uR-;#eKqdsjSGhdYVhd3?e1gt%+nX89CzhQ2kFtvrw-B~C_u*9 zPHFSr)xyCV?|djEczrP(GyUxJvr`A5G;+lLko(`l^M?;ks}r4H zGk^A)zHY3iqeE~qxSZncr$!#N%|;fi2k%iAN})R0oUQ(F-=eMhEhrUcbI(aXwC%IH z;7o<5MxY?oX>K8O@O0v!&})mf^^rfX9o^WQdG~YwRC6vmKmD59j=g_+V8VN4M~!cN z==a|B@}JihZ#-BU-PnQ8jm?|(HqJ(`z|3bK3N3E0ALSSM^`raNzItl-qVMkEznq+V za{twcYsNF6KH!7WnQi!^GqdRN###SDX+g#Ustfm1yU78E0te(f z?9r|5-p89~q1N=d+*x#?da<-F)So&yKt@k8P^+53^V2zux7|I9z6n9O=$N90 z_rf{)ppdB740XlM$1YZj<8_@+9~{PS&gRNV%4`F!P@o%yCt?g{s7!R+W6aklibyWXQtXI%8vlM<95 zQ|(rNyK7$k4a9^`!O{h9V{Ldd)pz#*y}L!5Z%k9>sfhtpJylXy$)5_GshIWu28}~A z&PgXfKsIT?zn@jieUqng@U|N_ z{sewaYznAEM1ZMDVE{}hgbti|Kp+MZl^;a~VwOS3LnN!gWR6#z<<8_(-eG|c8H8sP zTE`ntDXQKH7awMW;Dv@1Krxp=l8td7Narqri)#StdN(A?WrpILEIK1`1GeZaAeoTH z0yGEy&V*14O@f;$f-o0c1@8d`Iw)xY5#Ge*>cBEKQalOG232oVfKr3B0o1o3Adn0| zBnoDDXuRb-9yUs}`SQZSSDsAixwcNj>yiKp2N9u<+`1Z@L;_;HWVatE9J)RMvq^xu z9O{z|ZVe=0g7;7=r)kc?URD)S@iIQBuF^=51YuNF8FQMGNu>rs^k3J90z#*F8>ET( zOi|Gq%%o`*J1Y|a<{sDj2*cBuK?Y8OyQek6QNjRhicD6KOsBy}VCxy&?9su)LJm$SJdIqpSYh^i)Hr9aO`KKyqDqC>Bvuc(?w;GIS!JnDd%z)ONH^#3+~_v6BG=#71dw8g7MMM4YI1sG z8dJ_`j8IZwX{&5ja#aol0*y)pF_uB4hH@3faWCnNW2K;>T{(+~c8l3upXf2(fXga5 zWx+PHTH1)(Xk7+ymgHO9V4ln0v3E9XO(Fkyy-f|l$z0A~!CfYcS;p;GIXQc_oBu?U zsCMa;c1kutRR*jN-pFncIfcs98ToiGF5sF6t~EH*p@a}L?s-l&eD}iXF<#= z4d=gWA^*sND4w{4dB8csI5jlXo7as!>;1Cx492Xgs+IC=#bi7|y}{h?nu6LWNh&>) zsAo|li_-Wt`@Ke$)Nz?IL56!E3}ul@S`jrS%T_3^tH=Xo^sLw_y_YLLQK1{rj_6=;SJ-3JMFH zTnko~uqh-?mShsEIM{gu?vV|l$yVk@#wyQXzmuC31mu*d!Trf35A=gQTC&KC5dNov z#GIf}&o(9S^Q)RegGLgj?-$H^V;FJ51MCeXsuX-ZT!17crF6l8?&ZfLi_U3~ zVdOdkPDM-BNUefGa&59j)0SgyinP%56jU$PTl5lJrW%RzVe5!m+8GO^$DG0{wN=m= zlj~qH%*F+|WkQC)jdhZ$pB7n`<1LJ)qd^};Aat71=ah6PNE)^z)<=nHu-sPEoLK6r z#ppT?Fy4lpnS(*X%Lbdf&{QQUf+m51cTTB-lZ;vpnG{M_z_NU@WvO-L)!**=BqiH^ z{f?)cPX}iNRpvftVKL$rpK=q?%+kZTktN+xL3v7*9(YFnC(W$$m9*UyVQdu=84rnC z^1VVe6}anIc?ks=XC-^Mlai2uPR)o@66GG09EDoH{GPBvo4O~1L8qj0X;AuwsK~)X z5{!7u@A42fj|fg*mbHk}nzK4! z8Qan(>!31BWqWf9mQIa~mk#&i3@za1l8Z1^dsYj){e-fIUCmYrKa!nNc^zFT8JxD| z8PKXU5;9&c5w$E|+rUNo%7f0o{Oo$;`HjH20O%w!)-E94iIOE0Tu~wDElZ$^o!aDV z=v28?oF4pL&_+@%1T7QMMuvk$q%0gXutwc{NTxsya|#CyIGC_=&Vo5ZC9h_KE7YX` zx}ta_C-c;p5afw6{wY~Yf_z-EQ&e;qNJ=ftGRmno82OP~F(Tl4hd3uh8DZwGXyT*_ za&k8l4BJ2enLQ`bg}P!HWfzC|*YOG8 zS?-n2(#xXoezfx0Bt?E?QoOs^74%wu-@E1|*3mmrKu%KpVI-YLo!k}KCVK3*U|$ED zVvS6xmAvT`VC&mWC*2FARw#&WO=%M*vrPRb`$@ch5aqJZb=82f*|aQ5LM)4{9lW8o zEN`+6OTQA)ob)qtfJexY7mgW6T%{w0R92RfUhw{_ecW_)E4yRewM7fk`V2Gv-DD_rj(fGc z$x6{ZD@JC7)6q7zt#c-Gw(s#6<-O}r+8Ot(Z>)|w&K}FwIyhfCUrbkN>m6yLc5|k> z*kHeqcSFPfeBb&yW=m5yDVMp))AF-Ch*i`cU-@i;8^VoK%{!^6Tu1?1qA4%p6`{3`r9V&@<;fHyZWWkNpteb{tSVc9SH=9! zsN{@0$Bu-1g?z1onJiM+9-ld5f(F=u7VFlI#N-)u79duiX_^}(P^zN^Vp*=%P)JX% zmY5Dz9r91pGTfDWe}>e{dN?9;M31_-8

    vk%#j-kRp(I!W8NFOV@k5qrW;h5> zkPGd>eWGA6NmFktY$OBJ1Xr=kw4girrWY`|l?31cD3U#*F7*kJo?DInh^dB*0)xP6 zed6#OR8KFxJ>2215!=EBC3;b8%QREHWoDCjTv|>1`tcfDr>_ zsnrE_`Pi~TT0xa-Z?m8T986+|gw>KU%JW9?)YKB1e3}vdWw}hDR?UET%Mz4^$Wd0NG;+tSPWLxAxWteXsdHN z3TN^|9FH<(nKa22$&K>jM}IxA<)3c7e%J8B<7T`W;yp}_inCPF2qMH<4=s03(E8Pq z8~b{w)9O&Qc8^pfC1Wwjax-a)pSPpq>LFFtQ<`Mc06c~eKV^~)yM?Aq6i-JMeibdx ziOgOs3F19+mq+2$UuwZTy)(XlA+GRi&A;fPYP*-xNuXQAzgob&WC5+s?R*DcJ$8p? z<5$J2m#8h>o_tB|Wexz23$rg`+~;S+WHFe$5l3u8hXnSJ2bhkLG;ON9j4$%S63{u> zPg>qo+xebHm?L6y!N?#0MT#J8qBexY8@N0kI& zx)0SaJ^>xZLyS*wbvUDs@x7H7BJA6 zas&}d?nu=4mW)25kLpA#N~4%R4h&RPcTi-X^N@sq{a{_pR51?iP~#+1&_ zm^k?^>-jxn84E7UU@2DX=5N96O;2vtdum@U}wC) z*5c2WD*?tK5l?7%j;rRzK5qwPPjM5IT)@4g$^}#?eZb{;(NoTp%#5mgp zffLCa6w<7+WiINybXg5vqAkivxy+uS-X=O*D$mGMQ>LD&XQkwNO7x9@lmn|&cHYn# z$tl_1^5&&;cTMxxN6pm!Q`{7Lm}Y1DfcNDPf=kRJF1|0|G<1s((1BjSi^>{SMYUas z?T2|9zKQE$mX%s$m5g-@et>PpAyTGdiIbHkC*t*ti9ABmt>z_?cnHuE(leY?&(dK9 z(EP2PD$&AivdND8PPGy;*L{71bMI3**;H0!vo>zB<+8Ts*h2`Cw(xf)ldjc&ZRX%shVccMoc|9y3hCEQ&i>-mE zy}XMg(V+d#U0(-&^3_lGf8S!sU=}7D4RMPm@FFOo1>p}_p@Y~U4ax=7t+kpW%d`UI zlVp(dItLY%xuPMXIIMDqoGM}9k&_5GTNsUqS|DNs((zCn)k_5>sYfxWxPUI}(;R7_T$T%T$D!b-Y7@YbEGq<&@spOA1xPLi1>`rk za1{4K71Z^H*?$S1qCN5^m2Kpl%atJpD=uEp!+ftoxoO$5Y$B*a5k}GIwQO}Jvqs{= ze}C1n|3CjBae)0Klc%V}DpHofm*EsffS3+ehm9zi3=#qZw7VclfKOfPCk+~$iw`ln zy9^ePGnque8wIBhCWSzd)zQKDU9fQIEhiyxvlMGYvIt%qZABC$#6bKnp$iM%9VlbN z6jh4|afza%EeSCMIuPQ9psk1_8UwcnTAxCqCnPwJ-a-h?PKwnbF{pl@Wg#RUY<=*u zpvI(yTNpd&*qpNc@x%vUON5;SMu$a9J9%C%btaRRb`aPk5^@ckqPNUIM9%=a1XyPk zVBLf!B`9ht6(n7|GYlYk2ryWPj5!rLp<1X566iem5ra;K;B`SzDD^rCfSn&Fr2AA?w zc~I~}41hzH145m+TCmW>d6_?@cFX{ij@QXNpIHQHY1$=e$$StIK(y&2bd~~6A{c>Q z&H)&Cjx0h3**FpmILm;E2TnA{V~3Lu0MJ~&Uf|uT2Rta+U|h>8PFh^Sf%0aunNG%x zQEU)IWCgK4DWA5?$w4Ty&KS&LQ3{ATGhLVN{mJD3++$)(P8D@PUx$It>qKlcs9nB8{>jTLhjHb3QL`0#S0;SUM+y#dir!LqiGMZ6A8W!{< zM1heS32C~wlY_LuB@lW(Ock{S~W_(3&t$_)L@-U5_0*q6#&MX znsS1<2;OuXkThloH`xT5K#HMU1WcANt8pI-tifmoa1&KafO>HQ&5&vzP;Y`D=qooX zArcv!f=ZN$WRNCEDB!CDsYfkOlo^AS62%rQ7=m;w*ImwG3nAC)EyOa`DJ-ehF24Kc z=O>e%JSRuCuU2IhZmqG6^UOk~+MKW+j03(y9fx`XV$2G0ZAM7C8yx!fve;4uz){ zDY2klW9T9ftxaMHsiB(!U0=OI@`-z*yxr_RW!Ndh4lEHFNJ*$|WnjVDIlo`OpQ;HL_Ql{uv*1!9q^ z0UHI4%hQ33ig6Xp2?lNe-MX0b_TT^f6dab=KtdZnp{FF3b)GLuc7nA-=oL%AXb8e@ zSkD9rSx*HaJf{)MNGAamMvTNrP*)AA2|%^bV_@lmm1_}T`sAJDQUNKlptzx=5~NlL zdIdK0076?CcIq=}Ue^hlPy(Ee5J8GE5woC-I)SxJsTguJD@1|-VFg4$yFC_ zeLysz^$0?W76JDL$!=JFFpDEj+KlhaWAmJ#02q71+PHm$e4T= z?RSC`r8ap53lJT(k##6p13@ey0(u=zy%Q$*v?PV_z)I;{NsCC!m!)7VUu4V(sf0k+ zCO@SRG%YG@lTZgzQmbg1P(VMs!^=WpFz50z!jL93vcbt9DJ(_F*aAZ_97PZzDRV`9 z7Kl1Z5T@argB9|SbFGnRqa|TuaD>yKY=Tu4^+9l48fb}nL1vebP%N4dKs=Ff>=)WO z7D9k@C%04~NN+8Sq7^(06U)`Yzd!Owiu@4VX<{qYc;KYdpjmLr$cw^|)~BePjv<|- zN>0+19Fgo4ZeV0alzA1UF@{_a&judwm{S4)8C@K~h|xQt|LK1Clru541MR*~Ch~;a z6erRJi9QDMYuIlz+{6pZcY`}0FzS>oF+PnZ32g<4ID%)z1}Dc#Iy}HteB=3- zZ;!qW_m42^NXCOzf;9{tFBs&)RFO6WvimHY3&tb?06c;j41%~B40=D-#WnojS8`wJQ@gh6;4#;BIi&VjGC zpSo?z`Stz(k@y2P~pL14Lse!9L>q}tF4ZXrdp*{9U&Pr``*LD@95U!`izXG|5Exjx8NEv zp31F?oI<{|L2vcC7t*&$RU|Xe?1(?pyyW9a)xtvv^)01^ml}+LJ7nja=2Z5+Pj8Bj zu1?QX+c@;}8g+V8G_B(#n>VhOzAjnIj@|x);5-UR3w3q~o5}CFYPVOS+bbk(kiU0B zt+s-{MCDahAC}6Wlf{`>t9*tZPu(asme0HT19^;fl$LXOYwir&T+g~^YRNKNQCqY3 z=CNI!XEiKuk3HzBJ1~$|HbwT+?~w&((ISWIM6H%|?4Ig)+TrxQIQ4OQska)W{Jf@d z>7Ic;o?d~PIVLSD5-^Jk^l`S?hCJi|!=3jE>@BtB^e5Cw{!H_nb~Dq$DOVo*;P_8d z4h?_b`b7D&Qz<@;F5mCT5AUum=FdD$k93gnN2&))0(E4UwLot0=4fNgj|$|A0xiE^ z+H2!7x`pxfv;5%iGm1qw8hk>#HK)0nTs3y`P}A#^rqCh$(~w+nm9cW~%73IAsNL2| zq4i^hd4GU0Z&iEE)#7`>8M<^3w8^|bnkp3gqL=y2Bg)Nhx2R|V|E}D5w78*$57qpJ zIvMZ2gw1Dt$2V^Hl8xp2;2#1^iV*v-##|FT(X~`+-XSJa?TGo-fy`yS|_A!&a?TJtY+gSFC(Fw*6%gy~Oy zZX_TcpE|3&_`F~_sf?3X7^^u_<*pH{nrmyfPBlm&*6~2z@ve;Cv4`1hNn+k(TywA~ zKMe#Z+U3D`4wdumuYYn;b$;I?s~pSTc!%pHpC7EV@8t1FeFc4)+1;s_hQ9bXkV^k> z?|EN$gR;GXe@j_=M|B;|hjM41nQX_!TGTB+p|rSn-Z~V}YP%LlyD$1~K5F27`!CvX z(d0yRNAqAV9V_7v4)^%_y2&|Pr+BjS38b`2m&sl-i?!2J=LcK)a7Xnga%7!LoMqUV zNX4J2FOWD1;P1Xe@BU}`Z@49nR?2-!B(-yKd7?v$6l9f8Rpw5x6Uy;yE=QqS;(w$X z*0G*Gv8moR(suGiA3<}b?2alaj&`EC45blISC!v?^cL!`$1R7Rp>DI= z`lh5xfA*p13+V#=j5>I~RM|FsC&SHZWLa@-o+gY_8S_dSweJ1e48`$fMWns?gvk>f z5sIo`=8ENX5g)C5H(l$MQm1}B(zLF6&0R@!tKhJTeOtLsQT5l#3oqp3A4_Xx?_X8B z*>@v)UA2|@OsYZ-=MN5WlMa6LH|_F-#`?y-z~fwOuXX{0ZKqvv zZ%m5~_hzoAHobHn&lObh=I+bhe{QSO2kB0}8(3Ep~m+v0b7x19N3Dm)9mxStm!iwa9E zm=z@B6ogqsET=KJ^f40T{TKt-1%r1PNFcomD+G{!#m@4(K*ki{P&HHWCR>0THr1gkcR};lBkiJB02o$i@=56bxMg&QCN87H}>wwn-h&BgqNmnIz=&4j^J`rDcu#N<-MqlJPt&4%O$eM zyo#sBpXqk^o-RFAPU0IuG3g0Vs8!ZwjF3GbVlAhQ08L*y#jn*`8`~Y`B1hIbls<_} zljqr4lzfcqA2CBomdPC2uOsu(^OYIGnDO-t5k2juhid3T`erq?*>&Hn{8*}lRBxw0 z66C45_)Qn$KRxLWH= z-<{U6uC>%S3_Mw}$J5IRFLTm5629S`29r#R?_p+p(K>`J!6jNh}8!~|~U#rl=04eXp5-WY>d)VH1L+E;25(Z1d`atj2K0$fY z&CF^N*IcEQ>}l=_F)3F9< z-?Z2nrZ2h<-`YJpR2wjm)tPzJT#PIfo|7A)#rh91ZUpUX%YQ zQ>8UDUZ=H@L<^uJCsc?3$C8}ys+OB?YFei@ajKC?*&r(Ik}{_~9FMRBBj5|IJ?tte*^C()PdU1`PC^YFGT)+yjtvS|Gd79x_Fcm__DQCh{&d zjxPxDf#+~s&go_va{LUVtn|O`siuw(ug+lpIgR-R&T@5>x)K9A!FF?(uge;<$}e}} zbTlde@)Q060JzuOM~CF&?{%r39l6Yur$6sV7Ahh~x;}2C=jaW%&GW4_ zeKLn4(OxPIO{hxvUGC6<<^dF)S6O@K)^_$veKt4I6y?VDy=RA!=cLEADBqpW9y{L8AzbwjyfnEAHFzu4N#G{j6MD&l(RpeDB%K)HHa8bD@od0TLcfmF zZO$e;MU&G$YVaPl#l65gt&V)R^GH}^k>?p7l|*}9VJcOQio9Gg)`{6x`V3hS=JRM_ zRKZT(S^&+Nt>$505%M5KHAb~-e}WpS(gaLOhFBI3hMqI2V=pr?wUT0`t1sD8>sDTV z>igzgmRmSnpB+AtW=m%yztL`#CiGgDcqXJ?5xk z?jNtGLw{Qv%Q@;R%c%hguVZV&pV->ZT)+#F&1116lb?m>r2Ai4Zyo4qT=1s@klQPN_%I z{OMk?sA%loNqBuh-G-j&kG*NDn|N5Yj>itU=IH@zZ*;TO6UY~Sdpg|Sc;RQ`!|&fq z)`bqLqtip4d+8V7`{?fZllz7|3l%xje{A<&xoveuy?{=cKl3g$-fye7zIy1yp##xB z+X@z8lF8rpvkJSZ6s{((^f7aj-EnJkRprm64^Yj*?)B(k-MIyHOZ{5>R_UBnKT6Jd z1}6EItjDFc!Wr|%FUeDnyBCXd+}o~N@Q=I8swg( z=5~9bt6vhJr<+PT?t&$HYx-U(O^bAd-oooLi^$7dw8~minFG;r-1>3Is*G3cf@R`S zPzKtC#a&*&*QN2VX0dgFj=cvv)Hft~o!ty#ty^(zAYJH@RamD-Qq^%xzk%l=q<7R| zbvLC~tW(f=e`%+0T%}q7Pj9Vov9nNBzmgK&avMk~gt77URP|{jrMf`Tv%`@u+_d)BU?H_2bzGf>MqZk>Z0bHb zKa}dZWpxFW&U#nlH1jg%ZI8Ri1SIgeiqibNgb^CZkT*CZM#tZ!nCBL-jn=i?vYuM4 z&>>;gvy2qU!Xhhm=(wZMe6zX^EYY2h%cYXPc9M1!qxS{uI=bX&WUbIv+J>4O9i(`p z01{D5UYOo4Y%L?(^V(5vyO2vJSMWEuwPJ=ZWTXz^h}>j__I6#q^5y|H1~33z=#?o(y7%6H+XAZ#wspor9F<&p=pF#tj_q_380a@hvV z$z;H(r!7zlFS$Cc8H<7`)WkV;S_P+gdZcntl?5dqL@bpMl$r++4&}&|CEyGsg1I!Y z#Hx3eQC_G7c-{!`u=G8*e zJ)fTxc46}8_~*8%B2do3+Lxtok|+2a8y?KL)%(^Ai2qPkso@thX*TNxSNQS~H?ZRA_Ri5iV z)TpGWwnO3gliZp25rmdor1@QAxiN08ZgnbK;z&hnOzgaC-6uo8s~ycg^x5_rI!_(n z`q-MeSWaqLS-Oyl9=L<%kImu1>%G!T$7tcd*H|%fF^yiVEUtY5i(<`$Chu zylnH{(44zw-h?mDQudhj%lWSk1;1Kz)AvJLPnqPgtj^l4|6V@z17vTB4ZX4n@K2U? zCE=ZFt0!JBq)la2-7k~wCi5kR?TF22k#`_vej!v#)|5Vq(8ZAA1X2%F$f3BT@Lm{vfk}deY<{K(Qp#DaXC4 zHPK}|E3~N+X)eY}&N~R5@k5oS7Hw!C zgZ)JPgEH5b8@Q4Oby~hyCtgM-|6}Nl;qXA-Ff-KKUg66A_ zm7mRsuQj*EQ&Qb4nKIYRITz5~$n>pd*BW!o^MSu-+I;u~1lXHv=-X5CmGs}#huqDp>3IRbEZ6I{^Ywr?OEz8#>ReS<~o#>W_xE#yq@vI*H_#x`1H)ogZz;>A{yu`*F^Lu$cXzPq^l%g1djOnCLRlysWP+f3L)C zjxF&0t^EOWAjKBvFNXVvx8n0LhkgC6ar=4{FBAsWsHOF5K<>13ioR%mxCl}6u{HR} z>e)}mvfpR3t46W|ziBzk{DnEB{8J)mV8T@ucYO5IWA;W8y%)%-M5mac0YPIf4%y3N z7nPE7{Nv7dI_*P$nDuX4C$^FotL3ik-9Vci@ZqDY8}ij`<|lK<9qx|Ww$k~G-*@y| ze|c1{r{bQ2RGhklt;xP^!wL^*Ta@C*N@3{#Zqq0<+W{2-vg5*IsqJVkKVLEVoAb6d z*?5m2*ZYnSKI}O@SXsX_aH+ECmgCeNwMecgr{^5;9I3YB<~t`MGd+KGHx>6&_D=Ne zuD|>8Qo7!_-gk3SEqa1_D;%$LyFFvYe8I-cIE|VGi*h|lQvvEyE z?Pxm=9YIp$c{MLQV;dVlcy<&hqrVun)9MK@oM&v)8>f0Q&U@QIb~&7iXRY1S84GG| z6hs9^3x_N5)a&?pu7t1i_NxbN67W`~`Eajj4|8yUTsT~3&ErP15$HZpARMq6;XqC} zIz2o=wM9V6X3o7n(vLGUMiQ*meWP%hqtB}^hI>7L`=$pZ?^~nOzS+@nFxK1gIsQ=_ zTtt5qz|fufvYlb%?e!&WtI+TOGGYFW+k`&m3rfm7jP--@(I3)aHSdSr`cfbzp^bjFqx9v$GU37YMPAdfn09b9h% zDr#9Bmj|q2oUcYe$YguHH{8ZOju#Po5Wz8R+*z+Q9tQSk)JC`1+To2#{5VKNMqWex zcyl;1PpPkfF^axso}Ag7a&P1He%_HOi#K9(%7^Pr3p5}>? z^$4$km*L5T*Vl*XPShjLMwYWHgtK8Pv@B#9k2Yg-2(I0#LDYAo0Ed= z-k7frQ>juY5~idKvIRF;$aXJ;Ic6hcOo_K24};&$Lt}<5%`W@^ye?}gUNvf#`Emii zyDgFeCej&bE9-fDBOc0*6#Aev6%=ffyyCM|L$>?gP~nhqip>e3sAdImu*^evdMKjg zLz%tI>_p_GESq|2+85S}^kw-uzgM>8i`7brlZD8Py#dRr^E zp(z{J;ub=1P0PtvFDv^%F(nU6G0>^sUQLb4m2k&RBS6hFVJIqQKviMQ>`hYV+?km% z6(m(y_o>;hDW=A8HdGdJa*w+{QW)VzHN7WmhSK0VLU)~2mUim&F)QMzG|*|_vgcj zwd)??^GCH=a=2f}0WHbF^@@Kb9THo`-^%U-FTG@)L>w7h;YQZ7cp!QEcH4eX-K|Kd2MTx8*K% z9-M}Gb3MNm*v_9&mn*W5+tvF%--08@?S)hncZ8 zv7pViETcmD65% zy<5wH_|QzxCns*sS%;cnS%c|uwOaq-w<(X#Tz_OF=tuOOzi;b_oq?g3*G*Hfs`Z+= z9y3@Ub$fRvx>3#&HVI&Upt{mJrX$8fCyvJ7*=knT^nYeTry|U0Y6be$6g4xv?1RVD zxp#j&3`WR1_qwvXAhnzU9XYob7FVr@Z$I|RJAJ7!GEgD3dOvMhK>f&!e-Lj>lfeQh zq_fkiu<01M)UzF*qbK-T^eO)(zhLWc?O`seV>wI=da$6A+YTMLp%0@!IVPB|p#Lt? z@E=yRPaOF@H(joHz4K0W<9mVZu0z>Jd)q6Sub6tIw1v32=W6KON3Oh>e(X+n3;HQS z-?XMPyTKpu43zhb$L5|ZSl3+jrSRC+)yJ(~g5PWPTEzae$hykW%Urv`6J8_sU{nUcO?QwQ|m56}l5w`aZ3sgAp( zaaZdjyU=*qrgTIfckL`jAZ+zD@qYhSmkn7(y(O`153AwxcF6g_;iO4{sB)6lB zfI^=ABqp9e%U%xTP!C`H&hp(?!Rrs<*)jTr{GHIZHNG=JIu@&^VM?C+R zc)cs@y&NI!y~LbHzp3!!N^>ur|BhMRwyrO*?z4uz9m_}rB_qpKs)!o@>VkUmIGB)V z;nB4Jeg1MtbtMgtU*kl(|8gHn=TQ1+i8TxNqcnc4^iZ01AALWKtLR*`%iENHEbr_r z`gx+`&|i44M;_6j(;@>5zJjTm&Q$C#!V9T=c!FLmcMJdN09)iZ{I6_ho2yPh&zU=q z+;=_~oi9b_3*H3Z{1W3*T1KfKuBP+5ZW&Eov!gYq3!(FY@(=^I2m_rqGdvFJLvy2R z@Dn3VISSflR%UJucV>ipxt@l}Y-T-NfXk6%o<>mjuTPz??!$+|E@4CD3-$#(?q7mu zD#|j`$MRj9K6!Ml%X3s3`ap1l@#yHK?Nn<+`h#F2ZjB%Ji=Ge#kJ?Ol@W)KG>GmI~ z=>rdTQg>*Tu3dr<7Evt-Ze-vW2AJp@k(+;LHsSMZQ%Pt2#hr8S{Kv%y{?GN^0%0O_ zRqO+O!s$#vg(e%hAu=x{dX7|!+6p&456WG-dm$y=3Cx%pPX(5}6c6f6_xdsF< z)OJRWbhsS(jIoic1{s=a#%5rKQw8p1HRx_HbrB=A_*Q39Gd;a}3DWUva;?j}j$9g& zq_pR$aajVFnq1=*0gEd#q#($&G?@FUBbRD`>@p&kR}hdH!jTS6ZLXoHe9k#moc82@ z?M`{)A7sdDEl2{kjUe<+f{=8uOKe;MiFIwCD8iNajnF54!Unj%#7qBpMI-(nIh$+m zv+zm4swFl<5O&u#I4U^^ag_h>1~G?g+aqP)eDObjD82qRrK{nqLyq7QBmtFx7LwB? z!AUc4zkqmD4LuohQ*bEg33APqvcRdO*tt|($|#UCkvJXev2abp!6}YTg@kYOUch-0 zO{!a9pcERX2CWmoHZtHe(1F*cqMf`zm$Itr)aUXe+*9}lN@Aai6iH*6>J*X&SufWK z5d*4BR7K~~;X}w!iK3zS}SnZ*ValxMK&0j87A zs4Qi@VqaJ;b5^hnucN99mSa)>GQ+M?lWintP>QH<(H?yP$U*=p{M)hmb6anG&Uw8h zpi8c`JchUvv^Wc>JJ`c(u)#|JsWb#(FJ6Pb>MR6gwEwXs8k-|xMp5q}gK&rrVCR5R z6a@H#FgO89M9gO)ZQboTh))_$^=GO8*yq39WzT z12uR0xvwFFkLOQ;D$(~xSr49~pJ@CxP`BZik$Q++_&%5zy%;`tI5Z!&7eBNRwOb1p zk^Kbq?e_W}?>Eh0)qd3Qt!;higXrk|u>BcOAUbG!XfbNH*%rs_b<`E80O`HL*F0N0 zvzSmIDt&GG`HP@HgxhOCp~&W5j6Cday&x^TSQ6f6QcpbTo%qOiwGkAGt~UPZp@X-& z;UuN*!?A_P?ZfpHz9QA1j$S4QPEUL$%zOW|<6x+G;hBT{iN)fF(TT(NELw~A);GrD z^?MFq^$zTLW@z`hea(&Ec=tWjxbdLZbSeCb&+eUmOI&>E!MghJ#MSA2^x>;hzs%n! zx#!FF)ilnBU)f&2RXR+=`NA(QzV^_|n`!mx>3`0=FM1~;7t|Lw>~p(87s;c}kH1n~ zll9QAH{;V+r5v+${`8$p=!r@n{2ev0#+pAt>a=hWM8#hCL?72OA zv#n=S!7nzaypeu= z#Lc3ARmYWzZczIHjhhn4Dqe-M5;@gi*)Nn9K3nI_2+PyHNJ1?r+K#U#ba1*8?}3|@ z?am3)i>PMI&D;%BFdB%0J2rnhHK9c`x&WSAB7dUJqT!mvo8v0@)N7H$jvi{q&2^Ba zo7p~VJwktu;`P&zY6nptZov9dJD$#%@Xm)zfJg{AYO^})kJmSZr^`Wa2SFv`1-01L zD}oc3`Mz`?Q|I2Ko`Vyp6VsrDRL@EuF$**tP>~m&{!F_dZZ@9B000&uD}P35)KgKn)t9uBJgf2qB@29h`Wx-9>?# z(FnvC+v7j$h!L^Jva^m9k|o*PO?OkE29J=Lj2-MaiNGTVXPsoFkUZtS?5-G(m9f`} zBL!!btZx#@*ehqfH!F-4>^SlLkUa05v-h2|d)~fx_q}tb&VjFc`v0%K`u4sIRQ%cSV$|*KGmq7V zcLuwnH?g<0?pg3x!TIZdBHX|E*w%Vj&g0~<+W4)(ACV32E~z^N;nUzq4opG6Jw6y+ zgp}5wF^3`NlmoARGWi|$rU*+-Ly&7|o4NvEI!QhY$EO~2yP}&|2733ak7s(uLtldo zM35SFktN9j%QX{lm=}V}V9qOomQi)|j!?lK8f;3M^~!odx2n&3%VlYRIjMRzK;iCse^X$ThuUA2ZCSVS5ETk z844MLGO*{dd{v;IIGEd`m_C`TtR=Z3LRZ7Qo=yaT8xYF@Vw0@~K?>VGm@IVVN7(Z} z1cFv};Ae>cSjnHqd1S`HBB5}RwX(Se1ZMf$7k+nEUrhWME`S0rtcb8ar69r;@Eo5o zW>9Z6Tn2I&;w|8J3$ji?ysKZec0BChl`E2M0NN@o zdt^zBTQG&(5FqmqXRrxfgwAW86T_U>7T~zBvXC0w&p?V@>7X7s1nm2!2?&pe06v%=!nbxAHCyK<^Po7t`^W0wz_)Kh$CtanK|CCAzbg^ zzK)h_oO%c(G0Kc=6|CB5-XK^`f0GY4^#UR_n4PJ_|@nxx5k^ywN%t{j5_kczl( zh&r$W9A~0A;jkeF58Z<-V2VCDMuv!Eh|_3a|yPVTwL57qfu7 z#H)OLIihGjnh-V{p#uG z);{^;E3g5kMq-%FKtxesKw2IO*fVZj#O!g6t)ikphObqEyWUufwL0XH5v-WVBX5v~SsQLL!g}Rcm*ox;Z zvrK9YYhMt4>@U-r%sFejOG=rR%@Ne;@gS#cKBPb((+GTWm;fnh=*GHsMc;~huo2V zeV?g~xok|KuPTuL1cJ*nZ-a|V8Z`{rGrjy8oH^v&?Zo1WYMaTd{g_!xSS0BEI;2~Y z^Yn2T|F;FhlQdTw_jl0gorxqQ3ZKro@?@lS$<|?+zDq=-W=F#@QJ-gre7kHKM&(#^ zo?%0c)6hB$uHI2$&2X{~qtHW`f%Bm#oz671#MUN_izl^Q0HP6vNEQZ8E$CI8M&DE` zLMx%6w<&Vhppu(zMvt~mLFiRn_eIr1hIkl_#XPP6oy8?CG~(fVT{U91RzFF-qa)l0 zEcJ|fKtj>VJ|7KXdibTTzu_16pW?2T(!59Jlrqu7ERN>4do4e6C7J+jA(>zRQ=Ea^ zE6^&5YjKg47(h&oYaCPNd@^$lX*M=yt}=YGUxGw;la@bp3gW25GRJ?&aLwz}oL4=? zICBctu^fQ_AVA08ra&4cm0P2l)YF)SuvVSprlo$Kb65ww3IvgI!`@L?)XqcqmI;0W z`ia7Dv|{89VnOhz?;#t;l09@-fDk|*-vD7&rXX+!pMLlA|8nW6hqx8tM8wktU8DDmgmTZyO#n7ufZJwj6bfna}acudOjB@lW(l{-Wy zkN&d|d9b}@MD%pVPN>gzxyG2XY!01f5Mfy-rs!^aGudMAcjnqnW3c9!s%Ldy8WtNo zEaCJbchR9DegFDtNsLf(HJi$kAsR=qK zG8do$K-^k0-Yw7%4;~NSuST>M$)nava)8F#S*%`i5~DY^8jWNXo7|pi ztR8D=K;Lm;C6V-c7!=fUgGW>2-D9fetrsC8^#CceKRI|O3!u+JVDY9u`G>{t?OA^Q z=v90+WQF;ssD^xmm60*Q;d2j+r9pch(EZXv<3v6YcaAsjUXq0y_sM501p z&eB{T8dE`g!O8|}V1n3M(JFc6otsa+_r3S|XN}{=wIi6VR#!P(&hjNCfoAw>e7AJe zuLh)2rNs5}(lz_wrdd&c)*9&XOO^@AupPnfzK!~@(djg5>bSoxQ zvU6CGm?D@|7R`x(W>QrQyQ?4+S@9l;!3xUPFkQ5%x}w%M;qE`(BMa{jzrhDSsjw)8UA;gkqH zmf?XVrFr+@luJF9lMP@v5Bg3Zxwr2jNET%;B>fUUDTB;iV~9{iT9!9Wj`->|FetsY z3bPSu335qVpVT$ZU2U%-rpj{K2=-HnZxz%|ShL$^AS5BjvM1w^b_b$aU7sY?SLUja z2h0AQhNz91DJyENB*F~I5Jc&>7|hpqbm9D{A6ClYO0}p}s(5r8CX>)TTn^0Huy&*D zSE;4`erI>$Q{|7o0pGY%gkDwdgLTE+M<4`G_A7Fx|B!nsk%nnVr(Fn^&+5JWhsb6_ zkBdR-;x&+HqlB3Kb;yr+@}S>3<7V`nE0SdXW-EU#Nx6QAvi@`%Wviz&*SuG$$)*_6 zC@4;Oa@6%(vueL=Fr!3F?r);m*yBonL$ssjD zVX`x(&!G@EoZGHlOZa=9h5(XXIH{)G$`8!hg4ro7h?7wXH)>P*f;!bS|y*`YiYdtr;Z!jBG+KbI$A7vh8g( zO^_s@XgoRugnH!`Ij*2cjY|ESVd={})bkQ#08k9Y6?q@TWfSKpg-k5lZ7<~BcBt0~ zNSqh-YnqebIb%Xq_JX3NVNyQ!i?{CJm*3k9F9ZdWJ0QPQjdI$!sBAFzHaG{Grlegx zah=7wp&|L&JAxrdK;Lp$Q|(=*9f`7UyCsds9IYPRrs9sORFiTR?Qr+lrII;i8j4{FIY`_f?yVhv*cJ4>aqSShs+;+cYS*elRK6Q-PSl<-)|YOKfu9E~AOAUiG0g+uA0HvN9!f5T$Pe z>L62_O+B+cu0N|$c_OF~W3|{b>Rwi=-P_3c4*9)TAE-gsT>RA)%s6QLD_x`1Rh;04lvBPwH=7h*sq9XB+dJh8pl|c*R)~eS5tdIqzr1B&nl%WS$13f``-^u)K}pUFpk%Rd3CNDfW zVhg63$}5&vFM+l~5Yssp;kZUlZ6u=9T8{~xvcLgGI!C>PA7I?7xCfR~*Ey*Fqw{w8 z+)tLj{4c+J9d=hrRxW{LSu1%S{1K;Z#AAJd>HQC>oZpxmjd12#2qD&bWezMZ3r#`x zcf;H6_#D^9Hha*(wrb1FfGiRdY*eCUbxiY|@>w?cin3P=YTRmSQ@aFt+A*a_O8P{r z59*b);aI_Q@d2OH>Gaw~-@w@f2jB^jYSc8~^s@UFGN= zxb8o7~AU6x7zrQ3jd@E(MblPJpApVi=CVQ4`3Oqh9@rO7zQDhI!#A z7$+-7UC?`Qsm_5uTYr^Y-}Oz{St&TtsWC_<(;6YJw;tA3C)`P5 z?Yn&-d>=}l5Wo3<#0FR6YSgY<%I1R8gqHhZRJa@=!Nu}TyP z7V2YL3|Lw`dk3uBJu8IjabmaDAtc7|L2)KR&N&eOsI_)#u$5U4Jz%LJYQUVxW>`NU z1gmyO@Ykzob_?6gGL=p$say=lH#qf9%7L{W>rX0um9S7;ED;g<){`)-GRx*R!gM*9 z7uc!IY;~K(L#)nCjXB0e@-xypLMMi+Gfj}an!@rKWP%20>JX`APoW*ks5TE%C*yTW zYdfq}7>hRvvv{Ch>#as}wd7`LR)8(#I6JS+3a!I<$40G#B!*mAlPyUy4eq?rx~rN7 zQD!5pf@zhZPLvVH$;NO6jn}i*@YGaNiEn0qNh%#J3oHP4bY=y0B2s^x^=&XJNVJNb zWqnod^m^RLa$DU7cTt3O4%t>%yK|7-+o^?Ujqx+Gfcx8M&%+GX9qRA?yEp#ZJL}R9 zU9FY>%>VC~M1ktiTgphcc2B2hq~K#M$4M52H|ffjk9 zh(rN_0xj}H5s3l<1zO~ZA`%4z3be=*MI;Ic6ljqribxa?D9|EL6p<((P@qMgC?Zin zpg@Z}QADDEK!Fx{qKHHRfdVb^L=lMs0tH&+i6RmO1PZjs6GbEn2oz|MCyGcE5Gc?h zPZW_TAW)!1o+u(wK%hX2JW)iVfIxv3d7_9!0f7Q7@~M1ktiTgphcc2B2hq~K#M$4M52H|ffjk9 zh(rN_0xj}H5s3l<1zO~ZA`%4z3be=*MI;Ic6ljqribxa?D9|EL6p<((P@qMgC?Zin zpg@Z}QADDEK!Fx{qKHHRfdVb^L=lMs0tH&+i6RmO1PZjs6GbEn2oz|MCyGcE5Gc?h zPZW_TAW)!1o+u(wK%hX2JW)iVfIxv3d7_9!0f7Q7@zZ554oTPyEO3@*ltY->#bf_%r@pcliAG-y8X>w>JITHS_Pf+yDKO zih%qp?23RC0r^)5{Hxu6#UYI2y!=)9>2N-47H4zj)om|d{@Lcm@jdSee^ofldFXZ%?Xvwm zS&g~X2B#p#B`mwdHGRCv{ANAlMC|%b8NPX$3;Qg;Y;*8%AJ6$xx@npep7p4G)N=|o zVO8;4oXf$@#yC##%9@w)@E|HsxQ62d&J;+A>!T`#r&X3B&~J3*mHomC;+2=2=J6Qk zmpPTwBK|&<*F9MF$X-#Guu3?;?&l)_&br6nyJP3N^)lS@O7U7vE7Y$s)8ZJya6YH{ zC7xRl{BlM#8dxbRmAsP0aUPiyZU5ety5O*PZ%Hrb1;n=dEt~6CR9)dHL4y zdm=#!NaZB@p@WD|hqJU7|`XLyOOt`i=z zR|x>d-ZFEw!%@kDj7p93)hl*d)|gK>xp!{)qfhRA^X!jT9(CoFmIZ0I5iorgH-*hA zG9$Zvn(UE~wMHs&FB)c72shH8#AwCHnU|9OL|^<%*_b_SmRekZeIKd!(l}XGPU&qIgYJ8&SV*XLzlK zl#SS2n~>()S}LcokxJH<3F&-IudT$p1k!HOUiRY5H-B6CeWvxNNqBK z;mZih;fU%y8E^&!cMw8~ZoDj0K(3n}KspcwCbxLe8Vd0eWP(~jPDW^0Q_?`1Nw3oCyYemZ! zl!!-1>xOx}irKBY>nEl|?J!P-amhUDqr5_VR(bjhpZ~{e-S_K%oxrvL)5gU0#NHZk zs-{_-$4UDd*R>rNY_m--8L-$P%I}1C?=DZp| zHEjFFvR2sHKGv5v>%*lhEibE22XZtw?7VP@$pigvC+^3!IEb8y+XP2wu^3*kHD@Yq z2UR;t6{IB1lhPoP{K`Y-HIsVvD(tV0+vCH2(`{2ll% zQ)RWoc2LWdOy35HPRh$)|J&a@QGW4DE6f1}q?*Iojz{5bpH(JdKcbe;vSVX{lXP4- zq0IHlWw;D;w(9s}P0Rywl5oHkj==e$jc^_r#KFLEh}fNUi-k?0Vo(z6|!XNAg1ehnIrPB>1~5VQna?n%g830ZA&R1wSQafMq& zmE(2cvZgAKokp88fJ{y#AO&=gw21#{4d>tU-nPH5{?$LhO|BGG6EkL2KGtAUH}||f zjT-7a^*c&NS1DS;;o}k4Sj4Q!blz;bD2uIi#9!;`o`6lb*1ep=%8`AMUUF-Zo}1>4 zbwfM??K13eO0U=))>u9%7};83$nb<~6wJ-YWR3Z3qFpW1oD*!$Xp+RZs^@fLP1I2R z6{LhtK;tQU95cLZ?h!qmEv2E7A==+0mIxg!eA(UXyrZQYTe2qP>KqpNd-zbTas-3Q zkQ1a1*9nG8+}32QHNRcqmT16@2^$S_2r=i|%wbhG?(v8!HO7p7)ofM}YEry}Nlr+&902UbdH%e1KpylpeCM9K1=F$}WJ=ZX>bVWh9Zm@B0T zLP5(V+BHXTe0&+H3fss*An?PWO_W1Z#BRz65ztMHu?s5aAu?=3jkwXSwB^wizf65I zLudz)+)8Boda%rKYoVq&PX`kcG!iw_JmrqLb*|IH_XUZc_fwtDMQ|&XwhM9VJhg3H zCW2C|a>`7V6T+0x{s2hnIPl7Z9MvcIcymzhG%{8R^JyX1x1Gu_Ut`S6tGuQE=mKEX9b%N-70 zBXpTxc$MnWY9q}@n9mXWyjF+YcD4Dm49mI zRj4>AqlfAQ&eNq}rO~ndvKKL(>y-SiKEe1A8 zn%6RY+f^@9^cj0S6DsX^JW2vs9hqwDYf-CvP=8BpuhLW>4S!Wpg<)~=1 znPrmbH>$4}Qa{vm$EO0vGSG9(5{Xad7BF(Xyf-46oZ8ofGRl{J^vlP-SAMwq{Vz9J zOxr!}e#4pWtUKvc%-9Y;jZACIOfxHfc=SOxfTQ`tZqx}no(7Zk>-{&H^;rp&r4tbi zP?g7nV-xugHxk|_hNSgOj_W^grGPlZ*;8T@>2C{Vn#dcphpCD6ri+{G0e5Mp-sxfV zD3|~uJ0O<8=esF=P3q^`T(tyJMluku^*cw9?cJ1?S`G(T&u}bSDN3SnP$^d&A$zNm zR0p*)LaE^TRc*7t8_M}k^;2#rAg1bDoe3Nixi2U|&8J|>ca}-XXCO?d$${@|Wea;rFp>AM@&* zv(A(kRy2nMb?=uMXC^EU)2ZT?VU05fGNmHNoH?*)?QFm_lDG4>n-vodx!_PAozg6q zt%z{fH$qHgmyPuhmPv}KQ7Ns9XDR0%K(@<)P&tSdVTW!zIob7EeqQj6xG6c-fUk9V zKN_;#pG;^w?sPey?1Fi~p+VIK!^(?nLqry+x6-OG7$a+pS=AU+JxQa-Wv7t85)n;s z)~QxM@1?q58WlO$->LX`$My5HFUg2n!hS3L@*__9>*Mc#G(FSrt1;1OUL(f7h{Vk? zZIT|g`DJmK)!Qe`wNhiip80{Pb{K|g)SFJ9C7u}ZV5OBGJsINsE^;d~j?uc);dg+D z@gKq#CGp9#QiGl`4XL5$4-O)qGRm z>XB#JBz?4})EE|R&u&%SPELZLmL_BxLPQ@B`l}4H)g3q8U$qefgYMp-pk1sw#*zC> z#k8KX<{HQWK^PF;>uHvLyqJ}*LgZK7rU2!*1>`(y`Ltv#7~Lfh&E_4>ZE=vy3@&zK zQ>;}6WoT+?eZifS4-hUErr0Y{;bW>;ruuZBeyyXrw&fvXj_c`g?o_Q3fjjKcy_Vz5 zXqC)}C79Zwc#qIYXI9GJ!f<&Q%JOoxZ!q4=UFM!7L@&hOWQ}+ib8{E z_jW4t>@P<}A#Pe(m#+r(;>AedIfZbJS>fC!$&{6-t0J7!)^CteI%N;5KG}@vYx+W` zh+i)OcVkyOTIL#M_<+MnKF6BG?zYbj{r=^Leqa6LtKYp^)jXEAN=0i%6rYQb95N`gNR6Mg z%3RdK;E4F6Jj>`+UK!deCGceem=D}K)M+BL}{XD1j^NizK44JA1 zx2i7&mMEO&O=7TQ9dk~G2YL-2w26+n^6JQ?<)fEg*b6_d6bi1h=+&n!-($9yhYPTw zmC;Fkjag!V;D+L+4xivbIAOxYnjB z&gWFpQs+8rHB_1xzEt({@G0;wg9PI634RR*cgrL3tCb#$)cdfmdNk_lUKPvm#k!#7 zb%#ots;(I6IayR}N$~0^nSe+3b5R6M=gJ(spJQ-y%3e~A|NOrkFMr~vaPmqK>~y_4 zqdzH|p6aZowOEqj2dwg}iL{fb>`}nTV&D*5@^)gYO<%&-D7#oKGP>RGkJ%u9az~KkRylYk4JM;#ZvEvt_8HQfOxJwkWu(Bs0ysYw<`B*@Pu= zkG{%)<5SRc1QJEjZBt|^%k+r0USdoLajFO^p1}HfHD2M^@Dlz;#DU=}+A8wMce=8t z5zh;R7+k8+@uqlSaI{(w9j?p(tC(3Oj!2Q)UjEI6cjg{?=heO3`^AR#O3VaE8xwKB zTW(bLo|0BG4{wig4tP$S=9hgkTRDbCU1OztaiwUSi%rfX&>N$8^t|kF!(1K5nWad8 z{=gCm>X_k|BmEbAD=ZtDQ6=bt*$pP4EzffDf*LScE=ClW_|6 z>mE_EEzWn8;)J|(ns*r{@cf3LtPX8Z3y@W9O9l+R9Gc_9EVL$_-3wWg{2 z>t}qXYbEq`V2#LJ0*@h-V{)PaOZic%fao9A$Z3bqYP^(}Rof@mP!HQmWzUK(@aisy z#A}9xBuQ9KSp`=D;;)px5Q)(!vPyv$9t)$m1*WjeBxqRNYL{y)(L`kcuH~b;FGQ`7 zDq_&(Ry*UcU8eZM(epx13Zl5|m)oGNo=ivwFk{zQIadJzTLPVxLbkt+q#O;Z?tn5S zRicG70n3$_@Hyg@wN=pD=aw0De*WF>e)Z2%<(GTk|7^|yg9bVs>cEr?5RR50KYW0X zXvWi&D+Af$v5e!6?X|!VFiny3LtiauHus@2$G??=0kNWs6u%JG=xUR(Rf?DIzf#8~ zh+Gt)5=-EV#dHT;Id)P&_%B|2Q2|5?h@|hA)t*W+FYAKQBs8qOGFSZ0yc{;*lR{ zJL{VIrXr^g?l|F~-h0fkM<%#MJS zW&-v0yXv2I+*-AX(pRJlN?Hr{L|Fy?nGoIQVi-o18i@CJ<*O-0v+5h^+i{%@KuGR;4F1v43$^CK~KevI9ZDAww{1#=) z&#J!G^7xnMn!eO}GrGMaR&n8m?>L#SJ1;%*7mf4c{w>m$cG`^T$Bfez?o;9RZ*+^n zsZARGw*4LY6Y;0+vE9a2ye%32XTiQVOw{}0wlv!R7xarXl77?G>c|O2`aQmtZC%)NOIW;+L&1f>2{P-( z@4J6r+q*qOy$fP5?ockZ?w$HtT7SOn)AvQ_yt$-yCZ>~}gALi<>zm4rYICWjw<2=? zF?AuHa@MoD{G|%D0bgn%BWCKphbz!8(I4=x&T8>|^W5mbgj9tmH=DIJY*WxZyYts9 zY9_Tsbx3@!V-9~3^?cYE&ouWOYN|r>tpA^y?yr9Gd3sIDiE$<1E-KB$34t^26bV^`s`lZk!8f+_Al za{vB+_bmg>4|+=1RRnK#_bkeF=ku5j`rEm1N$^XmOeNj+DcolHvV z-4D;Wv*wKStqwIk(tB}wHuLK6fcWbj^J;JNJ(2Jri{EnHfU=P9kMGp}`W0HQEQDFY z?0n|Z?7j9Gw9w%G`pMU;GmoersL?u}HncyxxpvGQGc(npb-jlY!pEKM3!UdD=Mo#7 z?t9s5+O7|no%YWD2V3`3o^S$hkmLZtcu+=utoit zGjG3&F00pH;8N?=`=$Abv!6UkXVJokzxaT;HB^f~8bvd|I#x?-gLXyRqT12U&Ns5B zJJ5jLfv+34zlL$=?sG=`k8Lsa!2SKR^g`9d7mZCyQ(qUot8wT97nRE>S$`q@dUnYO zV0N)*dKV3T#%R(0QX6ZSUB5i>rCT3#>MQQw+40OxIrfLXZSB*ay(_;tI?=s9y10Jp z1MlTNSpJ9S->;~*p4w<{9d6K;o(iyY(fD}yF?Q}IAio&i&AzKGJbe+J>wHyP$jp44 zJr)D9%NdUy36q)oobj4N$CO=J_O)Zpc-QmnTaD3YHqoc{cQxLLm%_DX*Gv~a(lNEb z-eL06{#vu!CE8Ns6*|1sSe3cR?4>Q}TS;>42sxhp+ax*8mV&igx)~9co~jLYG1?ur zFQk_{)CaBP(sc~{UOVx@iq~|edq5dN6NsV8)Yq-5yyIkKid_dD)tItOp+zaZ|E>Z<# z`YIX9C@(4`RYNj=r#3cb8tDn5%x0eK#^J`th z7v~z7l=Rzm@OOw*C8>tkAUPxD_V$9e#`4Axj_C-IP`$QL=TV_w{3B@S>e~aNfj{Dr|RFOM|#iU&Y8A zA4S!8M?i8oAtW(E zd*wWz5p*f@1;S}yWn}pU81PzSz@}|;VBK?T;HEZDS7C7I!0Pbxeb8a2a;8Vq4Q^%3 zU5JbNI>Lh!-GRU?Ucez$U%ICn29|DseY~%bM?Bj8QSk78kWEPzG`-gvX z=#}z|bMJp!LR9!!2E#@t*t57RYH8w!I#eZGN}uNQH>k%ZPB)2~x=Qphcf61P(e~Gb zvc5TM!Sx3u6C9MjJKNe^9BY(LTdmi-XKZl*Cn^omuQR4aWq*Y;6>)tX^m&Vrbd!;4 zYC{GXkLDx-MUbw-WU0mMYD_xV27}Ge!S$4%{#$PnvJNV+;A1?38zmH{xkMC$=ZJ*Bgn{EO) zAxQ+>tmN&%smM*MC_@AG;Z8N zasjdr@oZ{bYh%afmXmdJYVZ1E+Zcv(XC!l%)=iv@CGoYnig!d2-+9$bwP+vCzD>P+f+9ip~Z?@&RX% z#F}rp*;$w>qq%Ja%{O`4QP1Z!r>BZl+_;%leVk#^VdpmPFz>~01|k5qFkx(yLTiTN zHA86pV0vtwG1mZt{~;xAj?kItboo}hmYJ&HY#O9m&jmZ;?%3vLOWK5U`2i2E$q`Gdhv}?wJZ*$hml^2Ei5U_3r@IC}1`ggMlQbqDk(0#2XntUaFqz|#n zt-8jv<2?mRxqjjm8Zqw54hfUchxr_nQAq&8da}fYC-& z_4?Y57}NrOA-807!#uLaJtdQM8ErR-;4ge6ni@`tQ)#GOmuK{x zu^(y5ktl;kJkdr%TbX`)1Cipr4PyGiPP!2vCPsIV*zqKMN58yuOo0)$^v9&tD!NS; z0A5EEK1;l6M$^oIovmPJ*hy2I*b}mpcogh3GXK1uu{(M_Vh5#b+qGfn;fgS0mQ|e~ zwy>DS&8Xf!NS&y-|pyq-UD^a6e@@&$`*~c(o8pAqtY8Xx$??hYimQFo{gOv-Q zO_zeE79^-AsAN)YQBeTp4TE^Sqa?LUt(Vf>8o5pr)~iiy0LM_%urfcLtrXMFsM4ZE z?X7Hs#9uo+QR$qOL{=Uo!H0FI*eBGON=g0n=alyve*E_AkFNrIt<#K-{33lJ`g<+X zw$%C2P8fpzlRI8ze_ngrk=vhKn0hK{o;ObpUx>aX{qWG?xsM*ceVP2SW_*#g1qRLe zhJ|D2I$m&|7`PC9J@|{kcj^v(>RS|F{{C;w@a2{lO}eDrgS%N~>B;@*c*8%Tr~dL| z@pyOqk+=4DlhktL0hT#_ z`rNaN>2Eys)iC*K-$C+n-Fa<^HN{`Wzg2byyVG~SLpGpond~AucKedL?Wu6@=JVgI z`da22LRa*QHC=t1*f-vZ-a0uR9G*NYtr)Zmxy;V-^}~&^w}Nf;XVqo$(VbfpyVbwd z!e_tq+ssz{w`P3)iQ{B8dMUUo7FM3z)Q(T!h4f=Tl1Fkc~WtF=Mvsh zvn#e6Z|X%S@MZ0;M7O$mDb%DL$Q}qUHGG8J!9LP)$79_c^FOaX-}!wZI&OB?Z@tXA zgOTrcd^^0P{_*Y6WxAZPxk=f}*}kB?Omm!A8hca72Hxxv)m z8FSs@xPuZ#^Vql*bve}q1e`9W2 zTVOHM32yV$P<>*z^iemvqrzM!>+7F((&Hbi2FA)9Ayv=-&y2KoqGiI6bx{l{pw;8*VcY&D5RTcz$Y=C*?ezgI%S^U844;(0i|tUs4lzEe-dY6qx7P1t94fTZpYcciC$3W zA3{w7ZIkQkcQh#ZSG3o@ojO##)i{Ekhn3NTty@qNyI~6x0*C4Hna2%$Rx)}nj1Fi! z?n&0E31@3k?b!GG8y~p;?eceCe_sZ=`K~c>iwo`4j2P&pW~i8Foxvv)gdLp}<#Vm` z>h~pda6GlE`gv7!eAWl$nT@X;aau16_Tha~NBX3tWM|bGHer|>QDjm$5To;wyVUYR z+kD`1(yU}t4@y1wmZWenJh>h_)n=*LvUm0ot@Snpl`w$UIAz&fW1OY79^7SuJZv@k zcEOZUM=<9xIVcde(30C0Mbi%k_G?ows!j;Q5D5j76_4NJc0D^|bgIS)me3O&>{(}H zOsqOUK3$nIIwRL=-*wMEdOlfk@lfpgz6Bf)5Kp8J4Av7J-#J}*{PbF(Z$sthI@|?g zauY>ki(2G->VY=m9LR>YKn*+t(lNf9tv9;tjcvJ&_`&+!@OK~7%OGi8uWk=j+tP*e z>&$9xQPR4x2pT)8-M9tpQ%0A2P}gA;FpNIIIRf_U`h?x!t{bT$7mcOUzqzZUTq^iD zdFW%ca*74Bf~S-QCb|l@#<G|#T;nWYoU%bLpRH*%NT+y)a(1tz_gM3) zX9z}>rAkf{hzrvw%vl(&Xw_GS31Fs~?kBwXlGNR45hco57lS-?(68I#12?133@ zNAr6Yprh9D5MuBQ-z;@t$DQd%jr$bz5g-~?TR%e&V zkHAm6QV7hAmL2AoA0|Yf=pxh<<>zB#2J#H0QwkYkQO!kG_GU2P%5XVJA0Yk;R)#q6 z)L^*UkU^=g1r(Ko0D=$Ku{o7L&aO!}F)IxcL z`XZ=QQR)7QD21Q!Idc``CP-NIb&;FI8F>lo%h6Gk(v8+znW77WQIld!mq|q$9K%hR zgzA`ZT^;G|uxCX1S{nwd%Q5jOI~mq$%?O*|(~)6?phYC3P#w`c@|28McXFaM%d(G( zUdK#RCon9K)e`7&a2HehQ%7TR-l&{j{KMxzdBfTpesvY1k|)a|?jMv$`(ng=PW{0X z*}tdLouW9=eS2Gf(+=hFyB2Oxel0kcf_*>O)Qz3ia8vV~`<04_+gp3j`kTJ;rgUUq zDs|+O=%vZl2OcGwaz20VxjAzrG!Wc3f51G1+ovCnG<%P>)%Vx#BRJ(`n*P3zasq);@&^5jqA)8 z9?1gB7#qzn$OD#P&M?9wjKL7LRkPk^=4g-ySwK)|i@nJv$Rw4Mv?-30r9XB*Gs6MK zL_i>lOVVx=5uA|LX_l01X}fz96vdTYZ&DMQy1m)$LU2lY)7=ZkDNd92KEh6S@BMu4 z`@a9Z^RY*x(J(XTdCqyx^YeQe%lR{j_&)cA+CBFwpF9x2 zUwdb1d`WL3rc&Y&j7bp zZsx%+`)O+|r-?9Kf3o<&4`_6oW+v@`#`6KV9SJW!caeDn94EDW1>pw@0 z*V{FAHSrW--yQ0{>*{$v)=}0Mz5Mwny0wDC?rYql-g{epZ+7cqSb4uOY>c*i)f~O= zt3+!T`$@}TMHm#`+V$FB1j6N}AH7ERm?P11FvCw)Ga<9hb7cLWG!(I z_j&eiuCAIi^en5H=Ck07f8|z#WGc|zKjE5%bDZ=eyb%8(3^ps$SxFXK=cO|qoaNUF z+7rW8p&@lv7| zzvXC%?GC;hth+C)C(M-{7IxanX2rQps7uk-E)Bvlq-l7kav8h$aMq=xVl#5*^- zgsek@p*>^Gs4A4~<-UTiNMY2i86V)H(IwwmWf1Qf4I}WFKR@9+hLhh+c88Xv<7hPj zrrdJq%lKzPXEkcVRb(-;=B*q%w_~C@Qf8o7(6?eY$VKt(3LwTa3@tN0|zHudrJZmmqx=Entii-{VRjMreiA{`V;wS(;k zBD>ID+{{Wfm^pq5URGQQwIDPhiGVTtoNiAMBI@=X`r3HLS6k z7{q(o+mL%=ij0C)H3E0-)=rfX?`q;{iS5$XP<5gPN#csujB55j_s28xY7jWOr*=n+~{^pdd)m8J)u23FQ#rYh~#CsS5?kz_Pexb+l)w5?M^k%#j*X*=QV@USX8NmoY( z!6Pyvn8OGxcHx@cj;-yHI5s88tglY!FW`EgmEJv3ffIcaJ}xCNr}En!$2k z#~w@yh;LTfi*$d9IQKYpcY`UUMG*tr6>|%#%rOaB1b!?fb8+1cU!#<2S2VEQ%^+cJ zEDg2C*}5G_dnPi3i$$^30`pyzijKi7mo?w3dv{|`D8*(SKTSS~gW?*tj5T6%F@1Lv zGUA|=B*v}Q1EiXLN)%N=xVzTh0o%j;S3`=m%ke9DZSb-(Lf#tZ$+c>Rdy*AJot37EYS!{z3e zgHvW!DBfuDsz4Jgk%LiSfm`)j6C^);+nUo#L|3al@DH&}(&q7Nzkcb`}MC)6(Md#PAcaRupY zIp1|}%lQtFhd%Nl{+Ii$s{73ifw;>3!QWuUI;u)$~j z71iwj{pAfH92*|Ie?7G$cvBo5FJ4|fj^10H2g%sfF&rRojwT0vmE20>UwC%0H+huW zqG#!^HqQG!Z~9wbKiceX0qJ<4?>=_1JGju?S@Cq|?x$;7q96R>OTYTKgy_9j)U?=A zQJ?tKSXY!2Pv9tCp~>=Q}zc+J6@T*J)7wFzBBBsKJ9j zjE*&>|K)~8@#lWC%Ss-UKrNvc-nnSr{k7m-pANDx)cSr0oSbv7c^choT*vO_u1_9l z)^4a>dV2;fwqKOq?`m2w?iEJw>qv>+Z`W50U0MPz_RgTS8=8A|9D6NVgjZ+YKoWxGy}4o84_QzrZ;?|L&WTwUfsp!Dq>(bCTs$KJ1vwHz5;N$lbF z9@@iohRMD6#_M+cnTIUZ$Jc7Zfkboc<@5H z1^^6y;9R>2nxsbrzV&^*34byA5O<$1m^A{F9erLUcF%07xzq5Nk>*>h(4iNyBe3c+ zcr&L`V#!kAVrU8D2mN3Gq-mvry&S)bTsRpEHvCi9y=C)Cx~9*!?aaU2e^>IC`*Z zH`ycoEeASOU*W>9mpY>RgLf5@Gi7z8ul_#ejJqp6wz~mz{|8^GEe+p5pE+bLw!ihC z!)_(ccfWn<)L3ar3xQ$xUhP_WbAUc5b_9aJD`45Hz$^3Ek&8x~d$rB;<1DwpVEIBL zsN0p?@e3CW(EQVFMO|&B!>TWw@_Ob<{VnYnM%zs+b}xj4<##%3;`rbHc;%mN{zH<# z#x94dN&{lL0#QFxw+PG>fl{M=L>;?P$v?FWj3R%r}Yxb_d-Bj+l!<} zNEaUQYSh^VWvR-+HDP(XYG669QB6Z^C^~g+v zXl`Q~MenBGZoK_bVeGx5MjJ63N7~#Hy4Z>na%->3+Vy!e zj}g8SR5LB-FaNiaf~Wefkr4Ja9umGFp^kGv-ndRd$~2_^+W72$%BH{xI0;w55uDFn zn%z^I37`Fdcr4U!!gg&BU!ejZd-h`}4~Pd}JGRkqJ8(^G?6aqAGS2z%2&p*s0dGbuEf=7bA5G^a&FUS(CtQ%1v!aIC6_$MZ820j$qNqLYPyW7B+uHIWIw~|$X=)s zM$MsZj~GJZgdAlsEnVEn$iA!Fc`Hd!gvGGntl_{!|&z^Dv58+Q>OEHY$vh&&d z!XCo^HWx4|%R?J{g-w9_+GT2k=^N~#1c6Y0Xy5tV%QHK(7r=JKci)Skt=>0s`fBs- zzRIiN+fn5&jkQZPlSkK1)r1az=xaH6_#+>fQNKUj5=~q!`N`-5kN>EDZgl<4Cql(XBOEqT_$E6Prm-#|h zvsbmhba-wxans>9m!7(MusL*7V&bD%^Qr1LU-H65zd9QF;PCDDgf0wRnfQXgCgKJs zeq!RvshY&ZhxZ%^48J+?0Un(A)jgpP@a+c=uf~TXZ?48`B5%SK-y~N~!(~_RzWtPR z^(gFqsl5Lp*go&SSmSG6^WE1G0umjb`@z!M>4T5ABwn1ma8qTzun_qoqNgCyPEhXZ`rxXY6?{T_g~x_dHwFtimxSd60V3Oey`om-U-BEPeJ4N zqQ8x-x}VVS+KUfeyvCeJ;;Qy5oB%?y6|0FK`CHJz)stU;rp9{^=#3Bm7FhXwVj7-3 zF$m9|c=qkk8v~b1TBOh_`TnV!_GYgN;SZ0t;K)aff7v*EYVXggK8QTw?Opxm^Yw#Z zjrr~Y8u_x90bhK#H{+{~Ws$_cwqA*~T=K0(o%jv zAQy~GkuGT+Qb}gOxL7rZ@Qy?uTC4RXE}`FRKPf)IJXF%a%_QdrKEQ=|HPKhzeRv6? z$iGl8p>_NduE~Hh!q(vlu{*RHDFlOQ33cP&!UgLP4rOPml7HtN8W}{xUa|doFq9xi z=o0FVEcoE*W=n+qq4eyaF+2^%=4IxM5SV$S#ur-fweKlz!OSFBvvos>!Q?PGJ;%)z zPvcR@4x1a8V!I)sYbiNd9r1`)Lygut{u%dzv^wFFjzzjdQ;=gcHE{`P3wuBl#7$_J z_)^~x`n}KjhA{&rs?F^Ivf>l=>}&u;fnRc+6%brsgG|+@(Gu~u98QfLEDj*BCJ9=> zWj^gV%C>C???OYkECfb9o_m2zfi<+V1Psp3XVT9^dhjZwW$p681%boU@g;PXJIH;( zyOZ0vfIO4>J8kdYENQwF5YrojCF8x1l(zKcskWhw!i zRRr_0j3wqOK0x+*v%hbUb^?BPgO99*cvmE78cpW7H(J|kkZ*K)4joZcRqT5auU`%w-+va19i9%20HxgNRifvN*wDNP3d8a#)17fJ->)@(-Us zzfy4bFSB2>8{-cX%`Wj)F0wenQb`@^9$XCGOvYVK+00&H!yzRZhom5rQXuz82N8>G zG;eCuJp69uV+yJZPOuJl%Rhy;hRxQDd1oWjtrDl)hKh(7W%?MC%`w|~)`=_4 zF-60wSVU~1)y|YC;xSY;spsQ}o@%mqNjkzcS%Q~jWCu?OD|Hd4%TZ+steP}DLAxqT zW^aPWWBcqbsMSWYXg4Gw1UU?hquP{jsDcTu74s8ZKMS4&T0SUv`vItn`UGp}HKv*OCp+IMx*e1}nql#8a><_soCbG`{HnQ)^XZa19{AqV> zQe`(1>^4qrXgt}OHQSp>wnP1wE!z*SZ%}>!mt^O0*v$X(Lv}LH_QI^jw9!+uU$dKf z?F04@Y07Te$^&0NcJm+q`*l8TWh%$oAJ;CL>||fxTf5KqOGCJlGkxRytUN)NXzgxp zfP}VBpqe03XDmP!`8Ict7?#wD3R2PDeC|>6tQAs>3j`_a$sp~#GoJB{P}Yc^H@S@_ zR@xG- z(-xX_eO@2&8Buc&9saY?7DdP$BvqLurOl((9RiMrrD>%*lctA0TUdEsJs`M7Ik8fh zAstp>;;{hoBf3Iq2+kQ#sYT;jo%`1Mos)bL@1mxjH4y?iuNrr(q#G+ zzp#s`H+#ie&#|O%X%4lyXL8H z)lM2GA><*DI49-c3u+tU*(xK#D{l!SeER|VwEIYDCu>0Z0m+bu6hqLTF1~S&Ibwu` zE*6IRCT>#?>tKUo(JIug3y)W^_=0$@oLRQgPW zaac$~Y>A)LcAx4Ll+v)e zk0z~h4#`(Wpi~TI4#}y~GOcVOv=)QNpKjL(RN*^V>!*+Lag!3D1+Jw!g=PIIZ3mIZ z&B1X-r_9b8km4R|nl!#D)48exxf^YALf$I46oa!B2W%$aCEA-29aof21}VBwkJ%SI zl?>zuGsaf1t?x{Y%8$Ad%%iNclVx1YScabEDYcP0LRg+oyG$D+gXnVd^jxC-GsLe` zZMEK7$?_Nm9T z+LJ}bq(`%6<(O6_)>`7Aa`Sf7^r;f#DIY0XHoAz0XX|Ty!A8WkQe$PJKN1Wd_kN<6?krTsT~Ff< zKpol-SwpHhdjLn8*?n}q*JN(ISrRHWRlB!e^v(wA6}`2&GiK^*0(Ym6NQ;>pN5#{V zcS~`c65=?S94OSrbyH<#F@S0g0|zHm)yTA_+#-kB2tdHq1r-F zIo9TCmD_ZR)9P-k#TwEWm#OA(rWeXoO_d*aVE_>0@(`PcS$ohTbev)GI7f#Jc0Q;k z`Kp7+`4Y+MP{N2%MJwZ~%W1e+!>~+e6{`^=9k9Ilpio;ZpKI7;4nbM$7}lBXOqChs zZD4FNJYaxvF{RHGSZdTGQfwZh0Ulf*3{VDM5R{gBzEx>8bu*Jy<^-lwheBXLs3MxJ zSE1}ZDkD0eeBUoVnJ2&uS8#v-TmQXaDsjE7*~D}Mj;*YXyD5950wR-)pr@hiObPQn zl31UgG`O5s;el|u3sUysyHzkfy%PMN-~SjpBRLe^SI|(~ ze#cg>`4-pK0F1waF>=IJfPKq^TCrM$F=`&I6TW~=kLm@OUQ6@rerWtVwgN-XLHaOU#`Vcca=t8{ak z-<+hu zXv-VD?f+mt+$035-8J4jc&Gls-B*5F5c?l+tL*kM@A>PzcN6NW6|AM!gl}0g%JSa& zf8ss)6Yn9k#9ykN5$p%ZZ8zw(6}1_8yVX+5QLDZ<`4jJ#F}0T7&FKY*eGl9Ef%od4 zcrSm<`=q|Dwm-@jkXy1xLk;`3kw53;0-rTqOC1-kT5@rhwIuw%vEFtdx?_OP49p z7Fvj@Eblil(7hGv{YU=zh2Ir?>c`iJxv>W;&dxhr3iN|(n;pt{bw}1R+xQN@3NRf1 zw84*(=FqQw7wu5FfvuSv@T-{U@zo)h(>#rSwA*(W6QmGbW}0cPUXr`N?@ zofU1f!$eKQN)lJCiP3oZTS7<~sQnAIMms-#n^xU4UMV;{+CV1uJey3GFiWkccWKsr z^^@;DRU4qanF{k?0x=wn&xY&F)p(V#PMe~omT{*pChk{%SaBowIC{z)*4W>?J+ZfL zuhy6ztr5wgkUIKmYrSw(c-$EFl&PmntZudSfbr$Ft(}$r3s8i8Nwo6K55&wMA-g(S zs={5h^)36EmC2jL@Pd1&BA3*HStuFki z>)xK|#BgDWzeSj|KJ&a=dO$pDlqvLF!#w?BOq}M9zOFH^-f5(7WEM<+G3nez-$|cr zDbjvsic7>;uzdRi(!4TV`=&bb^i$IEU%w$OqR)nZywEdnNc)_yD|vHggF4}@m=dO= zr-nn|%&8HI$AU)Ypg@p1yyuU9ypz9NeDgKFQ0Z{eXfHJeez3q1+kS3o2>bt>A$y5h zn)IrjdYar|z3QrMB>sQoVnwX;J_rFQyOiB03}p2(v1i0c#7u|qvS5(b-G-IY=N@`? zp@K*Pz_>-F{5pSJk(9`ZjN~t8%?C(%G{7WA329RgL@Q6S3k0wcITGgyR67w)d=HX1QX)?1h zZ8Ff7#U=tFC|O3NT?`r0JHb0jVDR{d8~B^`mykhlGH4< zEHjO%_Avc@e`9baJc{i z*`ti^)dbpWruq%uUW~i(vg)@ESWm_@mDeuf$xj=D#?i>Czy+91Ncpoqm2+4{R$?fK zi=;O>a{-wz_#7u#JHkk7%2=_p5^`n}V5cP;Z!;R&x6rjMED}1(iDW7!ij~(blQ}6` zBfVg~FL7Rg(J|>F0EuB5mHHCmu=o)oK}lOsQ0i(_)vKXyRVA$+ynu5BjuPi2w_;bs zn;nBv58!@j$*^G;s>mNwyKiy*?t34LE9N-eCY_Kt(JZMI!1CBB^~6P`s!nF37MeU_ z=C@0a$)ckXSJ5VG)67QEoL1Q>jXl2`xlJgzYesia;)$fo;e$LyNm9swl1HZ)Ip4^! zNQTyw<`Wq)0F`*hRLU-v8rWdNptkR1n~Vm=NjRnjJX5sGF{H{4eWlgM&hp30bHU}n zZt*xClNRW$j7+twv;n(7B{ zr$fxv})1bDY6- zWOib0(zQjR8GzXucL9LJlsLyag?%ss9>ZB&C~6zqU^)z*ArU>o>81qHJDVVPWdzzT zQ>vPBTc)9n((wu^SItMG#C67GD=hmUBU_OYt5y@Llzd6BTZEtqIR|sc0|6=}*NHOT z91skMj&29zvj^@4x|)hS+=svYkFRwUeD8hg+Ln&1@0kuOB~ALcIz=49gESs-ajD|; zvbxNT8cMnLJ{hXY;-1}0M+dB>A}+d1)EcD_y9qNzu}IjnGRXB?&EQusYB=U1+jD^( zZ2{Nmx?=d3eorFy!W>*2N$n$Y@wK`nk7 zV!u>$fHUS7=OsnaIOT#ePZ}Q_=`vzzz_t}|*VJD!baVNx4%z64bF|I1G~4#Azw|*B zYHMkX1^dn2XykOfBXpxPyjv8~M@)M~ORU9{*krKzsgthM;31h(a>W9qQ<#M-81zvJdv}uG5Gn8>lwbjVx z(q@~yz-@|bS6?1ydGUv;MBSxhBNjo3xRM+%Mk*yIEAoH}*`^C2r)r1?4OMpr1xZNW z#f{1k=^#tS0+Z6C${idaaY?GIsG}ubiWNPJ?eq;U|5?<*LmN*r0~{bJmHkTmaN*xR z`s&MY3N|Oh${N&cS5XSU#}LrI^>*IIcZQ5SaMn@UX%8bB07>owBiRAI1BHhJo2a;w zU}`_9L7&ZH4y}WAtqKt$!*!crQn9-gz`%p}gw4`HCD$l}F`;)jO;*=(5hb*a`Jw_{-SI=DDt*OEvg%BE{AIg7!GJe z6qN&+50oGl1ZJ-E{LdBRW@=-D={*Z(1_7q*+hO=ZOz<%{dZCFD6|e!ul;tp7d3BUS zf)Kg$VaeLUMh7Y(AOu`AkX>|1DisVliKQn6aDaeEKNq|xGL+4Cx~NX#vP=n{t_c*| z`E5kC%G9(#iSvrR5M-p9BT&gbAU1i40eCjR?gCE=yw}}2mC`AwN7(>JlDE-{i=jG2 zm(weSoPYr;b7r(ENKpY~=Y!^5Kkt*@uYYo*J(P2|SgjB&<3uku?FS7#o$_f+K4Q4X zT>fIyDbGqOqdNdN&XOUJH4WHS4B>^uEMg0d3d)%5RVat}Fk2VroURm~Lr+F^fyDF~ zWG7ZdkP3=h>#*Ak&FqNwb&Lg7!|J3}anFcJSGGwPA?QUpOr6#&3fxQEC71N-PpQnl z0h{VlH41h1g{0gG4k3z5V(HUdzr?U14*V$!onw8#!cChrzMu!2$INEN`_X*>SuiD$oFaCY_@9U#)?GLnX< z;|dkXQFGj_77`3shrmxN z?wGh{>Y}qz%AxZH?0}U?*?G~SQ;nG(&>&)xEL{ZuIU~e70uUt?sHYpHdNnJC&}g9r zZ)uFn62)$R><%sM1$n1)TWG>;uQwH9^7UL)4k0QfQ*DAii%*z#9Y)S1K%UDszC(UN zAxTqbI^{ey2@V@hqdHW8D$GISy+~9xH-wZ;*2$pNxP`sNtb5s6#IVyM+T^8j*lb4{ zt9y9f`j7dQ=$Ag&EmIqt6LTdJfSG|S%%KCY8+EUqZz`-{Q#G9;MmuVAS=+}Hz2g%G zyA94;0uPnIr!`*D1neOEcqL+0F~=McxL$y`D8zuU<>&)d$>qt_D4KKN63Gzk!9GfH z@S?F5ge#TD+IUs!kRGm6BqrP=LDxzmNgg`{+6xGaI`a;Lg7p;!kqTi{rcWiC4VeKI z71U;$8{_F5$>Am7x`Yt`Qp#q~e7?3x1>u(x8o6!_;PGrOw%*{Oz#T1$hhMM##pbu~ zpssD5O=zD&DV9YMTeaqL6if8DqNpbR)EF%SmdC$Q6yO)CAo7sKx;8_&h_aka7-aGi z2d=9;>1iGW6|A=rn#SOdrzFcJD=@({DV@bE)y0nSl%k@JeblL>JnAl-QPYs}r1lx` zbQY`)nertWqPid|oZANoXq%X{MfZ%UNglc3k`9LRBH&c4k*yU$M%i?)pq$hxMqNPE z0HBBvI$!H_Ya9hivMGYv>y&ehg0Fw}qkq!>@Xb5m4jWrWr-YLsE+4$9?gq-ySkGoy zSutbGAb^<}N~Hx$BnSu1at{2-dC{YYhvBr{ErBDa!pIGRRBv_VGPx=>DX{{dM+{S} zHJ{AMIQ32N%Lw3wLAwK*=CIj7Fh>hRk-DyHX7JA^}4#f&rTp_$HssfRPegLF%%Ct~th%JZj`{>}!Q_A(`P<1LdfWjTBr$c0% z5Kxl@nS#hh?cZ~(!@>f9#zNpgQy|d*I<3@NYx3I$7BVsJtTr8cTK*Gvk!0(ns*qw z0wtXK5~oBFkecGSaTPPY7N}?(ObVoKsN4b&XPSzte2|K`s_c3$B*1A)UtU>w^23%V zuQhEeSDn69O1a06_NYV0t0pJEDV@E2)=b2OsLyJ6r^Y}SUX-Zv6kjaj9(us9Mj@8BLf3c`7edp zm$Va^lcL#mVkvMz{SAAl^nw7dQgVaFHe0V;SSzeCNvyMx9b-Qo+HL78pE#H_jUl#N zSdyeqST%PgI@QmQYp*BTa?Fm;;@*+|699!dTlOHkoVj=4h6SXparclt$;58!+vwdJ zMyo#)05@diWX00L545#3^B+uNR@K6bU6UDCZCx<^J{=f2)^>qORv6RlZ_0bxc5-`O zKz+ts$qmhIy|vOQa-g?b?P&|q$JkD`B)zTfvn8>g6z%NXZN2ubAz_G7jGOB#gwI*` zp=aApHY7WX53CN<2zBolhZ}^3#$%t^UtRwe6X`!0W-8Xs7C({~I9e?Id7Iv4ys-1e zAv%8G)a@gR+4=mBls|rW$M4_2&Wr6$)h6OEocnfYqSiY)Z@%}rOZ5EskA&sVtdJqC zkmHnj_cG}Qu(K1}ddqMuS=siF-+Tj)@36ijeS+Jrl#k3yU*_IbOKvl7QlhcXNXpL! zR-xtR(R$(V(0HcQ2-C5aWOBb&|DBOiQmvd0E)-5QL{>nuer+OJ%m{0w+N>0(koH6F zgSPPbx+d_w4VO08-FPX{}obd-VAex_7;_aDc1J+edUqo>3l zFiZdNZP&@zV3l{SOYOKpU1T0FW7*lF@z@@vr6#iA?w2_=KL zW1!HR^mJZQj%ydw{sFfjjF!*dd!_;<@qo2hyX4C$uG{JHRW3|8cHKKvEtp!G>AkyO_h&9A_DVtpY5Vt9*Mr!6)4Hm?^7WDLKy8b* zbi>uiW5=eflVNY&U#qi?i*wql`pR^q8K0)bndLPJ4R)T9#3`gUr9U0Z!(L_r7?1`e>GK&|5@5S zd4#U0f3CP${N&Nfoslp57S67k z!8!fI&+og&v%GfgTvH^Z!JfIcZ`Meh{7hUsQ5DZL;~~v6xD54PO9m8w8B3FEMpf^+ z=ALY?D*8~{G1*|ITIPGZNqbfOiv)m_OWOTf+_)mi*4R+Qk{+^#BV<$>9D$EH!TJTa z;%gG56Jyc86RMJr_4~rYx#F>}B+PTW*#2j=>QhzB-H%D@k$Brz5_1FVk<;q2`MH6s zk<<8CdT!tshw(9W&in<&t74;YwwW2K%zl&%OX?gxu^=syHs2V)=%pIp+U^UB{}>nH z_+Thg?Nk3UBrlSN8|78VcM|X_sW$W@Eme5N$`x1B-?89}sEs|uHJdGBh)ec~tLl?0 z7KShBnv#S#r(Kf1!XDQ)KHJ()NLQpCry4TKi$-_bt)y64>`kS)7Aca-LdkmHmIT!DH`UA6{f!-bC7lh%9PmaE`R;BOxUtJ9jgK1j$s|J6fmvRjN!U*I&G&zK?(rI*y7kj7ZOo{zTbG|L^ww5W{^1*;`Fk3*_?3_-L5mftDC9WsRT zXl1lW$wvkk0l+b0gD4EIbP9u_si!N5k>=Gl`3%1ax&Juz{3nwIp+nbc10Qr7azUCV zXgQR#9Ml*}+1QQnaF{)^@eZt06TCx_;TxQTFfeFPkR@o8L)t?Yl-DNPP2NgChP(?P z58zLV5CwJ}&g#7ob%q5RU~Mi?pg_Us0o4gIA}E@nautXv!_^D}mw{ylXO%)Ygp)QM z(o{hw8FM+5m#Kme+TXuLUrm1reuT}o2hwuG$PjX&T+gAm4&hSF=~Kktga$Y&1_{5A zjtX#qO8^KMAdnS2MV!I`?1qG50NRUs2;dxLPns!L-43#wNUkUYwMfX>3nu~0Nr%FT zZk!~h3kI?a;0+-;ca9^QNjXmT5lUS`>N2)9p+N*im-VO;fV`ZpC_rprFeY0eGlk}p z{X{C^>@Xb?QE!Es$2ouo?;rzxhUDTP|56jB#rDjwvX7dt!6b0Nv;2T^V}O5JO6v@pw1Nh2G<(5`1|S4 zZU4c;*GRe56GqHbJU5-hqP`qd-$53Tv#@WDgUYMizVDg2P$^m-gIY<#EtRuxa8QnE ziYuH3@#@XElskap1d@>okoA@XnRHX}@&2?_2pMhYyc&diy%yw}5U^&B?T#j4&7M0l zcbO=o3;}3YtrZ}1{`wm^qZ{WcD}Y{tA+w{i3|VQYx96AzYrF>=o8#h8nvc3u0DJ(= z&-1*=GD*EVm~8G7%~H}4kK$G|>V8x2qDv3vXgU%46A4*`7P5Uvf^rWvbT zfo8+lf;@8N7zeOo$h8R0|9rHSJ12b&8+AM4La8bSQ4YJ*0k681YwBp3`PY@NJo&p9 z`D@hv|8M_)tc_{o?O-3l_@Ycu?5_=TNLYhWolWJj89X@j?7Tw;!v(TB?7W?)96THu z0%-m)us6m#SVVRgl-Ss0$}VR|JDBDsW#i!x>|6)f!IXwQmuZ@Tta{3(?BSSR4!6NliPA@%H+|}S!M7g0MgmA5p{%hT#K$Um%H(e16_PrJ zW6Nq6bZV5YR3>%s%viK&DjI2JaEX@3IG7UCR>7H0t%Br3jk;4hXWC_O#KmM-&DWn5xj zmLph?G6N=K&`y>UD?oVS5rvfZQCB%R)}hjU2;?ltNsj8^ZEhj~G?Wcqn5DKOy-s!_ zLvN*_xLv2_SlceqHMa?P`C`r!Q?Axh}S#KTHDFsgm+wO(aR3 zunL+a5R|nP)-16YoNYLC2-6YAG}P}I60EQT>rbYuP)wfW4a3Cwv}l=-i5ZiHEv#im zSveLaB-gUboxG%`I5*CP{=n=9_D=ekB&kAnsXE$dGy?FBgBSLLdzDq8A5{?WaZb1( zL51P%DzsOI$s5KGIL??{M91V2)hvc1h(|>Isw>BcHDLfXvcLny!W%k89Y%$-&?3-d zja=0RVQ%ohQ*|`>=OK0k9?tDV;Qc40-~9O>7WKJDuJ;DNOkl+)VkjnFHpO`%NuaKt znuJUzB?D_k<|#9Tv8k}u0;7qrKt!R4mcgS2-mE;%gsjd5;?$D^oOzy~bwe}rnOtyi z*r7vMxd1;P$8-_aH6hoiFa|y1JI=R(NBlerx54tFDwXPQgRyJj--Q72pZs9Ii|QEjlX*aNHq-*Ksq) zLTeU4$%SQV^O2BglwfWSXjKj#t^o7}m-3G27!fQ{DlwTnBUQp>6N3q$;yN`}iMbT1 z!l}54D9uUOffv;2Irk#84Kqz+_+ENV)Pvdx_P~=W=!thd8C3 z_yh-J#WPR<<1}EA4JM|7n^-u(Dh^yhB=d^*iI1iVjlF`gdct z+5m+Cshn7jfL2qap72lcGce0naw(Rr6t^k^W1!J>S$0vWl$3Ve+z#bZBoA;{kUqIr zECdw}0(Xqo)EB_cB95rz6{NG5TYu{bv96%;V=tWlj_rotjq{O-+CXswU5$OEM49Zz zG}8Dqg2cUioE+HbLzJ5K(pgXyJFM=pjC0HrRN99Y2+Umy7G@bO4!QyKBFb)Aya4Sp zvoz!C<&4aB#u30*nIN>ZxZUQL03wZf=~e&8%I&D#FR$h9)@b-I-r;UN$uY- zi-RM$%8)8jr5L6YU_B(@Fe)x2D%{KARl2b_qUa+$>LPT7KMWNXaM@mk=PU?d=2>(M zaN?ZJq9W|H8cb|B51OhBU^HLT@Ej=KF;(bg0h)>yrPe+|Ql*?s`HNWvP6?uvDm%aY z{#E_*^!0goQ=hZhfXZS6^h0zU0lF`-lyEJXi32bhhKE}Oa`Xx71gs#=!qC?wN2Z|= zdRmrdy`JraZ?7Cv^6@A<=eTIs^HaiXRsd~uHoMr9orGYj?#7c6U{&e$YBk~6WlhPF zPNNlVDs3(SZXe*_h5J%aFT5m|V-S$c(3;VWiEFRoW9#Fl@iv2I zvOgqGNZNMja>@_DpvX$@8BwjTlv;Z;+YqY47u8%r0&R62@5*+;Ud|bYF0zeag)8FH zdm+6jsI?AX>HJlq;KdKGk6u=FniV96191l!jWDt2BiqX{+{?V zR8J!plJc1?RfrM|3cA}CSPTv!%M@W*7#8#lSftQIwj#4GtT^n-=o&J%`cD2_@q)=;<6aw?a=MAalRA!V}H1i_wXUR3HOSWX*=PdatO!MN<2 z%c)g!^0HvpQ=U{t6JXrXpx$jKB2)wd6s5xyT`{9i1cyBIscVYOR*zbWT|zgO+EiC3 z55j7soG2Ix?Lw~tkIAjgB@DYAa~@J($;onRvsj4h5};RfR*>jH z(EJ#E`-t8hb#T%F(@kzE&&sHA&?k3*P-9*7E@Dt%8X;j5HaBTGv`A(M1zA>jn@Cr3 zz*rNE!3L9pGmyxn&T$_O|Hn&}1vh--I&mN;WYPjb(S!)tdOa94o%4Lk>jiBWZFG-LeL>h#WruNOP-&RupobWyy{cBUU?pzQQYjGOCh9~x zNEJ!DVxR?s{s%K%C+dR_C{n;qYbO^rm1{cBQ5f5x-3t55;aAq_trMW5zyeThFtV_y z*Kerhyp)T!zqhlnf$i2ZQYjJ&v+_sc92F`v&5g*;T_}!cM4N>AKw+wv8q*HGHKUY?h*{u( zcHmD~k7C8e0r5s%ohPJ~vgfY`3qIrhxPRS2mbp%tr(3NGbP;BfG-FsVr%Dvh47>`< zjadzF5{<|kx&t81?I4m*%IZ;cHD;iXNuwzSg!~CAOTf)omKRM<&I3aDBj?7>RBsVlmw*mS%0Pa&SLHxl)44$>jec z>wVzjsPDY-VY4A5A+R${$Us)IGYl|n2neFx+O*yIGAzS}fDp9kd5vsPH)+%+O+B>U zb34PAWgr`n6)k#JUEEl-YK}DRrPq7zWL@LN+WHITyj<_DEr>p7z1~3*n?!A&_dx7D z&+pmSD+}3d_RnWN-_Pg$`S<>~u{({fu>l08!4Z2+_p89$&B~!}>`lOj1824b**ai8 zmG)~zyyp}Rb1rs`fJ5j(&ng7AOVp?*u?!uQje?#d;@2*qyhxqafER72)GKkTwOsI< zw4V~k3q<>PnX=6J`L0r9v=PfN)C68XKC+wse*T*m-u~u_{MHsS-L~>!&Zitx^aW%W z+~F%JLH(IofY400m9J7aa*2UHP3xR0PeeMcCa#dqi%K2`cPL7A5S}VgNN;ryK*XEX zv_^!Lu~M5b90vH6(M&6{&Q!X}Nt%yZ!a*%3GTKTlFK2_&W}`t*fF+)pf(BA`inVQ8 z_9*AuCJvKg7Q|=pbm({*rk=BPY`6)?$s`Ef3YIl79u2^m+DexU3NwnR^mssut0$sd zJOZUC$LPB5K+@!r02RFhqC^l^R;Mh(FhpNLp`8MSfpUEuCal{mkTrRtUjPiliCaMM znds-!TTLjV3@2DlQk>ozMT@gxhjc##iJhJ*`R%VpUFZMmjummP7d*7virNV~=Qir1 zitwffNX&hSaB8zM4r^CPMP5%UND)y9y66#FfXBFbaWXP34OYR%2gg?cnXQV7N^)yu z*#2IXsh1H#1rg=BpbiTwq6`H9G_rLy;WeR)PJTrdi_J4;u8CIfD&(Ta`HiM;znBAD zmavv_*+De9HPKQE!G(uuC2`2{fdB~H@X>Un*F18k%jbvVE^usA<@JJQ3aqQUUtK=R zuzK(iT|g4E+*NaQ_%&Sr#PefzWUO^-zWB)@C{S_TqKORk~fYDv*!IX?9*D#`#e8EdEPqL@xg zj6i-HC`TeK6uTZ)#Am#sWz*-WYZ=e3)*L2vr!-i?&*dj;=8%;tw8vztDb8{@0{K}C zpc&)`*Nsk0TN86e1fpP=kd?Amq*oOak$3)odpVHMBJ% z+@_Pn5h+r2NVEgFWi31;%5%0B0Q-Y z5BfbJMG{ohmbH?cuA)F`m?0h| z#Z?owkb+hKt2T%w$%m-PCR|}nF}%VcB*^IeSpl3%^D@-{UIb(qv2SWdaQF#ct_YdK zS`K!wQN5KSXp>x=QRf!<{j$3OD&Ggu(aKANC5C&@p;r+*vW_^t{A49b7@U7X<{?Ty zK6ar*r=f#BeO83EEdHk+dDK|#!mld8jK zKu)2cii`~YH6i=chfSpCm{BCb5EN>rC+W#j+Z zLA2vRSZe};r;}^Zd8gOCKu*Bcv)Tr+p4&v=suF!dlD&h@3T&^$t2lKCzwitMN0dk2 zD-0CS4B-XQ)0DL37Lo9TTu~4Yh%%0QP4a=6B|wNWT4XUDyM%j6AUhR5j8$Mpu&vzt*fcX51+qkDq&KyyHpRAD9-PNs9S}Gd!TJf!r`o86LZm>F zN~oBZ=oIaQvXvoIiI5~gBR;8$EW%He19XXE7XnZs_2Jx3^Bz1SS8Qgw(6^binN$!6 zqU@#0V%>FwSy!-{7wa}lNE6Kn5^?yYuF}yQ1W{@?6!VkbUkC5bFFXCY(xF|<794n> zJeN`hz@Zg#0dy77$qK>+6*%`w5e^B8Me`rl8%lbuguD zv_zi=1Bq}A$P`{9MuGi$@g+x_08k*w&lSQx8sBKPBY$;z`4EE} zALcGppmqVjgjNc9Nd0rJ~*&%w16g5+g6Cc(< zbc^Uu7d4#B!4&WD0ad5DRv`kjhofb|@HHzS<-rWNU!(}AfM8(y3JG#+&`1+4ZUl=< zgofI6!hX4gY$CSxo1#d@e2*4DqV7Qq&&=DP#G?>m^mx@opXfL&Rf#x>UKvN4a#C_5 z-w7<1a*LsjcILH`7aVA+2qAmY+W?hwdUTY}y1c5dNr;M_4G=gefxDIsVgc0?Uqbon zO&CSc4Qa^0m0&;3U1BLP%m!M>p~$%O)z&5dA8Vgk(NJ`QEeMm+UOsL~AieI|)*wYP zwprY2y$)p*;t_F4W4Ef7?R5>95v^`nMc*XH@y4OzOgJEAr3!7CCL&U@NDTY$m$^hd z@}}2>!O64$HHoWDB%W*&Nmd!$Buu28sGJwIwaAmCia8Bn#+IsVhJ*l_rM_0WP3*gB zCpV1_&{E`ojh<47@{p(|hGX!_TxWp}rhQ#$6&^QaACe{U0V2SU;er!fYe7pE!_<-^ z;&yQrKIxpC7%(gqG_M3nCqxRid<#v;cdO87!A05f1wcfepJ@6C6g`143+MrhK*Dxf zqgNN}xPaK{PuwWP(-NXZg)fX!b(YcqS1a(`HMB%5r(ivLy5N%^|K^XScU%>4Aq1?I zqp@wA6&2T;kZlR!U?p<|jIK zH6u5(e^OPaoiKXR7Aq2Wu$>7bYU`>22$XP{t5pHnCnWz=TUWJh_Tfi9sS$@C*a91R zd(A{&EfQ6!yg%tGT=mIi`)TVcY84Pwm>iL)m$$XGAAZGFSJKI05t6XVl#-iZ5^gB9 z_v|dIYlGMT8~QE>om2rAQ@ji1^F+T1)6oRwK_cTgg`pM?l*(BFnz)X(zoGV2;sT9o zkfxo>>seM-dQP2#wziLaWB2dlcbsco5hgQghkshR5w|=*6x)bLw*~4ZJ{vJ2iE0h0 zOIiU*7V*nMMMlpLM5X|B+wU!qF5TdT;OEFE&=9Mhg^q2d2As%wW6&+kV;ns`Q)p*3M7o^(pJ`nN`%$mA*qG7+K3ZA-l-i{ zhhWS<7ciXfRr$ipuPad8>2h12mX*~OuVyQ&8@3fl8OnN}cJag=i+eu@lTjNZ-QbxK zvc(lJ*>4f!RbHHieG=j^3+O}bqp}DfpDZ@*kbA=Dw_slp=Om+a+JopC=3P;xdApEw zPU9+($&)4|09Uq{&DRN;6me{y#Di>?*rLjauv>_&Ed_cEXe^BrwD$MU~HJTN*gH_kL5sl~Ayr2BuVBqoG=Y3l6Lxh>12Tf|OACB8jvkC zUM*ZU_b{y?U{1&~Ot8`s)xVRXye!R17@U%{ElmgK36lsmV2ZQU*)5IZ!u2RuWw}lx z3jkBrSRq8Y0z8d@6F$ZJXJ=L5AKywSi_JP|Fr*IYd980TUOHbh!@afZ$qOktdM;EG38JE(whd zWEqK~iu(Gm=TC3=+uy7h02_!Zt3u21w#79Q40bARF)dCbZEYN}Y=bJpI|7O}+6roC zI3^4VFbe&g$1)*11+5`op!RMTeRU64iGK82JFs8T&TL!1o<~Ao!r8acsIsh{>jX_j zK02vhBO0YpP6Pw7X#3~p^j5PW3LaA&dgWv-R5Nm+)&`@fts!~`Y6{wU*+VMeD5o73 zY%IlJBCs6Gs@V=7&iR!tWYD0Rpw>m^aalD*TbA}wciFnBHj{})Q%LmL2OXlM5>!)> zH)-Y;v9w-k)uME^)X5cyb0pltwnwAHl#`o6jV9m&!pUm5rkt8j9{F_tGavW=*Pmpm z6WS0095u!pnfB3NIM5???9#w>4z0@ny^dleZ;3r z0#^}}po*%p@F(?5AZN9P6l)1%8Ja?zEjZ}NZ71HsNr%H()=nFna;=izmT0bh)|Umk z2HM$y7@Q=AYs*Ci##4bv=QN}>|68bOshos$Td3EF*Abcy&{=Rx*~!U2$&}o0tEVke z)a5mF`+aipUtEiyKK!{z@1U6y6u(hy3Kba}RH@&x>;n(*EF;J~XB*HR>L3sFvz*gd zkB?;vNKYQOaT2_fofSfk4Yr*kD!0%5YS>W76}bl(S)6PeqmFqpw&x$?Ts~Hb!H7D7 zDl-cI#Q~v)cVH<0Fpo$($@`TB0voESbFiU;?5jfLv0_7kQI~D#A+g?W@^V%SM=0cOu4X-7APuW)Cn3)XfC{xogngbQE5mDakPorxk^;M z#L-4`89c!l+a?rQA#9rvMH}NJovg;mNN@n4q8j@+56hZqWud4Q-~At< zhu?j7MW)PDG$$Y+dj#xjhJaCX8x*8c+_fQg1)y;wt@4Q(5IlL0=q-H)9>+Pf_eAcr5E+^OvZP*BWg>;Equ#GIx zrrA@uY-cxN@_kH}p}xTt%dmp6$g*W{*%5(?)P&61(AA_#UhAWoG66;BLc~~XltP7V zQ)>bS0J@@5FRlnVVaeqH(8Zcvv9L3oC1j&oFAv#i;zSd)*ua@OEV+?+?g zIbe2o!smp0s&HiI#k)$$7wwYtC1M8!x#IalzdrcyYd^0bE^D+_R|z+^tkGN=I2Ibc zB4;mxkQL(#w>cWXt1X)f34m8J=$phu(F3t;D<>HUy#6F&q%_OS;_F zXn3>`5(M>LJ4pE42qP&^1y?)*ID6QQY|cvT)e?oUZJpl4BJhf$vVw-jWu;yxETmFE zT?o}ybh_~Wm3I{kGK&3nvS8UDqo~ep7nkvZ4QgSM@el0?4Nxe$Ml?CGtZo_6+C~`$ z90_>HeNOAzx}w{5&=3P6fDJ*l8iKd&a=Jo?a@S$gO$9uZt=${1${ohJ9+57z9t%Ti zZS5XuEB=df8+=#IGa7~+sv3c+XQ}Ao&BAZX_p<#PqwsM&i00nqKlYv78s)Z1+Zu3*PMs#UT^ezn#(e^A4Jdl@-4^o zT>Wk3uecEQr9dO7eZz%CX92pu@sNFQUo(cs^ge^W_AC$nf(t~K`$==`0dT+l2yPCv zjw>!^xzA0}?MIxE{7)Az{n;It@y(ZS>u+s)bn>Ed@1rBMY$<+Z3{2!#&ORONxH3}` zY}-NpBYfdUFV8&HvE@fEUJ%=kzIY}0wXtJk{SWAu&i(@kz(t!`yW`VuwCUhb-*IbO zzjdLq?Wpp}ZGT>Q>;%ZXM=FEw9bK9M`}T!o^*hIc9sg1J()ap**45TuwakisxvQkI z>9OkD{#|_ewm(yA9!p;6uX$|jvv5n|v&z<^HHjDBANy_B`(sOE$8HPEja_`HCdggh z(R9@N_~mct$4-rX*m32yx4?IP+m^k-2Z9%0YOB=Wd+8$f2OSGyA#mF}M}Mh*_Kn>M z<(GfH^dqo-zkgyTexYh-<;xwvE}r=ycrjTsMlUy)yj1hp(O-7`vgl(xNBsE2$X@bK z65f$}Z1JUg=}2+lQV@*jAD;cm(JM1gmsNh}HV}gE2rea$5xO*1-SKqssU>}P@SVlr zCbIjbhN+`h&VH{98=;muo(i@pw_Q*`oBm$qkF-y2+ud>Yli+S1ROnyj6HD)G*#^pV zu&d7}KTf_pGqUxzi;B&ME<6pk^V`0r@2=dlNOo7MOOHJj+>NIuYj%*&nwxMnp614` zfHj@}NnfXV1-_&r&HAi=sJr5@I>+OrC?07G>^w>X;-NBBZoWL7D zHhOU{uIhWrm5wd7iTC**zVv|pcKc_){mm!;^fxP7lux*yT8ovfWnO-X8yUN^zJ~67 zsADM(%I-&p16v69{K!H6vaWwsRDSr}jBuH3QOK3Rrf5xNc)s*OeZF*F#JZ46drK}8u>yJGaTcS^O6sAM} z(6XD#^WF30Cv={>tbeb&?Rsq16_4qGULAbt(b5z16$|7&Y`6JL4{(=)M@o-~zt+jy z#q-H`=@)kjUn*`3vOP5&Y|mG*!Kwh~;bZoQIEMAR zK9a6nGDhxH=F8r3!++DQMNP492(8=`++y>NC?MX<`2FGoT{FH5;FP}FuC znTq~sYzp7Du>l%G2O7THZ`X{s>=9;bFPzv~)JpzUXyc+wq(m6#*p&Jjtw?RLI-F}UVz^2!1cJwXH`aJrtet-hfUkf|BfQ%sPBKsLN za_J2fk3|y?1|i18Wk~wF!8Vq*KMg^TF9kRA$F_+-YUmB_E2N7et`@c^lkr2_reYY@ zz@PIS1;HimDdBaf`=)d?_f!Dey5_qt;BDZWHqsgQQpa10{_%mPdp%3yRm0+NEqf+d zx-8YtFcTh`ac;FV$%Afd7$o#7)xn7=jG2wNo&hypmfvnhmklaW$pF8Up?ENxZLWjK zM7#U4(UVMvs}_&icF_S!*Bn3EUNk1lbctyXhn6Y(nIeU>^MxBk#w%KMeD0+dhX8 zVVn^>gkNB@@d(2RaF+SEUA$Q6`qN?ybU1@7LuhuYq6Z$*iN>tvTq-B=2^iB6(Vd*1 z0e?uRUuJS{!UseqJH-*hZ>aSirzJ#Co9&6{iUaTCS!cAHXlUnC#hS5-Fsk7c{JPDJ z0XXPHXBNmCOeRZ|WPAx{1fIm}5CUx;nG`(GP%vOB@>GA{9ka?@CZIcE+|dxZAy(keA)NO6|4vUn-21$X)vDEE&M6gMUPhK-EypUe)-IQoKW?(UG|OULk5_3`Va*lgIvY!6 z=SF^=Xt#Nll8%j*uxfs7e6^2h^ggzkHW0&@VOd{q*m5x)MrC)lr6|lvE$bS-TrilV z5~Q=SgY@_Dr_FHw#%;`h-)w+n*sgi`mhdnCSZn)esLnbCy*2hDz)J#tX<(e<^4}qD zTjKzN6o$D#!>HRS5_9N%J+jhyGmff^eIJrb{V$DXX;O!a0Eor?#97 zo+aj-)jibdHM{A(p=uhf8ug3%J>_hI)+Bn2gx3;`p3T-XbzX7L&-CcQY1a!a^-*Ko z+NQnq5Y~ zFJvlZUxC%r!k;dd+Xu-x#Mtx?+FteBRjFb<{iI;~XU37IsT2-o9Mz>w&&QIBT%$DG zeJ(J^FZ6`{V_Ue&^v#BJVRBG9N9)?;KJwI^b~3w#ZA^(mNpPbQ9<&ze&Vu?jqoMA{ z6YXw&?Mc<4AnttkKR=M({ZW2*-zU#qdvWe>R>bWbrVY|-8`%TfJG^X&3cm8>zK1vH zf2~EvzM+|eypp2&Hl-@qIbgU2s^uU z!!I_9yBgVBBW8lU>NXPptVI-7U6U4XLH`N2S!$)`i-wLU(HV>B@kp+AK;iSM0AY`t z69uB7Wn29Z&~%e}*dPTM@w*&HN=27i_P+@tJ%t$;N;nehT9l-yG+C%Mt9J%Tc3rI} zzSI2-h%k&ZCEp5b2H#z5Oh@!oBo=QOTidht`UxS%j~BEzv#SkZwXDg)8q?o7MCa%| ztZ$3b-WWn^a#rh>T?J`1SfH85jXaINMv@w|==9*DQv9!Z^V;dn+K3d+hN_?E|Fg=Q z|GUq7;pbIGJm}8h#RGnR6g_@aPin4d(#Vd>F=f>Bt5cJC7Tv5|Q`+CW#184fI&-sL zW*s+`P?H&@%wDOg!9s33l`#8M<;IAdvbG~DJY6DDPfm5Yt3x?@tA5u|;#L~fzkiLT z6f379=X{L%ZG9rZwR8LRykdbCvx{Q!sOjkEmQo&0G{aJ1++4*vWVWP{@>OELnC0bj zTwskqi2R2#$-DA&f9i0jLW;$lif+tB`8%S-Q7$$z;sI%F6DP{iQig`E;R;Qwg>(<5 zr&V$!gVIX$yL~fQ8#kK z4?i1<{Nc81SM+VQ6pCpi9O<$dwHrJC;WWR&e90*ACQ)F8ZSl`3*q0S;0ZUx-OmkCEj2x#tdSK3og)Tk67*++B!ftDFQ<-sLJ|HH86-Vv{{avc3wn@U%{PYp6pmD# zyhgpzx=V8@WDZlFjhKe*ikual5%4Et{42A(TIL&J>E&bImcI0P1H_0<>Xft@?oo)o? zhQ_whTD?}-)K#n>nubC}rei~suGQ*U<@TFWvfR&|FbTgwt4=j&>CIdbsk`>I@_tfZ zFJ^tpUAI17Y@2eaUolbLHnd%evQWw4O;02t(K(%AFn{(ZcCre!s=sJO~EI zHWoDumWF5A*?v(_@8YX;O)|#5yfLP9NBhQJQlwZc>5)?bX)ThN%1k`h=Sv@9)fT<$ zNXz40-LBCgvRHIj9d3viavt@s8XWfs2VY!|t*iQc^%?6#y(7?n;+C_7o7Zl6RS~p( zo*CnZ+Cj-tB>z+LtohpG)*iRT9PF7W(5JaU>j5ECET{)=%l~NSABykzL+gL7C|!<= zwHPMX5rs^C`oQLZO#2l_%o!M|*#M$j}T7(wL4vy~=ve}%-G{8_qt`At< zE;=KuMJPJWxz6oDf)J>bi3jnhiJA~&Tvhs*7+6a@Q}z&R2es4Blb6*rOr+4!yCp2%$wGMw7Daaf87n2 zpZ6>se`e+DXUm459yF%g!p6%jYOi(X+#6mqbo*nMb={bmq7zkCI;zyS#HOQjsVe@g zen}ZBy(Cok-^lf!VgF6KPCrxsx)ifQ{qsvjCCL$9{g?{`Gp>$CGH+Z!T5#Xi(Wd=w zdP;apQ+-}ZV2$YlvBNdXv$fHpyqy)hy+t~Gd*>NUbgLWoa3xh+rKWf@FU*=}?oYgRvsrpc=FUmg)$UeJTsT@^ zJeJ$zUC$S6>dkbNP2YZv7S%3)^hx8jfB4C7uIeQJq&6Ap)jJ0i#T6YZdv129?v8vg zuq}24X_#+g&8nH8bX&Jq>1wp52GPwsuc|pHyB<2RLER?y8@-cdvIL4ZqN_rTonY_7 z@%HpxqJwOrP+rHH*~*-fx~FreVE&A2Rcg8|M^MX{_4M&Wsl)TK++ZFLg`4D|oyg8h zOPIz&_uf3o{MCMpO3D*_IafIG)ln|hGLh)#*tA-~R+xh8j3Qu+r-k`qD|sf-5H6i= zRkcDTRhCXq{PYIB4$Z>*{j;+4m(iRSRSk&qLu>RHuXkThU={LND|Jkl=IW-nS`Ra8 zEs<}lX{p6RkykjtsRl`%qFs$jbd2u_^gY7TDw2|3)5CH~E0WW`#`H;bLW{iGijF7w zdqE_XzleNUqJf_tx$gZ91G|BQZ?YRBbFNO4Qkyelo^N}@%4LR5xKgRxFYg2(BZ1!t4{ zwJ|X^J<#Dg%hqAolgUv3S#DnMu4>A)B(>i616~kikJBr62$5ugvRYcJ%%CxAJ`oNH zRi}83yh%M1&qOE6EOyL`@yT@sck`RN4bQaeQ_Lx@vwHk=-Vi5)+Ww%#9q-CgDh&Az zHm{g3k;Rd6sjGw&`{U}Ur`wgdR;qNK)>?nx^4kZm{r$K6D`I0RYlrx_{}9Dsf$!Dw zG&>EJ+C-yh<|J87wEPQJ7I9UIIZ<}CgoSUvl{{-HXM%~TcAw<*MB8Nvfe$CGF^Uw> zr?EMz8EDBIVGWRl)%9r^O~mK`pJSF$HOoa-aXFIg$u*?GY@Vb`1)1BWr344 zmQ#e@zO*S2lwr(uUFqw+W7bBoaI{D(opfW(6h>SRnUbEDFj!C5!=rMHWoFTJYOF63 zJxsE|Tq}M0_25(Mim%G#9394@m{LyK6Exu zOQUA>zCu?k8p$^9-M)k5xc78y?jD>!^=H!4raXRkyuo^b7EY!L%_)+pDd*-++#-Kl z>?s>pc-lUk*?G!sW%Q@@)7u*a4DIC_^ZF`+z1K9cQ^sP@7CpCNd>@v_&4d^{ zQ<`0RaeI0A9qygS-nY`4C*2<=Zwr~jzHm%;K2s~)ExKk~(uMi~E@?$>-=>$CmKKeT zn?0t77WQgZ@(3+IF;-rDwxf#9HOy*n^Y3UIEK0QK$PWyMV?<=UQ_ zzc~6)W&Vwct1_jlIBSw}(T(vz>Ca8iDie#yrY(~XkSq(6K)SPE;WMq6_w00GN~o6Z z^Rre0G=@=)6*x4&5_28Ds&7bLB<2t+KC79AJJum}E37W#O6mEk=98?L(&0&0CKYwH zutE3C45_?suGKL9%NbC*ut!F#n|fCn2CK|8S7c~8Jn!myQR9g+xiOt{htCBn$;x$B zXIqOzl9epxxmo=>&Tn)n+e%;IR#B(FJ;Ee45BRaCX_TJTtDy5l@)08)agr*9pBZxw zm%G;SGOCfIs(+P?QRbW~<&4nf-N>&MN?EO!&7Z#gmlNw3A}fN)jZK%SQjS+)7QZhB z3uk$~J@QdcPl;YEhOCehZCQnm${`hu>Vt`tS$nvYSA~A@1yIz}_&(j3)n;k8R+N$Q zq-G^*%BOcUHp>UB@zR*d-D=2Hb%L3B&PZwcGZ-h-q7yBe8ZK6+HRZ=5T}`W;ll+|K zY9G{Aw`?enJgO*u^IcO^oeMWD==Yo5k*s9j`#K-Y`Tt&XbC=rvc5u=nlDe0Zw*{-@ zQSMbE*`O1-F=~W2xwlseXX?9dHl@*k@wi_-BfX&B4r8{xqD$M9tf2m`Hi8PKCO$tv zTjf?1+MYV&uQ#@`*I~idyhKTD6hukxaJy6#>NlpwNZl8O2cr)8dmJiYRr0)%+OK5M z@*|_sVrNUGPj1^Xa9;oBZ~yhGezEgh#^s$7>SE~IjrU88zK-oXq-f*K7Jn+TD?&7$ zJJ9?!Z@jC9_RE~YTfMRSBH$s z=Ch`3Hcsj^YGzvMo0A*Ou5W02P375AS<)_1&$u|)+2N9>%cNRjHgoZ4B&T>NEPYMhmF^c@{L`+LTAK0D;+Oa zW;@ArpsRy)7rrWvs-9UTT_h&sl?NXR^<~S1I9-Xssu^>UwRS2&iv#m?PUA$6;SIMB zTSPx1vW9Cf-^B7_HLdSACit&%I$yt&jm+@Vg5{n#$n~6(deuK1+1)anzxcvcG0vJA z*SDy5D}JX`HQ2=w5cxA>QDdlvPSit;-*_{IJcd8`kwZS|o;GMF)o>TUMzA zhW6&sW>Y~ovxW@f5TK5btt3*%Jw?l1nxzn=LU6(60wMBb0kACcqddY!S6P zif6JPVS-)CZkIumse!XoW>$}{l2g^PC6qtc=ui~t29s~pm5_%DtGRsDhkrmHuPDZ{G>9-y+$m>#;R;z&0xlb<562LV9eh~-KqtY40 z`29!!c;>zQ{v*uQTgopG2K*uk4+Bq_gY>Ff0+SGv8_lw!piq%R9)@r^A|2En;Cjqz zMEVXFg)q}1AO=I$N~WIFU4o9}pHmVe7L}PSEg~nP1<U7T9T05xg58pY(V;ZT8m1l9?7R5<3b7^foR zy68whuO?ZV2kU;otikB&ANT(H=bQ8Iz7L zf*sEh{Bnz^Sb2gJ$?`u+SSpkVf^P5)0;AAD<{TYY`G`Z|qamjRw#=L!{{(A#h{JR} z>Om&JDevTb<*J-rXw2h-h5OzJxkd|x`U0BEu0|7YRkk=SmyJoB!&Dw%oq$FpT_z$! zEFo7D=AcUo3JCHmEHhTgP9p`IC2^4jolYN1{Esu8>)-jW6&Wy?2k42&DwFi*VzOhE zg>r*aSFMP}sg6i7+iWsnSH{(xWd(TTUF}@Ep_y?P(bj8KitcC_WVl)#XEP~9kZsmO zjS?p@uj1_c8HUK69*6#T9VVKHy3T>uK>@LTng8^+8K6kun=nT&Xy zAdGg(ctM3~xkaPM|NGl5;uW*ga))k8U=oNhN;bbIjM>XgtqLEsbC zR*q|Y59X-!gfNVE*`=!@Gpz!9c)BzFX?_!MDgW!OEsh< zUk_jX+T&oIeRztJddp+)LxagA%4!PDH#+=^Fe#gQqs}<^Nx>h+SjU8CZ2=m-jCJ5P zmL_G3ZwNB&ku0;(oQyo~kx@gDA4ai9<{t56V}0SaU?hh#wO7{Yvd^=6$Z|x?-a?Ia zRN@dBSreX~H-OizT%B|HyJfJx`tjARLkdP%OvmzpR!E*wdE=zT; zE_^!Q9l|UvClYYgj?SVXq@r#yv%e+N#4*bk0>*8JOY&m&2kP`re_hbjf`oYPJhaZc)`V_ z5!tggtvkuXS!g1ZC6PGlT&mU+5k__5T0^`B&7R2Bde9)xcyo)Gq!F1{^lVjQm~)(? zT+(ReYP7%djZm@N0%^iUq|y#g0R16MePnIg=~`vZiHLQ|FcIhvA-G|Bl)rjgI% zi=_2bRSNlOEIL}v+JwccWmA(Ex7Iv#EH8Z1$`@a!u9M&rab19=U08L)-`d5N$CksFfKURVU_S zdC-M>a$vd+vYZgBbLFtUO5KT9n6|AU%`<9wN?o{UsM-p|iM_^y+Gn@km$);3)8{-2 z2v@Vz$RmC+SEg0 zCH=wD;5;v!w66o{`^2H5k;b*1Xoq zyZKwTpy%s|+(_^-&n(F|@QXrQS81Nm|HTgrZ}=boTB)q(tNBf#Sl=bz$iP?gcYU&Q zahQ+QH@)#;Uw`w1WaiB?^;U9l%sBDlEbSb6&G*z{z4hyKctVf)X0=pTG&*Bu?$-O< z@fYasVOf2utl^hB|HRL*+oncqUwCur=ZEWS#)!74p>~{F+Gvvh$p@0Pm9d4=>FV0e zQ`UrCvOY(mH zBd_`7LXmR*9sjKIXd^l4PQ(h$(b)Xu0%g-sWPe*{x^9@;Xw8tZrTVJr6XzBR#;T8u zY;*7Tr|(mb5Bw;#x4e2GZFG;da)$gdAroJJzhQ8GVzggMwTvk{zxTqOy~@Oo-TDEt z@a6?^pYX1B$ec3X*RJV4+)c~$-$}Fb*|~17bW64Nsxlq;@u%`FTBcx(d9}Yh-YPty zofUsodY$*taJfF(y1;H#N;RH4Pa1Aq90>ADZ(Luu^jwXiJhelVH!C-o*MFliKs$E` zZEtk8|AO`8>)aFDxJ|mZaRc|YpWb)x>sL;}?xK zMY`*E#cj_j&sFl521}F*so%FK#RfmN%H$rMO`YmPjGFL$d{w~NZXldyy zing`-N#kFnWJjR%g0=1E6+-J{TWR5u*Q)Ct;m(a6(7IpHGf`vJ-1!$O;w8!pbh?_m z_mLVsUb(9^_LN*AwW+tHs+9SLIdeW13O>8uyREf8+P)B2d~R!VY539)%l7;@eQ<6} zyE%35rcItxWx_(mqV;9+dXSBcQoUs0UDgYE9o>jz%?hCAM5+|%rzE4*L#?dR^k`_%Bcr@f6I3DauDgj7^N*|+&( zpl0LzzRet8)2yG~$aW(*ohrC;52xNSeK#K+I~$+XQ49X#za85$kROw-o~J4PBnHYW z{|-Q{^6!eDxOUqw_3@MBv^4&NKA~>4?EBKedCP1sHRdPHGn~&IG==56Ly0t&UL8sV z&A|)%_~$1c9|?%TC$^4{Ao(`*_tpi8pM072)do|ov~Mc)Kjo1${i3^cCitnoYt)MXa(Nz_|&qXpM%*h8j7K49XT;gpStswZRG|m(yURE08V^45ngPQ}l44pOh+%wGt;#RdPh81A>!HxP>7m?LkKVq~(iP zXk-q@WX0)G(jM(xOOB@YiCxVKb&3_>z>zvhO!|Nj)`~xzQ^`Tr;8}L`2`3Ha4Wle6 zs|uiaOEQ8E@Vd@OPE%Dw09dREo@53u3`}ztfj;3^l^StRI|kb(T#T&FCm7b(lE~@@ z312QsorE>YRNj<9LEzLu#dwg*`4U$oO8}_S14P2Qs8%+j&J=0WmPhCS>5CI1zKN+A zQ{+f@L`avS`L&G+>y9zAg0?mF{-NQU=eggk$Rs;qIW;ko)h3cGzq|@WiFUBRUKR&= z9VA&nB;e0=IX&hY#n1Ko0#tM85ugUz;ZH<({j?vUNxcj}bb{uH<@)M)#*aRAr=mwX z0^(2($b>9O?^39O?e8+taGRX{kO_Kd^B&{g*6mR|3v)BPGtQ!cWG$ERIIlu^Ic$w#orURP(h z)$*;P$JdD2WNE%yc!=AhhFp$nU3&!kjJE`9l}U*EIl^=DROk!xjIbdcD9 zm_`)auA|0R{E0VX+8Luq_D-`4s_^|+j>q-HDQhZyJ6D#lw9G^1rSsN3p;wkFO(VQd z2;UNTh|L7e95it&!OvKqNnEPrCnfMipjj>XK- zkRrU{S&T`;LKDRtaCjeG=(e=%u=*{YpGqn3^5^>MYZOWDC^74*%-jV1uog?~vV{jg z4_1x|=7l6TpG-@h`O;I0XT4k=yYUN_6gb>fIHYMkh28D3?lVSMy}BnOD9oWvcW{x$i?Iuhbm&{pNvGhQ`3@I$17S3*Ew= zCmR+H7_)BQ4!*``$|oD@%jL;Uw8X3(dY;T(=P=Pff-4ojn|l7^JqSOV7pRwfVfotQ z-Qf{&BK)cvK3;KHzDvwjJ7e7)=>l>*?fZ+dCR%W|>xQ?)(m>Cbbh=r>*wRS5mcJ{b zSL@~X_R^Ht`m8%JS1ar}Gu-|!T-H`zDT#?+iFrPHq^{(xjlx`!Img0#>{LL^yZ`Q? z8&2h!H>(S8(-2=+%OwWr3%+nGJzY?xEmY)1zw^$2rfL4d(u!^~3)2wq0_lkvJx})K z*J^p!WdzI-ETk96bc}YC94#^yy5ol*(rXT!oiV4<;UTw@X`1w!JvDv4$!&wS;PWL4 z*<&Ink0&K=bkFTG?-af-s{QrnlS;{r&3s+;3G(uZ-|YY9L*$prym(UR7+_yi518{p zqREs3V{52XJ`k3-g=vK_j3K5EefgDh%Is5_foPQ#-Vt@1C&TmIdC{@2eC@EX!>yFC zJD#ebHNFXXU+Zv%Icye+a|PtX8&^T~&y1Zh7o+7g{Pm#&)xWr33|%`Pq9#$}HA?Du zB5XDdiJL}n4M;#pZ&Xxn37y9kd}H6CAJ>1O)!*ak`I47z_NK4B_#_$G^$R^*C4A+s z`N{J4CgqlQZ)ts(9ch2ZJ@2j;_hP(a!6XZ*gW^IwRDJr|B~~2~&3bY~C^dV__LttZ z|4wzm$Q#_Ro|V--MKDh7F9?ftYpk4p@aCF5a_i7NKm2Otzi)Wsn=6+hCrWfnbHw@~ zNO`W)08iX$e^(V9=jH08ICQHy$HQsN#LE?@HH@~}YmCT2IutkisA*)=$r9d_x}sgq zsw5hs+7#07Jx)4n&eAp1b5XTGaep?Vv|tu5Sx0K0t5oL3*sVI~yQGkR zvMT7jMR;#An?Gt@qewfR(4tAqj_lLC6WKBoiu4j=N}i*&)njtCx1*2{)5&{G#oJ3{ zuN2n&K_XC+VM}RvVC-4alh|lxldQuMXnb;RdLU@r*rITn^dLd(S|f1_oN$7!DMVi% zvRDg5(#fR`7T;y2!0_y(ON_VhQi$hw5)Zyn zGD=0md5j|CoY!Ak$(gE{Uh=#yV_SH>X!>gf@CQ^ zWbC`|spNy?x5cxSA8xL^bQ=Z_ddN_4`2PK5HoO>aE#IUJbQC;4KXz#1z$tF&@9ihNa3AUT5?XI} zEGn&KHFYmusM{hvzqcv4dsj@qIDTJ|{$BO`(aYvt?;*}jU*Sdy>BqHv>y9S!>%FIX z_5XFvlzz7Sy`$&IMX7`y6B@6?4;TOHwJ%A-)Az}h7oNl^zrb$u-ACxb&P&1jI__GC zE=G4(!b<$^u^INGM-GVJ>AWyj)v?GQ{GrK^@8||^z&1WAzza69O z0YDy*qLnp(Q)l=k-^ejve5CZr{L&}kDd_gC!k+kS;7ir~KC}Cqd&A`-Imk~xU*D@g z;(s^b{cS3r9+WQK4d0r z3{W(N4gmvARtNa6{PRX-PyDd$4c-9MdDRRIEIb=(rS;X%P7OV;96(P;??f+|wPxuJ z-hrOhU-fwV@?Nj^osZi-Q2+YY;9}lvOD#tstaIu*g#sGEZIv!W$U7xS5RLd9AM)etEfjoqrFdwPp z{R8H^%DOLyhcGisZ+Fw%(F1YmeB_tCxDx-HwzrROqq_2kN3vpMGLc4Tke65Tf#l~L28cHE@6lz#n>JZwr zpFV9YL@H@x$g#&WG_&iw+ zTjvxXLS_5iAuxIz9vBUaPZ|Tv_PK%bXlS=7w^tesV+|eh+1gA|e53gC;%bp^0&a<) z<%87&>))x(eln8*c62X<2?3wgtEyl8d-V4A(7RUvZoG^Vd52Y)(7CJnsnD?oS-GQ3 z!~iKMZ@jv=o=P`@YcS*+MV*4n*LDiYmy0c1`x7NM_tWEV#?U=YH%y&eiJESop@1)% zxw3UIa8j`K(R2KDW|?rE$vyIG=3SDxP$*V)Y+-H>17F%mjqNU0)K!h$C0hWPF1ZfT z`~1KhZDwFr9)Ljs%wd1mI)nfCk;ld_tQ=>T8-U-*Xedw*XdMt-KvV_7pOSD6zPwNx zl|*$V?NAk4ysB3Ziz_)3oF^zz;1oV|L#q~$Y-~288!D_MQk#a1D1>@}^%w*qV0c)Z z1E^+WWugSYBougwn|xeRvA|}EmkVqRgE+*EvBW!ozTOIZjTEnXL~SMpB2p4mtE~WA zuk*0NDk*6NV~udFrI?(iJ*9D#=oHu+!B8rPJ1?Rzq!I*xQIk4EzihNd0#-m?DCJaY zjEkr_y*bE8P)0ATPC(Ag)-%NgPCXQhH2@Zn4Ik8v0zD3UG{sSZJ&#Ilrf5xGr51Ali8N_I1Rsi2492Kd z8Nm_E^T&Dxh|+@HyAccZ#{L?HTOvA15**##V1Q!FFq~2gbU=^ z+R4fn*LstAz4P>up4n*oIwsJ5J>3)x@?~t9Wz6PUOMeUGe&BQ@zPuQm9qd~@>XT*VE5@c zv!b}ktAES4xJXsv`RV;=FwPkB-V+x#403op+?sB@W@cC6eNp5(YR;Y??iI}2*A>3_ z44xW!25-FPM?&lceKtH+y6udZ%>~!_3SOZvaDOCw5_1oh8dHy`djcU>cv5_q1BEDe z`l@%l7eF%9Tf8Uf>4DTQab+a5yKjRzJM?Y`bG9(K@q79lU5cmZa|2G}Vz_Qo=YVj@oz9{Cj6>t0QgIzod#Wz;n$r($TWHNJaT>CgCaRerQyT-H6Dk`n|rnf#6lXAYY+II_CL^Z*&lluD|kPsD+scZ7#W| z_!d0zCc3Hld$h7ooZrwWo-ghwO?oQm8jzh5S8p^|rLGW9eYazf3x|L7U^`bMBCk7d zDzUfhZmt9rHV03x^U+4~OVqzGn}pCc^al+UbTvM4@ecOlTQyf)`+@swpFF!f;#Qg0 zVHb?4kNv5al0WlxnQuKc4NWst&BUsimre=I07o2n>Bw563A||EuWI7n+IY?^FMh>{ z{SueM>Xh1#2Fk9(HBSKxbNh%fzu_Ranc1CgxA7mvb`{>r@U9<^xn47ZYx!{Pxt~>d zTdswMyQ-p~boz;RjJ@6F-o3Aj!8Q7RZqQdUvCbEsVm2-^Glh4+Q#I5gg5W!E44}2X z=AMJ{J%{zpm0Yto5&=CyGSqUQYnHqC&ygg0@2;%_Uk4{tknzcu5nxo#4Y=?wW#2OLjB`!R|)ifecHFM54*MeNocGvS`$+WX^vH+cKXX{H+Rf+L4I!|@Qg?3iSN6^ z@ekA{XQ+f4h^OVv4-r5oLZbGA0XN;H9t(T`jw@p5D}_z?UKE3R+~va;(HfyTu;1_V zF9J$*a`&73y->I@RZoasSj*I ztD^&fH4kr!M*MT>U)b3k#m)X(sqgl##s?Z(1TOI?RH6rd!JQ9Y)3LDw{D68Jg*$6h z|FP&i0#EpEdKPrDr}=Sk&|ZM`ZIYY;HN}h2W@ovh++7WTD4q{1s-C81b@U*&-&w(c z-185={3IrQ_A$HE)|t8qde>voRMBX%6hqv`bpcq2hboGiK>UaTD*;k_ka5wigH#ll z2?#5<30GqtYwrZcTslbZm$|oaZp!P!+!GZ{12$&^nMe9%DBL~(ccS_PPx-^;9`S&o zbq5~Sv5T85ia|>Ps6HijA*;|s0ko)pY%NIf3u2RQJ&fluz%GN_pjV@UBPpdM5EZro zf6`h$qE2Iv(4d1TZ;e)i7l>$3F{1$wu})8A6mdDdUdfQXK6Dht;MY7ZKMK;#*d=Cx zYI`3N;@aMT2O0!%{E|G6S3G=qdjmlGuPJJXrP2a@aj!DN8N=+cXvl|jZ$oSr>BNpw zcbstoOnGCoD7$GdXb+5WXGltsCF(7lj2E~~G#{a8Pj&POlCsIGoi)rq&TZ9x@`EK3 z6QS!sQo0UT{Hkf<=NnI5&xPOGIM2NNO%UcHY6Y=$%JRU3*JBW^n|Aeyt~S)Bd96jsX4IsRP%xRPUd^h-i+Sc2PW#V zK{D~iK&W}-m)Hq2VR}Lo-}y?g=m2maJ>D8-I@SzxW@+%f9m3o7=-m!9+jQZrAXOcMT7AoD89t7@xB9Dl~Njq`gPwGXBx$y}RY% z2M6WoIhX^du-A8IS{T16OwK+)z8i%}Fujz`0Y34Z=Q%v?d;7)lh7S-|aF)E-QFHS< zzdf7#=_T$1IFH$$)aGBEn)tbpyq@cS>v&iA{f%-plLG$ZT_e?e3s@FZPPd+^{3A5o zGpFS@zVuu_ljc7*L93OWs*KbPexETvObqSc0Bsj(-Nn>!Ct(vk`nEFenKD)lwugV* zA6z@yD@+|fJb3MkhmBpurPCh`lpDvljnx>TqYOOM2ZJThY8&n*sj2A38ZsE-J9`3& zCntuX(Snh5quq132ag^O&-YhGT(e0}b>FQg*Y)i^rEL6dV4!t)fK06;6SI*}v-hlV z_kEGF&Vk5P+&e|g>}F`Q!#zgmN#Hvxz@j*cs$XlX#OU3w?sSuRLB{6qVV>jnOdr2J zcp4h*o^Tbf9W@PaLBvz-?lpkvXfD3M^L*#^yy)|ul5@Y3md{PtGMt`*HoNC0H1(XK zocf23=CVR@fpJ&9xgi7u^d-Chel{_6w4IS_<8))wa=Y; zZjyQ*KzX13ChVTT#5i*n_>q}Jn$Le}i_v^%G51DQ>wzzA@xr9&g<0)X3AEOASUecf z2jBAMmPVNQ4Znmz<}855^4wjKcQ<|6dCK+vi(C`mO`r2V$v}JcOusSU`UnQ+aTVI? znqgc6R4jc!I1ZeMT2F)i;c*-QrUP{8MW}&7*_C$U9!|J>p^L($%;DUrgo& zXP@}2G-yPRQxPc?=lRx!#^n1N!;c8}6xD?e@ z4}+-OiB5sovszT(Zw;XDHo8E+a`nMdexq;?hNjveuH?;9U+B5SG<^n|>JB{jGN0x% zoR!J-$u(ljR|bspBWXPfG|2SKFO{jcI-SZNyE;quLtE8~HNMG^4?Ly|=E_{a-d9Js zk{9y184}0)Lh;7{s{ak_mG3imGYz4Rk{1Fcziuz9ZR%L4ZAnLn=xzdaO3fpq=aU1t(1_;?uPd$nd3JFP zvqfa*+8wZOvi@u=7gsXf$8xxb(0;w3H;Bwag+x)A$EZCX)oHD!ih7347S!8sbcekSy;Kaew`b(cgPm1+zZ_`lyZmN$ zIzO99YUOHeGj^&WswB3(7Iq3_M(?QAg{cF4k{uBs$ZE%GVDTuh0hV1%T|uvM1|e?J zlgol?Isg%RI1v&GF4Gq*JI_z5`4Z>TR3}q<--$K?j&oDF?7#85TL<0!FKyVhn z(JCnbrRJ0~&^406%dZGI5J_l-8875lQY--|(X=Em@jR8IvS}T*_BE(JH_Uoj+Mp9R z5LVt1Un7_fOVa~&V17$jA?)F(BP9)yX$w#TJQh-tf=RwH4J}4NxaEE<=Y!GSun-o& zvABz?l^BCq*z{P#(cCAZ%T>0sVDAU?wMsxV%^)rvJYkg+g&Wz7a20vw_9aC4FRZ~?pPgiV4o5cvw{jGM2cEjvbep?;uK70i&ew+)W@?_gs~athKRp@!=C)7nYM}s}>17m! z6CT~?|H!`^P_qP(L2ta1JH=qChZh!X&n|}(fp<9ns@2J^DeT*L471-B&p4;7lfK1yGWB;WNzwN*1zxDeS z58e9xd&+7y2j;s6HwP|6e-MP9J?lRI)IIoV|7&mir_ei-z|B7qoZp&8&e{t2V++TA zu=TNB+aOWZ?ql)u&YENKxzue{!Nt?$%|Mqb4o;i5&ADIRwe4f~%K)9VEsdYG?PYq$ z{DZ#$P^<@kR<&@prYZ#V+#LAC-Ll#Lf!NX={ix_RIBok6`f(tlCq5tjF|oJv%49>~x-YzkI}b zZX3i%Kez2M5$&shNABCxXk~#9cHO4J>DIs?AY9$m@;rBL+i#=0uYBS{v}WKJxBlVx zzh3Ts3tYv>X6BB-Pr&i~1C$55h#-#4Phv3C3IZV0PcUp_setLkiQ@nu`T_bft~mmz zSo>gx+`DZC?2Z7+Y=*n&_ZQAnu!qGB#^LGwO;_VG{3C8}V2V#aYzY8jZyL*RVCWz> z=!`)M>YoqIA%_gE4V- z8$cia7T37ddm)QwqYJn+KEutT^IS6sI*)SK_i~A~&i(N!H^__oU{wokE@}=G8B-Or z=!0M}_hFz|e7$hNS>@i(Rf}LhxGgRBJc_I7hm8+VvDoQ~PBMWi4wPcJpE(;Bf%AhD zxXj;x_qg|hN!JXQeqV_5gXpx^&%A?T{psLDW$2%A4F`IP$&s_%1!o29Q2_m7MtRXZ zSbU|Q@5fc{$Rh0)xC6gyMr%fX#k4rx0KEPnRAnBm?uA+bVbym#W;j3-; zxeM%`C4%61LNR2UL!~LO{JVoDNBt{p>6}gDePQZ{7K3ZSEUV`WlieH_(7UB4`BHrv@FP>;(9= zWO4+0MFChV3{Itlm6p(mVmKxloSwohEUiwkR){Gh0&F=fuojh!AOesaI2&uzpo;KI zR);A-NwUCyPtTx4@CpO%KSfIz;#=flyAQ0}HO|69L?MlG#Yj;5)8;E096a>#z$VlKozh~7r0BsZqn?cf=X?cPX$= zEKCI`b29_cAhB%jL1?3#bTEn1WHy8>3-zN0Fq06(H#wW<3MHYM#bgMA8#Z-@UNTLG zFVL%u<|oF%?1$-a5MxcSt?e_gB~--N9Z5~;^%=c!CBmHPAsVuKaL-Dzp5_Tvpuoj!1bjc5i2jurcqosc*-B6;RhgWY2LR*< zU|nh0^>^Zgi|Hs+DonN=^>~LMAgM`0aauTTq6AeoOr*hAL_WK)(K2k7!C-3rR8+g_14SnT)7(40M)FnL78C1ZZWBp8xzmrkCNZM zq9M2aKZ&Iy-A$|#oR$s&mTBm(c(Kb8%wqRTCs~HSN?+L(6X?Ri6}T?&g2RF}i=e^B zba%9Aa3UxRIKibIBncZ{!mos`0{3n3mhC!ArF*TI9yB&~k)>MVpweG}{3*vL=LY`$ z5AV;MSo}<63GI!vswW%CIb}ar36RVCi$%Jg76H@2i3E8{e^*Q;8)VKuPikix=J~rK zp8aZA^ztXRg=wqjX)zDWf#=Pm^zoAu6@vH>1Kv{dMV_4%3km(`Lley$Mh(aI+%8X) zboP;_)i$QSJ)>imtko*#_Jiw;?6NhZND4nv##f33dM>wZB{}8dhh0WDSGj!xb4$E{F~rmT zIijL0c(kpi*eELMT%8o~?}dVli&ULa#_&}Gs=%Sp5ezF9T3BmtlR?F3g|E!(+n%O9no3bm+BQ- z1mLOX)#g67RxTh%^6|wmZEhl^vocRvSh>B4M{3~lz7CPK^(r=W5c{wJMwL@o%u+>8 zHk26n7IYpE8EwkUi`FCEBGmHRJ>vFnAgc33(F%w}iHn)qTY8~;q-V3NB5uN8uReE! zVONk#(7O#QBG;L8rZSutu=XoK>K4X1v8iS-x-<9Y-!GGhQId)iJm;C|RJID?@FxD1 z#yQFarP+(dDD+~ZV5>5l)uC`IpfNAp4bibX)*=}kV!<6&B}2Z6R+TR>4I*T2L?iu$ z0qsnGOtbCRMfRw8UguTWDA}Vrk^JK0K=CutFd^#5qZnvE723`49oSPsk*o}}EO!Uh zJ5t#2iZOanz26*uq2tE=ri+|bqxA-_)cNV8!|YISo~W;+da<&gxHit=&L#y2KB}s! zV~CNlllmtIq7$27T6T}^5%sK4jAPcQfgaU@ML}>WDvxw^NTKV+OolXVJ={+fa#D!Z zV7}f?W7ekOQBIbdnNVD#+SoR73&kIZt}AbO|Bqjr`+rX?5zG#jL&ULSrHh8>Rk2D; zTVS@wx=qRvM&#{DaOx3Hbi)z_-H6CitWI4JrYV^lWl3VZV1=Jp0sE#9+?31C%b>V3 z;5|u-oKGE+auiAyQ9&$!FF&LeG`4S-xnaSC9c`03%J+;oVt`&?(w6}wZ~;n!1C^pD z9mE;ka=#3oK?2wwL;N;Rs~1reK&=kT;Cj@?J!edi>p79L%bS>ncHM?mM;yu8q?%z^ zoJ!&7Mr`jz$fi@;H~37t9$J{747-aXA=o{p0%L1ohu%}4mJM@!>g^EH1 zvAdFm>hIf4{tEChAl~&g3Dmy9gmvXtfwTC#jRH1*1x;owe3S`X_vhUC@Mt z27cnOjM*ItUiv4gR)bZmpWw22t3o=&6cVNO`W_?AfW0D8)>0mh1I5hOw9E%yVQ zDPy6r;yBFO!9;_wBF0p~sywC09CBD9I%(g+NJxjk>U=IIL?ye}pdw;Opd~xkU>Z3_ z+K9pK0JeuCVuOz*=knQ$tzTQJGpw4NRa-?G5mD!KHF-eyH&~fc##l+penqtNDY}_a zOmpmDDRwY{gG?~buxX-I@NqUrcqP+Fzh=_>o}ftV3~9)&ovy=i&F<(F4XN!127?^H zLo&4@1nM#Qj_sxim|o^H*dwhHHPfcwu12L{p<3~R_o|?mTMH1M)H+%0#cNb0Pfhdy zkID15Ae6~<<606?Cn55JV+ss&4K~CAGbp!0kJ71_1g?A>BEl(&S@rZXO{{=0(5j`u z(}C@=N{YFH-UCnuVEW=!3@W8{Iv^00MR8blGy=;4@Hi$!)i~r;3n{ArfUN0IRKn0^ zTIEW?9BP=O0jl37R3UA|XQaSETjuu$!9d2YKtO>gM0Rr|9AK|6STxkR9ylM`zCNTn z)Q|(b1xz&RAZo#cAL`26P1VU*05V>-lp0i~PAKkKQjD+Vu<61?46(|D%SR{j70%`r zX-&6jc0*(>Fzql_eG}5_bzUJYVGi5bW62@OsFMH$yg~kKLHg+2p{4F%FEskcXcoml zwNxL?Ht|KXAH|H#rWxTwQmdD8mFAq7mkqVCAq`MiJ>B1sHW8sjIpo^Pgm15`# zrY9?|ptz{(LXqy}TtYS@i+0Q@^$`@+I2mkU1lX@SKsWLhchtc46Ul36Pm6+9dbtK= zM#+LVg>6MNci!BB#>#jT+^yx)!nbH@TDDfy6;WQt;RECiK3#VXR~Cq&e*Jc1wE)XQ z^hW&)_5 zq#l){m4=_FlPEKrBE}qqZ9T=A6Q*M?GwKTvma$PMoy4X5iXy!Yp z1ze?vY3?hin4xN~;E4>`;0jju_;3cqp%L-#kt`#IFp3BZN22ZULFi3N1UT@QtjufU z$UFeVXg%b%Y2-)BZS?O}ZPk{Dki`#)e(J``iJ>Bs^8K3}*w5U<)jY2lDh~OKcX>6w zrKocg9%ychQEPV4g&b8GH3;f> z4|w_;{fWwP+kodFsVO1tqM{TiXNOKwxFq#79VQ-Wq(zlMFBIoJWFU%1Y9n2sJU>7? z4G$|&lPE*af_ZyNjVXQ9P*Ef4b#k^L;N5+EdlQ))D2z01HG2gG5$y-5reJm|S`5$+ z2~1Ht9yGmFSPkfob^u;7-V{fh$~{hDTMa|c;UAiN=?|5_4YZw7%k^`cUvBzR#J*a+0~vfe_6LgDArAlM!0HSOyI4RHmE+&@Zcp26Pd-}qiGu3fG< zMcDhf7vh?YwR4)CwNglGvVzSaIn7yo0y8XwzPmlGGGK(HTr7wyNk>A1t}2`$(pnGP zquP-)wk0gtP1z{sY^KhFMx{f1LN&5gt3=yqRVF2yN5BFTb!0_VA}WDoDlh^wBo-Ro;mO%|flG6jK&uQ2|H^9gA|zjR@QdtaeflWB4X8 zmb^)1i4%;-sT|uT^O8r_m~3UT-)JxU-hb##xj(8RmJYkLVpPrMlA=kOswQ$y2B5ga zsKCjLA^|Kb(M_usj)f7*SVcDv(}kE8t(9Rxt7AdvMK;a;6v)~3Jle+M5mQ5n`ysd@ zlVHJOA3rP+@p>65%t%BpXx`JJu?|2b8KB1p)ed-HXzAR5I_V`%0euQox3w5$y9xWD zI+jxasfNiRGmNBYXu)z_W$cel41dt$^hjO#e=(jTps++mY=rg zjz{6Q{_L^$pC7pN%U0>q)t~=|zReQ+VjfG4_2&teKBqfg#&YlbGb$~X$ignAyHw`oPw;Q4 z7jPF!KYOBTW$pIm2a6+U`Sjmuzj&3d7aV_;NI;WIJ*M`=VzMusNDIi=mh_anShMuA zFJuFCCW&)j`A!@A<|X55tMosVX^}>7B1+R){jCG43M5KnZ~c{ilr9gE_qnewS8w?a zbeQ!-ZOI$IkmqvsOFFC1rT*KOi}`$^c9+sxq{XZMwJ$Dx`HSTth+NOm`I7CQUb+2J zc8mG^E}NEl}pQs#hZWXNMA@|VV6HHR|X2S)UDHP2v>uTUHQ?}58waQ z|HZ(9jFwB1ZnVF4Tq?q1ts(6)t^PadUm0ji50`SxeYp4Rw%lDG{P!3Bf4Q=-f6Df+ zHZgR!>Yx8xWc^1sZU0lk|Mg;dk@;KcmMFK>9WIgSZ>9a>{fj$l?)%5w?_8RZt!ym| z?U07N`-@|dicpXYSoL6mz0}r!k&fVC+ObF+q_doWwU|klD}CqlDbvFWM%Qw0S$?vd zB`ja?S8BPR0BfV&>#odQ+w-TdE-uTnF*n1nAg@Z*2=fKmW~(lZ=L!s$K) z|G$tAOhcCSFt5OS`GDLO*J15ame%#~4XdMWUGv~4AF%WOuPrCMk|mr=q_eQgw?6+5 zNS7uYlrl}cr3w7=&zG+kDOC(qUM$;RP!5U)qiJ#CgD)%=X|dfuH}0(K>$J<5Z%5{G zkN#viQ($PUH#pOOk^p}h2wvOZ6g}^W~5&{ zyj&T=;yZl>Z_D-qASp0eb?Mg)%de$RaHcby8Fa>#8TlEt8DvJSb^R5N(u}L&m7h@n z50+o)vN|XWTf6)!fQQSk^i3#B9lVM%u1S|`v28AF_^jadT+f5cm9=QVRKj85+4MhM z27&{r>>8Y{Sb{U?cGG7Y&q99yg6iqX$4Y5%&+1Sy{3C>=ab%!HV)N-4%)+(`8vF-k zN&2h+GY9mi>@1OPQ#pN)&sy9d0vt7q1Fr0f1oVIiYVas`qxaL%Kg`^ePWFF&04>r* zg3}j|AcAPUgCjsUw-_8a_G~m4qbW*<&^-kpH9=p z#=-{+#G$dJYo0z9>$h}CfF6plupl(T=k!m(78!8(Mw1&h7T^gsRn|T?ELiA^%p%qyp)mk1OW)0!SqKE zF17dxELbI_4+^UR_y`e_*%de?b<_MsSrJ&sA_rh7?0qHy0$|4)jy}-)D1^{7eRID17ZIqwS76H2?0iA0|E2gdbO*BEm&T$4{W)2m$4KXJs zG(ZeX+X1ZxRUP_x z@7JIfa9Byn3V>+=fn;?%Fe6b_m*r9_W1Ce2GAJrx7~u>6l$L5)w^e|Y1cG#U1u|g{ zfL;43l2-@_(*y&-Ao|ktl*>kWD6$VET!!Nu7PqgMVu(xtMWZyE!GliFlID4M3(E&} zfhA~V1+;g%v-3nNRVjmNn6TVHkOm=V@m3_Wu&qG^7}m%_mU4x*_yRQ7DG^ZO8=$;t z1E)_TFTeKxgDbeCm1=D&ex~@@?vpq?bVqwe)tA;s$@wQEB zkpM1y3C$c5o)SlzAVg zp(;#(l5}My=N!{ahG#D>zIlQ|&KX-Owi8cg8 z+U>wA!dZfjOg+;Sf<}=tD8LFxo>NKycn7E7* zp@%7ByrHt;JxrjwS7r?e$3S#&6l|D0p+V_3QkW_sccwDN_tLxPNV>k({;s9W(HeT+EEwbJ3E>C1-);SLk!i| z#u@REDh>||2Y{5t+>@j>#LlyqD{I|~`|Py0mq{7@g7O9qhUHZXl}f2& zg{D4iq+I0?gEFf3DyPvcTU2eEs3rccs2HQyk`q6bGZP)daTgu+ap=SLWhxry|h#KMt_F?Dp7kIbTrCPZ$O`-Z{*F@p!J0K zH649MNK4%?uWO@uj2hU#nN0KwbN(K)IhBm1K?q|V75y~X_c@c%}_pD{a=UwG52Sumg%+%R611RJLV}4 zV}z5N88B60ntT??11cV+67yErj5dXcV#TZ#yJh+^GQA$bc9YfA1WL&A5^$+HEUana zL7pN)8kkLJFrCXydw3$Qu2opT0)|<;UFbCki2j9$lp^JDZi^G*TeoVB;uxwnkpxJV z$vzqpG07nIC=YM|fp6Vi5nj=YI_9?JIH-O4^tZEU{N^f zlmjMfEAeU_6LG0dkgQdG4!SnX*7{x`utP`_wT;wfU^2R9`(VjG-tBiy7D%TKZ$1yVVWbS^M z#m~Evdb{@V4%0oFSiAN3cRVaoLegwpiG}WVr%ohZ!Zl;qvU#-m-X65_Tl~R%_B3-D zrO{E{KVhK+0~=aSWV|C2worzfJEg}@oaQY8L-F+BEmTZ7bobiA0$$BdmM;i8n6t;U zBL|dX`L4>VX3(vyYCK^w>U9tFaH6MDC()`%%W8L^oEoX2>h0bz4`J#Ai%g4KxJndI zYB=h4N0X;|Ljg6#ZJwz5HN)spAU0|!Cg_NcSa!eKw5g@j<$Bz!WNcllDdw0!j9Mb5)RvHh0SSgRd|2`)5Iw`8()^}0UjpoDGCa6O^!}e zn^C}kPoV0gdem7i12Ag=wXqx#VyJgRwn-25C><%dR)Ap7+uw2ifxVD~v&!&b^n^qf6 z+O!!F1qXba43}IdC36^6o?3m*W#>;VlWe8_s`rYb z)+)M`%+x#8DiaWQ5{|LrHbg0}^dsO8wtZu-x;6njq{24Lo@3)P7xyW(Y zl=D5x7Bv;3Dw$ZRJ`^_F-T5{gDV$J_J7#2LP9D~Wygw+97>4zr$!+JGy15(xEZ1YIoj%I+@uBDek`a<<2;7Frlm^^)2s?;LlY$>iUa6#D$K!4@#W{?0__iH|f?@%)2nqNj zyR15%W6je>Ly>HkIvjBlnR!M^kJ62yRz_iD-eF~#Og)wETMW54Ty};#|$yjkiuFU0j-E!K@uC3b%7{WWFH4RB!K=J!%d|bC{wUB#^_1ie!u>~cfa}G ziI1=O%@Q?iiRw1Zc9`>v$==!|*0-R-X#B2X-pmrS&9w%-if<+dc)vL-GGrnwcXB0- zmBE7SQ24YfvwUYE_V?uQ3VJus-lujnQNenJd;tlPsbnxav7ZSB9AH=-P)=^usZO19 zf-zAmJ4sE1nN*l+l~Nds3J*X+MC$-v0|*30u#wEsQEjn0R&tl%Vr)J<<2AN9adJSY zqIn1Z-5VzEKf{5Qt=J1JbK&#SansXxa9q)ce$BUuot5d3>6tpN&BvV{qf!K;D#CUJ z&S6Gc7~cq>3Jw>`fqD@MR*67+o;xS5R{ez*nuIZO)M)SP?7X&}=ad7KkFv@J(<4VU zx!pJj!KH zo0u~yE0kSet-N`|C^cZN_Epdg)H%#mCX;CnddSD?SsZAfq$tC) zbrc5-FfZ~(u{t^q8HqBRL;-e|fe`eq4i)+~3P4yWPDge%U(etz>o4P3ok+6QJkzcY z_hGJ1U=`BHMq>PsvVyZr_~Z#k8^l7eOSz0X1Z;#)>@%R_HPqGeEX?k}<;8n20!UDW zpgQSNh)!(%YD$_SEe;F6C$SE2w85sNoi8c~@QfbTv+D^Zx;^(s--p|N^Xd5ix7;HL zWCcFJszHq3p`Ida%A#0$=1MFrQS?)g#bc22Cb77CN--D@)ZHP2h#*~kI{t* ziiv9$1pGg1aiTpFQcHOpnBRV@$)Sy&}# z!$``2NdO{UO-OP5CB}$=B&bRd(1g%I6Ch91x~em(C|H3QglmGeQv!yGh!`z{5YFwK zlxbeP>hHcK%{TsGsa6)jWC_~N@uXVcX>poF$U#_SmVj>q~)5?P+FiLAOSdP?ZrGsS<76nk$LASu#G=i|` z5LjA)(Lr^IP)MBwPD>Qo3q9`9+&jKXEFD(uS)rZWLD_im9#Ff;paZqAqv2rO(OS<@ zb*e5I|A49UrXcYvIY+jDlS(Pf8C^&r3Mk@oS?Jh_M)L!4zP_HI=(m}k@$ z=s7rtkM~oy9?03v6@URU5vR?gLxDUv7Mm1fTm01_K-Jo5KYI9 zXt@LKP-7B_2tL@GZb@CVkzmJ0fFMZnrb23B@Md1jvyVCID)>qc`~tlaaa7My2wD8> zr+>F```mk%hGUzouIEY08x~{*hMGl26Rg58=q5SP>^>}!3+x`l5dvzScdw6Vf#qnZTRrvtV_mw8?=vc%2? z2zv*H!?t>J$}|%+t!2psd6AJh5=+L`@{yIop}m}am}9d!|0-Uxa8e#k#6idvQVtM7 z@cNw&%b=TI!4Xk*T23(}Q_fjYugrn=vQshGR(7<&C10+T8g_!j=48!*cJ{<^HSRqr^K5_y}w)I22uGyI}jR5<6Z57i|=k1Y@NlN~1Tz!Ym5*%0^1DncP+y(Lq>SAe2?54x!=2 z;w~CAwiXFuo55@ZU56mL7=m9pB7rGNhg*aQtJuO4?W_zx{?Uhn58sh{h6ItMnW6^S8Ffdwr`XyOY6~GJ$CL|yrhI)&HRRfWS1`{!2)O3<< z6xKxELbAlL1}aUcj>BSBs$yEbNh3-o-VmT0B3e{ZS^~5iwOWRc2>4_SwPp18U$1)f z(Ce3Wk_}=-x+L5L)uYfCivXp}PDzYl6I8`b!xI>a#01-Gu2g#Qu)=F7X%yh2$|^<` zSkQzzh6HVePA6ee6ofGrQN?x$R3XJBD>MQagF$5paV$g&=R(Ia7F zL@-p>pm*Al=BZ55s;!4pAa^jT=gSkc2Mh;^6%+*1Fw8ni14mmTQ?P9z{VL2|cGx-3 zLWsFsRfc70*b#DA83~llFc%RSe$Z|^><|_TDu~GwFt=F+t(9hr6cI42WvdngN2f|I zu1XLOrbA#kWR=pEL`Xt<$T11rgs!tXE;X!3mjqP@j>QHI4f9_hUH$+1&c#kYbuzt3l&J6K6viY`TPp*$$n80U@&-m<8MF1=MjUVg>zGdfVo9EXg8NRD;qg z97N4A2t%*c!0#87W33LWGy&nxG?gP(wA<6seR#`n>@XV;);<}csjuJ+f`&SkD>e*0 z&|(-mONg*^=P?0^C;}2t8Nj?2TSdvFMj-%>MG?5L>5)S;%;Teyu3C6nqjaD=a0gD_ zmVnAps*yXf|YP8YInQp3_FOCe?79?r@6#%FL0g zlNEG6^&>id|9LwT{Zo&9%g#5FM*M{oSrG+pZ#8?P)8kC619qIvE>rLVW}*x^vGGT(NAK2Fv? z>fDs98ac4Hfq_`N54A+)!6#oB$8%H-hlWwn#EL12Bh&$@N zrIN$bc^^N-#vf)n?xd-nwxHY~PEPwK$J8!$4*TS!kNl8|I4=(th_zdb=K3pd-0_GX zE6P4|PM3!Nm3p|E3^VeuaNFAA;Pd81@;db-y$~62ZfH0vd)2`PbRi}3djIoh(J|wg z7&;(Ff^~rVpd6SeAsfqWzfygEmD$(8%r#FH>|OEq^F3~%t1*5J-Q5tr==Jqefi3F9 zzhiWfyUi3b|d^7OOSo@bs0hOzW`ta%7BKvahyKcE*M%VE5 z!h+a0bN0z?!Wu4|cbX2AQdhPh^*;WXK357;f5V0xGvseOy=8Cs0)MMSls7bq&)YV?`RY6;tU=5DrMmCh7;DsL26r5fhcR4N?hEb*MS zqZ~kE?TPI4$8(Byss~11UMGwm=q{rtqOVBP+cM+fX=C@Slo$qrcq5${=DO=92Z~8` zrcRt2Q!k4LmAS!N+%IrVR{iVJeD|D`;etb0zsTi_uAnJ-fF$q?EV)bA(JIMZ#)?YT zM{+{22~@C*b;kI*a6(|KsGyCF;XzxaVy(26E52v&Sz;dTd{Kep1@zhQIlMsUx$=sP z3LLlP7r91@=@;OLhvDdiBQw@JKRh>4QAQn~M{|`GcTvM=E-Ul$PBIU*+_hymoQLj_ zE^|33F^KYA(j1Bhht|<~Om2x)8ta}b|HmD>#~;ZUv)_;)xaieXOttv6e6oZ-Ebv9d z3^NT>L_n;uk|A6A@i!>1iAz_YP@+WvswY#dPyx!d0c8}l_g0vmI9;J)iZMdH%!}7) zK^hQP=F1R*>uwkItCTnxMT2I=r6($|3;|&tR#3m4rfg8a&9`om56$)wPC6pF_2ZTbtlrKu#`dooJgx*B!lW3%Wz26>#!m?*ppaRqKab$vMF6@ zj7J|d@$=><@IxjEgD)NUOeYT@pliSc%WKd{X-w6uz{#Jj&64?;SE%bA1}boZ`tq}~ ze}0kSoV(dBqK2V(DBYt>7dS0wCSV_=fla%{MPi2pN}{-&P8XMxYp??KU27m>tJ9~k z;QjEYFo#8f(Wx9HR{KFo@;JbqspFi`VSw3#p;)l@A%Qy$5(lIjN+&T6v6ED_GA%hY z#Bvf+DATYW@>_T)OdvV<+^++52Q;`FHPY~-94+AM*JvZ4``rym*~48J>R?C>pxNsD zEha0efx28hurR#^3)K90v=fiX zx8zxQ#_N<7kKUtS*l4X0JtBKi)^+nA4@I+>jO{W%1_TJQW!RfzNF`hI z_+6+<<~>f%&B!%Tcj+r?kDRXd#9)Pkvet-y_)j|`J^NCXqZGRre5~6&c^^pF5f(6u zA8y4M-LkQ}67C80(FY>8L0m!EOHN-HotAUd@_s=4=R=Ngw|rLi)mGaCcTsCZuc#p* zTj29Lqq)Ax!k3_4@r`uBYoVjrVssrlLelMWr5UG~baEk7iWy@>$#yHVxH()ECO2^a ze7l^!Sl&TDlFeSoro2Bj78yx)EVzqhSoY~!ej>QSUPu?y$PCZe$&*Vx`gQ`{tH-Ip zFVQ7)_A-tiETj1qBTp~I^0$4Sc;WB|h8xYQ*=fMaayGW<;uKtal)tnY5O52Wu`pgG zjGE>MyMmQ zc%q;U3yk4eZx$Q3&r}XSecnj5Plq8)ahtL&5Ge2OE8JLTX<#tHzP%iQ?}qu|BzGYG&A_p=8G^dd?&bLG0c@18_H3vWDU zPi#FS1$Spg$@nI6Q!!Q8*Qt+Wq8VfD9y;3M^ablTgTI~}d-JT!cnhPXp~BU3HoBHm z&B35qt}Lb>U0X_`QrtTO%Qw8XLqtyA)PQ1_uOfrxoJIvk9;AD~fP zq&3l2BMH1VA~UD#YHYoi7jdYEg_fqg4(Mb!zztg3M0Z&Tt;P%q@lqcPF{2=_g5F0I zCc`4dmi*axf_GqmGlq1DTMP8=J&=dSlask87TY;%!CKpK(V5{<)$OYm zhKDJeMGJTKQApISB>ACo8(kzVXG^ z{L>$ObhEQgki#cjA^v>>bB)er%DPJ~jRLT4PN-#I+al0Zs7=_JW3MImyPFu|eKUCt zKXCg@XT#&6adFYw&2f!*z%e1%;!<66GC zms=21+6#)Y0iDoY#f`(l>fVb`Ru579*vFCtT{>wxd5C zEsLPUkfmmUdX@c4OBu?!P%z;F;uI(B?%Uct1*k>msLS35tiqnaYie-79gOE(21Wtq z$AuGkVduK7jchJ+pl!fhk_a8c1JCi{>c#tyEm{iue-JGVy}Yh*IR94TwTc1D z&l1WB_SmK0{8`;yeDG|};<2Y(u3r_Rv0(s9L)OdUdnmX1t;>Y~U^bHo)!)%2EJ`SEh02ieHF?q6OvZo3UbdDVdNjA}b>G;;-?l{a zyE+mT`Sq=dh^2D8FJWyi&-wMpWpml)=vX-M@ym2`i8oGnK6&+}Kc48z_*>{^r$Fgg z_?sOv0|6p-DsEgDtx?7R-%?e*PufmbWm??P!^tN2JGH8-XZ~t7ZGa%Mw})pR8rW>wmaqV z==3QJs*$*pjhw-APeyXo@P3Xfgft^5F08076HzDmzn(~b3@IN!1o*$`_iRf&cY5=< zty3l+t6~8ZWu$0_-7DxUM2lCU39#+Xa$?R4Q8)81_>_LUv_Fd>@0 zK6G+8lyUEaIP+Ie<_&nZLHA77qtH8t7P(QA8ny;NPElrs^nQ7jJ(mhy?_#;w& zwwyuF5pHO8rZo`#1Q5IusQ-g~8ygh~~L{07g1I<4k9iGlavw>Zvhbl{b?Aq+Mwb>8atD@4Fyfl?5??vYM)>J?O zsEmR)?T8Z38B+$!?5D=$L}2}1*9zrC-ybf&Z@PBwPd5hzGmmr>a)1Ftwc}ez2dm{o zNv2F0WM~dfFYxaNY%kr zIfUEP#$mwt@`i|hmsAC;AfT=*4uzU_3}-__YWL3FgzyvVKt zm=BJts8tZ_aI@8XGCc*>8miLN=C5QO8X7WOOEAEfq9Sq`)|wzN(ajHowY7>K$1GI0 z>io>I&~j4Sg(2&_5URNyJoW<71r;YbDJ}yF02GBU{O|iGrHn_9-fV<<3AHg+AxfxK zK)ZwhlYIpwCgeC)1ydT4vW*WmBYcY+S7B~U*;#`HG2N0bu12OAmL!)cx0Whqg$3|# ztq8Yqo9uNgS+tsC1%B;_Nzsy3N;*mv2Z800X)|@YRIH2=#cr_?sdT0??u2adlfdku z01-AG>Yg_G`Hlq^ve*a`LsguIKGXPzYXZgt*Wrd4!R`Ya96&_X)Ulx*9D5h3@r|Yu!*UrNe^1s@y z7Cp9sUZl@Fl3>qlZx4L&n@5cA|6mGulcq9^Q(rUd|H3e_-G%lz0Bfmb8$Jx4D8Dji z9Glu)ocHi2v+`4TmuW6o{np1){I=DPdye#|_U#{zzSBF^qkax5P|pif53$iK>dDwI zmBpnUEPL&}YW|BeMe@=<3%___C!R;u695Czp|rPM0Z5Y9qGN1gQz;F!ONHU$$rohf zPo}L6zcpSa?z*JHH1!w^hMjF)Ov5({I+}bx?=hfDJN9Ka{rWi}ZlK}^uSNk>aS!Um zds{yLWTNr&IdA@-N6X(oe{qp(M*Rojf9VJElMgQ_g+keu4cp4T@0mwG*ciz-7f*!v zUnnhwdH>9gCUXBkzu9&5#BI{mFR6hZtFTn@lkC9oCu+~&zR>%0-2%PnQ!oIEKLeo}oqvbJ~sN(%2T*7>zGb8i%Io_UKaV_lKn_rE zuf4UoT^Vd<=f?Ry%Icdew4oQWO6e9R7lW&es3LRe)z2H zE;D%c;;)%Jytd+b{s-KDvC(`C%puhK_GrTR2l_3!?D zU)8&XN4+5T!Lp{F;CKNE$I6T%f7M_ib zjbByBZ+xFDYv%d#X^U-vJzkmEJi3v*bf!3`jFvJ2RV$_rTCWAZ`$hM6Z_2Tz!cb*i zvNxDNvPJJg>iOR!=;jSE@C1d~1$B4a!~C^Cx7$n$fOdDD4h}FO5Tf@T<70i^vOe6f zrkHIivw5PMJ#lKE-S>FU#+^MIYn16G5jX)3#!9Lh&`jl}SDg0Cuk2vg*s8pj0CWA~ zzSgxDN*~I@h89>-E%lwvOzRhPJ)Of14{&lP@;#2+Wy5sfBj1_Q?dwJdwv%51czCn* zqIBtnqcPvZN~ibXJMr2sp!DGz3;Ovyb1!>|ew)2S{q4|E<;XFm@F5Uoq<_l7ff&Ur zoAv|wL;o+p%>LxPv+|zgs8q`0$d?~aj!N4+dfa*$a%bx(NLt!;DNu$Q&IjCO&%Y*K z{X3c8!)3_U#f|Tx0k3+nH+MEPR(ENLzl*+bOP=c+*l?;Mw-&lnRp6fe++&-%dM^Fu z6z&`W&(MVn=p>pf#Q8Ow6XgRno8$J3isZoL{$eXOej66(g;Rymyu2?knSb1lAjHE@ z3{9=$-nW7*FNMGfl3hyI=ad@pUCr(0#VbbCVZ<}Gj?>ck>1V3Kvw^Yj0;oxK8G*;Y zSF~{E>BKMB-w$N5}Vt1_0eO($XgkE48SU1hxtV z_(CEm3f}mT0yd4MSgxk$BSq2b@R%}3E-FBg?n;u5PE8 z&;^*0JDOdgYq*JPB8LX7&Uwo$0L69zqep|Z*j-Bg4CRTns3`)dty`O}GneEH#h;tN zo2uy!*RPb1-1Cun>oqVKz?QrSNX0*Q7AN?&vYP>;477lRE1wg+>-qo&7zkf-5=qHO(BLC*h6O!|7g0U4R_P~y8h!vd zXVLI6AW|WRL15j*N%Yyd`~T~Qw{ZU&!k7e5Ecoqo#H_?g@P2WSY4mgKI1YM8oEE@x zj+3tIF9YdYKV_OzrZGTk zSyu7hJXG%n_sgoBF)1pW-1?8)u>w#_X1RIm^8nCkZ?=l9dS8w5JrpY#))|t3x^zk% zo1pqbhof?}7&{taLj70)tlbI4E|#Eu*pYw`LaabKZUbz3v_$s1dRdvb*Ry2^QXY3^ z$;VCj7P*H5`#ZtkHRHF98JpEgTqG^bdhA{Bhf4x$H1*2%>vQMm;`+3jtalH z@ZV2;hs@aa)QusfnXf|g+fje?PAOnc(C=*+fO+yHtaUZYaDUue8hmg@NR)TCC5T?z z+(zSEcKEar{w>W@&HWx`O(ENaxLxL+DE-}i%O-q})HSJ(DW&zhl+SyToC}+)zW9x= z?Dp7^eR8RNzTZ}ZAN#SwY=Jg^$bh`}bvBvrv)9vk_Lu2At_z7UJ(i3FqMwxq=Fyk- ze!T8vFLmjK`RkQuzfnXFb#_ABl0hLf`* z%#OGBlC%9l!}N3Lt``uq*zs<`K(#IIgGup@!9KjGWElPhJmW*L zd^B_^R77720DoTpq56C-c;`FbJKt%$tBQ_(qkRA7(Qj-Xy*;ON^QrLpK&re#8kipZ zD*WBWU;O6{*`;nbgXlizqS?0S?cBfyxjk_Hq&Pg+MEA!{pB6AP!Z^JJ6qVpR7WCeR zAPDXqV}3u+{MaL`@vzN>2u73~wcjnTsBePmxh53=tKR|9O~|p$p4eUGu_FVIPRRvd zzGso=0;2`T+6vy9Yw?1Mc<$C;D(q{@m~_5xaGR~dkX+Y5aQIre`hFGtNBg3+ehH+u zae7R-r0f$6^0y4i4?%J+W6ffD(`^UK`k}9R3dY`I#rs%^8atq6ji)y!44|QipZe{&hYm?*sG z{X!b9UO)$%H=O1Zn~#B5o?)gw^OYL>_}T}M4MI=lqu|s!G+>RwYL2Ci*1v z2UAS9*YxZO0i{J*J+FRlNav?)_{wHqAy;p&sycs${p>xE*F}IdkGhN=uYvl7G6#vG zgZGyo2Ls`{%sQEm+-FM98>5Tp{Y&c1<`;Vnm->N`yI^Dzf*qY+pRMLcP=iD?y|%Bs z`L-bnh~(mi^HHEFDpbrc&i2CpjPs)aJ^o~$@5WGjr*tPZ{)yCD`iDnirGF^m7c0)- z#g3o)8d1_2&<@H+BJYO8I+?34v&}M>(PJG~r`BelLC@q7Z*NWv5pHtveh~7%S2Be{tFVlS4ZzjPa zSnpf!KJi~$7Ju~M<;ACO$_wmIk`$7LaoH9H9Jr=2B~^Ku;T)|@F0SL~v58$2h% zt7yW_u%at>IDltheW6FXl<{rSu*sdQZ>!j^x{WI)oq715`=O}XcFe@`?1$h*?8oT|oMH#S$4EHGPtQ!)3-}EnGwW@n1 z19T>`1Tf5Jc_#9o(-cEx(UYE9s)){jr@kucI}Mt}y4`;n&WP;3(Ukw+UhBX9I>mAG zt(5D3L(bgwjY#fQts{9zradN;>NLp|%H#&g@7K2!mZFT>oB* z30_{`w2teCj4j_EaU}Ns+x0&*T78;bHEEGm0#GnC{IsUk!O0A%DzrAIP7_0ZAQ=(M zO=+0aTOko|(4^5wY4Q-nzEw7xg%fH3<1yQzVN!-LB-K0EbS3R>g4UT*dW971*7FQq z(FZX|f6TNBNLV3-RKu6A@x}uW)fq-+;{5Ri%O0?w3uuo=H!Uf?R_%H<;N$Y?t+9cM37E-psS(22dCdC1t%0G-< zzUz%&!jHMWEn0Wq8cFV6x!TlZ-A%P64wjVkH#NVy1DGqSoWQE^`ia&MxX{NUGwheH zW^mhvUViC17sU(C2VQ*?h$fu)>$+y=wy*2)izBaqt77DpYrt6H{Bqj^8^y$jcRC-i z)L$McJMH?qzPZo!^`p(ML)TpIoPB@9me}@yHTpl_o(ln6M$UCEk>KKd*})08vmtVo zYq|iuBA>Y~ZTq9O=F4pm+5zG}XA~Ut+diBx{Iq^v-ZSyFujyFXROOuOn)};3PK>+? zq!t^+g;#fP6q8&cnG3)rk|Y$Fn)vLV*GI0o21ZJ*Oq9WHR?vXr*n2tInsk%`7;->3pEXx{z$4L<=+&yAen|b~=G+W&1YZTycE} zP2`GwZ{+Noi4T8g97!C!!}8*3S0WA+AXgwbbLh&m$AC~Icx~GQ_#p74P#2PfBCyLZ z;TGR4wd`(k0o6-1^u#ux^dLi*wmskiLXrD&zGHo{vL_L`)7k{L-jh1CGyIZ&@p15+n9kr_*bt&#Q+E} z2ez1}R5_-UDZl`7E%-eDggcV&B*&BsR^SrJZc5sI^pX?2UJ>wmEgkFuDv?M&rh)zi zQ@|F2!SES_ET1ni&{~uLtp!+9Xi+J3<$)ChCf*XRryJ4!AtC?uVc@(Ve*!l6&s}S~ zOM@@K31FRG#Qy=jh@n6_Qar)Lm2AbiqTwaoI1zv|wU!)=}H~2;NDTN=x8KQF@oE1yHCcJiAy}J?p z6k2nDWjmb-V03_%f~%Fr^PFp)V0?C$j43VqlP6ffb(>KvVL5WhZ!sqiAnDH4HsPxZqq_C^8~%%V#2Mmj$Y3GBc{qE#GF z?uq#bWddvkxRTD#ra6+6rOpB8!b_MCQ%oATDxaJhp@dFnf)^Q|_AhpR_s5&yt?L_U zcfjn7Qj=&B+M(63{?n#{H}8#VGV{_czAymEr@7ZAWU_n25O}x$mG&2!-^zpTJOfpc}utMRCC{6EX zh`V8BCFo8}WsJPLaNmOyzlPtG+^DE&7-vR34pGLt9CqH{;*cRNT@%ehqW}U8=&qzG zMa&eGV4muzs8e_RM*R9fyp#>| zb!-O{Lk$9FoZ+cBije+FzPj9wxhh3q-F?h*B1HRrqQy!Rq?<1a3}aMHltaKKdDeb2 zU7)6v&?c_MfC^+Tg>Xa-XVNIOGmF4>#u9^8hUhF31Tq38dAGh-_U4!yJcC85eFE)lmH4kv?O@C2esWC zB~p{mqLVT2huMn_+3X^CQGZ?}^-$_YM|nU{)$s4b3BiSyy zF-N%7F-ef8@J43HF|ROZa5t$HAL2F}>n8YTc#8HfiX}6}Ow|loF}tp-1k2UDWEY2l zEI_d;$|bf}MKdT&a`}vuPQNM!gp<;AK&`7J4GXPr00pBkL30bVgHESA<-f9VuGQR{ z#yL*yr)ubD*Rp1Ig`8dSP@`a4wWIqYBMHA?#=G0-2A;8Z@solnnoE{&`4XtpcYC`f zaVS%`IGxqb;mU{0Ct@1y{*E^mzjdH*KUv*DO>#Mu_ZdWm{q|}q&V=P7wk*QMS3&t} zXVp+Ik&d^C4O|B=R1Wo6hlpN@ZIwj|@q;E<4|2JFtG;c$+}p-wQe;H$bQLi2j>obZ zGPTq3P2A?b2t{OwR1&94E9W z{uxqtnB`o#ZGN}I?Vm8DDD|R@YIrEI?pC~vxa~$l_kiNO+rat9mk89nEaN|Dw_b=i_P>oH26FdWRV^?2sGL|tU>{KiR`9a zMkHYIv@MI1PAdGMLleDUFtS=GW|Ffax>8Or;u*1mY7%pO${F(nRPxYUDhk|#`#6|r ztA*u?lzkpjvwQ;S7^jKyfp?5Q{(eT`yEhwZC5}3@0fpieF@kE;)+UeQhy3PM1g?Q9 zX77I0*`RYMlE%ZC6kxA6RZxB{8yM0leq{zJDU4)MU+OAqVGnyL%FKkQLZX=I;y)KN z1WsXe2Y|w66_ZL9hyaw9J{lsxXgUk9&B=`Gwr+AY!5NUy;ra-CI4NA7jG1mj3aRH) z-xAXtqmoga5r^a&X@}^}k*b#!Om+)W#Q@nu>#&jKXGyu5AfpzX(yy4ar+Fc;ak))X zUd!q$^$aUi?&s?$Ab=5w1}a>~$NZz1o2=kj0$_J!r~_)%qJww*3w zqLN<95OV&R`0mxe{pm&vt!6SO@N!;hZBXa=?j5x8Y`E!}B9=>4Lwu$?ttn(So@c}u z-{2K%%1haAWFL0bf1`G&rq0!rJz{7`H8i9J>q3ezPqfIrFXhs8b`_8fSgMYQ+Q1>E zV*fcOr}cAE8^La++@+FYoNYl$whix_F7i#Djx|KOKapnG!EUdH7M8L7Rl|9bm1RFC zSe1*bt9?_icoo(;*|MK6*%9R<4MK3bN2L~8n?JVAZfawyHg}+l1P+R}w%Du8V|9Fi zI8JXa>RSEiDZF)a%`vn;a}!6{ySh4wy$8kCM+t)@v06&C5ih>a^F;R-jGVPc({?B} zX&stXUsv5W3AiAh7U$Jz!!*aIQnJfRx{BzkE8$AZiCrS4Fjm)U(MZ44OGgiqY=Y<^ zXA2-^_VoWgl$iPMKY#VcjdlgRuyr6bRlij~>y%yC&$*p~hLedPuTK;G%VCj9Wkroh zmJ2-9Jq(3zMKZsdsLG;2%Tp=I33=jfno;zC3JD%F!}%ji?_Eb3Z06v?2rT(y+(pZEMX9nQlCsInn-yt+drAOwrwnEn>sT_Ncs zwGp`~JK8Lr^psM?qTFRWj-M+?|8!1TIyJ!2lPz_vgFm6_rlCeJ(%Bk~yl@mhaj?xo zk!R)i?r>F&_5(4d)V+$A9&eeWhHm$o`9*5e(Dz+~eRZW3LKb;;$Wt;}+{QhLr%E|) zA6Y67Fb&b~kh_WKmeCq|M_tj8iYB6oHH!20`Bwfdv3{bSIe@8S>TP`)Rcfc)_Q)$1 zIzc=%y`UU$W>NTLwbEYQe(qy>f{xME$Bvft*Ggr49aC+We~#j2b#`JdOtsW`eZ?Nc zkxkNVo{@sc5-R9fWa=uGtQH&DQabb^^Zcfw3g3w-Hu3DAzWVW>Zg$G`Fz4mH+efDr zk_T$^oVQA8+2O2Yu}sDN2B6c z1)6g=2uk>yY@6t+msPS=y_H?AdK%DRF=8pmO2jq5-*n% znS^Nub)aEJQgfIs0p?-FFWLLKj}8s;fQtQuDL4)@Ok*U}L^txT)*bJUa6Ii?(fcN(#cQV)*{ zlUxtd8ko;~RKWWfmnB5|S!|fD>mYew>vJH(z}wYQkUPVA^cShsDxH@srtXl_`U#%dwN7LF8x6^z|4g; z#~PU7Cd&ATMCckg>8yww#z?JFl=)K8Z9zS+yC)1GUFLDF^PNxrlJSjS-fTZGVpobo zOg16AOs`CHMsl<0P#&fk!EA{-z=tSNilBMe&(EJ!!M0MNTyhW=XiciJiy=9Ix08BJ z&_oXThgr(XRhW24MeFDi;#f`<*9a!8Q727aL7$GQ5qPv&6Zo+!7}1nwQ@Ya}zzT+W zNvMH25en;DF-NSIq%=qa%hHMdHpi?;vZ+>3aZ&)2;Vdbp8L;n-Nj(9`k*5a;B!g#z zWt(Yb6)`CFA!*At$nol@e5L}dbqun?dO;Hbeg&*#riyWnKW+-v1N>L82Gio+7Bu8i2wkl)wVrTBV~h)empekhQMMt4X`-11H7#VD1lT0jL;1(>MJgD>eF};d z(dbAGBgOXg;ED&`@|_CT80{Aty-gv}MwP1pDutygD+|V}d{zUFu3w2%H)$FIC`Bma zoCYww42Wz1WUE@2qX@2Ix|{h-?K#Vzy1z%?+|G-Ujg)q`K)i<9da_A(Azj*=O)F;s z>~zptRq^n*diJ1HLZVyxT4sk*Rl+MbP=oY?v#rcIj&b=h%Pi*>Sc_PMXDdF%9VpmH zW-~2K6!3Ft2|w9UG@diPKIMRFkoGW(z1`xqr{1m6FOlnSwBs$}-HNgTIP}yJ`xQLIFcc*(m!)6_W4=TKZ z1oQ!(4CT<$l!I}}4FoGGQknuC8?Z<v)vN%Pa`ioi@df`{XDl5U8b@uuMt&LL^RZE)I1 zkhjRsQ!DwDT(0c=kxNhg+gBU?H(ExgwEeuIvbLySAocEgu2wA~Gf3|E33-ge3Eqql zl@_2PWVV)LLvK9p|jlbaWl*n8Uc5 zS|;-oQN@*w`PQPAaAlDsEk`^zalzj2WVtuQw3m2>YbnDclE90Tkj%|2N2dn_dfYj< z)>FePlex$dr|lLhE!R+epCk=8)$F9^VM$5jHqqUhD=cTKTu`qn zr2u$gg}9Gg$9n^exBn4(SQ%h^yh^>TV4eXtf^l#U;AxP1D9DKi+Xz^DlZy^ zhu@}ownMlGsjB$6P4I}Ame&n2s)I~-uM7s2n$ao`W5jb%rMUS%&ccrpSu$fT(Ug-} zM7K1@wN&#g<+c&s><3)TA|WwUR|(}R#s;05eXC{AapnMD6W>6QrnB6%X1ll{tB+3tl*)4O>H%I1Rz=#B&P2DW zses@q05A#Ul zMGuUz>b&T)$k6(FS?fsg%kT^)SwSqT;|Y_-;|ChUG@o9h=mfJUlWdAio50xHO;PpW!SKtHNa$n-!_bw4$?F%bZa^0i(n#J!X?Z;n zb__XIu_-1E3%U#8k5YcAozR*vpbJ8aXsC1&{C66hN>k)N{!q51DdPtfH=hkG5SJe) z6A@=>HEI3e)MfNz4bT~OT?yfc z_~jXR40{b1*5B)2Nn@&;Lz1jjgiL~^Qml&32+8?i;*JX>0sM<=D3-K~3^>SjCIkW7 z7yo&6@wK}bHXOe3+*-L?(!35G55%E0C4H=3(X^6Eu`rrB(UrpmO>EGgW?I#~l7?Rn z7>#Lc4Wk(?wyF-zv_mH!p7v`r3M&B~Gbd{Oj27h8mGr`6|8mIn_xL4-0AvXTLUbV8Jw3Oa`J_x$CnKRYg$I5gKcT>;j39cg+960BdStb+c< zYioF|Vj(FX2|b47P`V^lI@&=Kpfs?)q}T{3kCRgwyOq;9_;NqNX@I~CAm4nZ2=*fg zAjuk}c*1}(MZN)$Z|6+VAItSDH&i$&6JjH6qB)<+=;gF3SYEBv;1R4z0ra+VCqY@~ z1tHCb0cMMu&(2iRaI(J*Gob=K6=FeJKEMHXP*@)+0%Sl4B*|%{#7(n$9Z!Ml z1n|#N5bIyXeNIC;iq;QkbG%3kLOKW4_$owzI0pyy|@@Nx1YvJgn{I;1sHjmifd3hjXrpGv4~ z+WLr|mvk!3lxe^tXlh%}rg7c}H84x90Rm+GbTun+x|~rVV&I2NC0e0?7?d3vaaH!@ zVa*;=$G*`93%9R`phYc(vl}F>BV~O=Zc&1dwwo9O!2lzcQDLu&Oxii`_T zr%a{ki561|F2xN34m(!KnkWI|c@>gj;74JV(h-awW)}_IRs*x1?u|4(znoq+sL^4+ z04qd7Q0OkTp+Lv$@iU}J>kzzm17=rPa_|~0tD1Cvu*;QoGF-&5LIOKahSf&HuD}g2 zIq`#j>g)aYDgU|WUvb8cvI=_Mx zei;^0)pYo&ZM0)legh(MS_gy&bSAi_OiAgI>p_4S1LvY7FPC&gHpc=Mpa5uaLP3h? zSjkH%1%Lnwq!qNZoXFI0%i5r^()9nn_uAY4_hf_S#x^&8v2st3B>Y;fIKjK*wnlQB zb5G_uI~&LIkgTw=U#Y1Nhv;w73*CKK9W6v`5%-$1lwEC}ixi|k>FJ{v!~w1|9@?CD zQ7p?Og(cZ>$}*NvBMw5G3+^F#d8iZv^ITGhy3gkX&| ztYqS@L{46V@l3n2$p6RSXwi-h{>K#y|9k4P#XR&0m1yX}<<){apRYRB(_(iP?V`A5 z?q^~g`wmn&$66n5AkIsRt=U2EZ*5f5JGFt?Z01wT6WP&0hHHB5?g?|py|aU3Y;*ui zo;v}u3FpX_}=6rTwkX=yCYbPbvBjlPX-mC<{UJHHKRy`|ZL>iNtdYOWsMaQLM+ z&GQyT8WSu1X9s5uV*(eY@`%S7f-5iK8=mLqB}Kjbn?F9~UcJt7LmVmffn98Z>-TnH z7dH0{Ir(?K-TPj3E|wwob=wc?;?$B-#J0Riwo999cOIj0zq?kvw{tPvKk2M;&WY^m zzP5p9apXuV(^4b~i&np<+ZgE~I>b42ZZy&A&8Q4 z+opH6BDY$~KU~;$y5MuCcgr&t&rf!A|3XRxyDK9*eHWq^+4C5H`Q^X@D=_u)0q(Hp zce|u3Bx?{3+P(Qjs_SPg^BWs-POuvfe>KF@0dl&4ZtJDC>d&~oEfDG{YF3`e2?GY# z8TK3=p3Y*WC;9K=%cARTjx;XLtaXxq0yLmrf%3i2HhG$nC6V3B95d~WO(=u1(>HiY zUZL#Z?yRmE7S|U1g(X`Itrbn#Z+NpeOy-CzJxS#9-8J4)X00q1ym@Dn zXu3zwx97N>S&NnZQ0TjnJV|9;&MYQ#FySr0OIB38Gl!`trW=TH=YsWt=N`E7#J~Mx z8b)h!OR)$odF2-2zaa;{)C!y6ubfV7V*z}_;Tm4HzrCc|Ux77xqG~_eSxuv;p9<_u!E66{H3scSJ(0NORITCff zEpFM3e!6hBH}(&PebqYMgv)Tv#czeXZp%0xTr_5h6Y`i-S}C=0r5*Q4Wm)o(zxmcl zCZavsA@I-NwJW@bw@#Jb`w*%rU>CS`b*At6Hs&a)#9*l<5dDpdx{M2c6x~Oc3glho z;TGG;iL6DB<&*m8x}Tx^2p@Mp`s~inrSjUGLi?59EAt#t^u6GGcZ-F2xT*1{)}Ims z1m05JSlS-H)Kah?rMip0->}%)uXb^s7Ylnn5)s{=vw<8NX=9*2u`vE^oR9$3e$=gmarxxA0Pd@e4SjG>lZ%9k? z{}_A!xHigc@qb9uib&hcFoc1okQpX00Sba?jkT+pCqo!QfF@$4-L9H6ttqYTg`yW- zcQ-Q(=|EEuOe^c{s-dCc&tAH?>+apVmNc!|`sJXF8-@X%IW)qxtJVuoG^VfJbkaD%=FdpV15{N zlsr#OZ%2W(&xelyU23g4d@*I7i^i|-#$4y%l{DrOd{1*lvBWMq0Dm1cJ#f}xx-r_9 zVg-4;7`b3=P)f0Jp$JdB#CiuQeKhXa7Cjmj{4W%CkPatAqzY(rQgBGQeL_H&Y}?1> zq2Ju6R|0ux`aVMGA-d6YD*!A?Sm)WMLRejZy|eJEZ}(#*Y{yw}#*dbmI?nDZ%IvvR zpyQAJ%A3#ACD)g_u z_WUm_pzjB!=lk2MdFzAS>YY3LdAa(nd^MrEm(b6~a+z6(Us%4M_f8 zRZ)3A^R_9tf)qe1NcVQi44% zVsbZ&sfwxHMy{ae1%Md~BGQ&-VCXBs%gv_MZDn+HZ7GrfJ}E?jwJhGqg#$z$8dkWV zz_o=O*8yIEW|n3YR=imrGs04_?^cpAO0)`tt{(Me(fxcNKeH9JDwQf+1;N8n%nrGcc%)%0s zEvGOd8o8TTP@pWv7-7q41how}X3@sj=24wOCvhl#JIw?GI5Pk^G_t6(@|8qB&)$&v!}H5XR?4zX zMsYxu;XR&zJ8@zE>UFPPFF8zL{N?RJiAmvSh!3pp{d9<(gyq)(A7Y=q%i$(>=sF|h zfe~?17AKV-(#eD8tHNl0M4cZ~ywn5ugCf1RQRf(>f zCpXFBM}s#Um%(|DS;GgXs8jkYREqtO!e>Xxg=5BcW1!ub-Nc~*KC0>sTxXoM7}=OD z!8QsXv|nWB%<}QzsR10=ldP`=<=GV!dps3bCTpad38J<-aW{I&V@UXl-@ui813!so z!&j+YVInYD)-TX0ew9hBs+ak+;-Kb$@EKfi331X_%__x$Qd@S6n0t+=(g+NLOzd3k z3Ywg)T1CXq8;Hq--=MY`)NZ_o+ftIWE>rkLsuWFv;zf1aXN3h}jXs}Wgx?+a`*d!h zkaTmfDs8#F$YQtS6F=&_`|l5KUIf>R>zld)UFyDp5=!XM2czzNbc0esSjrT1LyVwX zXnYGka<0(ey*NMx1`LlylLzH0HCg?_E%f{o3LoOn1uur82M0Vm<=If1o>YGz^8EW0 zz#WbsG*nnOR{yHE@1yff54C#0`jK~v3QbXqwJ!ct{LfUu>?LXT*ow3G-hDEoyQ#6m zs_B*IiiaJ8-8{QOiTU@5wu|JP7-?n(xKl}P?EI;Ky_bF16T^$+8#48WekpP*DE;)1 z+0NG9IpY>y>fk?duR3!l9|lSuMDYiP3gy7*j!8Bw_((fwj|n$8+O@uL$;DKSP-~CNI6IAs>wff2mH)_dgL?x z|9-Xe+j`g9Rw?BWh{w3(bSAi_Gc*_JK{tS>=uVn>lxNm@@q6h)_Q1$UgUJB_@pI~A zya%Gs#*%L^#V_9u^fBuLRG@`k8@T_XqVE|FJP_X%5_-hf@K*jVmzVE6cRWP>RN45$ zU-cgR>K)^w8<~gO-`Op`)5IV4?sJE3`;eOKUASb{&mJAsPmdOaD#kR%Q=zd#-U@1X zKR0LH1!4%)^F1ha0)BjjLc0AhYAqcF+zFR9^gj`3I#sS1_pL9DS*rPe{iG{4NDqje zays%O3Rsc;-n?(h3ivzYoxb8k75b!W(V8gX75))NReBWKzz@?zM_U6kC=~2C*!~uM zZo4;LaIBSn%X`MY;I!MLQx0I%qb;--vkX7OC!t`(Zc0XpNPN^&TH!Z#)I&N(c?lbTULNV2Viklxm1s8R9*?5r4 zEIFw}YsejS8;|~FCY5XrIyp*HVcNO;$%7Jip_vpv#E6*BI+EFVz_$!q>~h#x2T{#EkQ-`jD!S@3-@S zo9IO2z2h@-8}Nb{;wBVEt72wxkp^l+Mf+smBZ!z~@E)RHKmZDxipWpE`O}Iyj{`U2 zZfTX%R!2TB%(QbIqYyC}llvJ<dSH@R zTWDLISSCo|%w_Z8esT@o$ijN?90}DfvVTF{<^Xx8#Y}NGQj)cqHeRR?pk}fF6^pjJ zbzNj!pzwNvi0@I}o#J?w&Dszz;LJ?C6!8l2r zA$k0wJmMfJNcRTDxOTI$QAf^uUZWRjW4sW>j>yWCQqe=yH8?$u%0pb+n)-EgU(rQ| zPLnTCi=2}oqQ}Q&vQ9RhZ*+Q$Q29eh@s^_Ki`16Lr~~1FB8GrSmJ#MqqmvnCj>8SK zd5i%&TmeEggcatCY?_eeiFM#OPxdniUyPcVd6bKM#Zskcj{@~;3kawR=!;G}@jP3F zR!KETVR+u^fyiQ?q-P1{23lx9y^6QWv`q2V7j-H4GUShyl70pb<QIvU(%+`w*lK_{^WxMIrxa>C4aY9|o>SmEN zCbY5~WBR7*b>`2j-#_tf{kBy{4pA+ps#`xH4)lG>E^YhKZby1_-=6%ZI)3CNMt4S| z&vEzB++^Q}_}UbZJnq{QPb=^8ksfuy)Y9>jNDTn{lkML#A9||zliQi%2Yw{bpZDzx zwD8Y}im#;O=#sd-+p--{31vTSLD}6)qa~y*K1J`sLF#kuwW_IHZ=Ct?w5uo~dRw z^u6%?t*sp%?o?>59U^ZI&wYvZnRoK(qlZn;IDH?UNcmGIKHJ^ubfm3^Ar^kr_ssaf zSE!gi^viYT!^5wOM7nmPo%rVChVkm(Ruq0h#GY1$665L7nkvWElU1!(`qJ*#&v#Dc zee+icssEpi3+9%yHGKH8d-2grk@W8$2=^V0{WtxJvl*5DwN>ye>=`1J^p5b(wt{W< zM9S~|(7<oPB!su=^Fy-#tZ7v$M|0 zzBF-&x(CNw&7QPp%dvFC$qo;qd(nR@&21abFQ6Z-;}$ypSY@3<>EAtvN*Y}~&Qqgv zWXgQi)HKlQu=nuY4e5(R9H8dedKAuI!X2%eE1$acv4G&)rtF_#N-N z-lKs-q+i-8Cl3ygz4RwfOjPgU_IpFhV(or0WZftBhT5424tWAYt%28SQ}@hR4*+!1 z-CBD7r^+SE6ZXEVgQa6vRpZjZG1GuNMSbLr^v0)4>=zS7hDYKB3zz7ExbZR198LQ+ z^2mFrv4b0KI93YaBV&)Rtsqn*@+m&H*AZ!DMmTO`Z?&PJ2!;QuhycI)09p^F<*s{V78IVkzc2Z#qy zaig!Mxn~CAYY!OC-EM6yZHWf2zQep?mCF;sC3y^DhT@g{AllB~w%2WS9J2ss>xK}g z3^J56s-$S{l;V{`gVF{NhGs8HIEC0+)WZA(wa|~BQem=EQ30z}mOF$3w(1@9p_Tr$ zaG8v?8QW@2=##$M>bLGi#de~28f4(Mr475n?(%Rc+8cKarg%T;;1iqY+HWva_nd{0 za@5dl3taSBe8obQeNN;@4*sg1SUA>1)=&bm5O$J&j-a>j&CZcQB%?+ENT5|@DV?H|kfV7S;%B)L zMqC4{{OSVA2Nk@Vc7ifVajc{)Ls1j2DIofIlh%M%(M~FxaROdLgfjG<8M@`On|}59 z^-5Z$AnTyAVcXpY@2#iYHMg{MI)2;!Q#2bIt}!rQ3tae9mH8;|RP}uA40(0!%k*FK zel<5bnTQV*Eu1hMn?0ILRQDH4RZoRG^`Tk(y|DD(5kMNdpMN*JG_g-9c=90sxhn9mE+fTv7bW>{joq2D;bI0kay`@vsO?hsZAw?C#n|y?xF&ZsU1J6 z2Wa`b_aZ&FXzq7k<@$-v7p!;QHZdUwLI=SFE+!zHZKo1@CJ+gok|CK|Z+*b&w=gZ@ z_v?R{+=QmWi*&31_cN@upWSqlEC;d*(?QnOxa^+FhlrATgRf*~aT-4ixTd%12Mqi0 zcWFIjJ=^;Pvpe!`2=|xt;_)9u`xe~?$@{G5x0Y~-o$Xs45mV>r#11Om6gDk>?=Abt zE9N)ZcYg4jy2)8-!BwJX>Pjr$x)MZwddts(@#_u6`UyemUX zm;DH@E0)&M@7Devh?Dsz)X8)4Lxxt$n;fJ*34_L)2mWwC0uCL}|1OhgtBHDGuqYJR z7HKP3Kd9XLUbxqOnYydle#qM5O>QJUb`6&tW9kY_(UO6Rc|JueQ`Cnr=(Ke9PA|OQ zK`#732sK=m9_#w_K%(kOq8bg=sjGdewZ{CyaEze8Chck35~t$4Q(*vTL0>8@RT@)){kIadQ+JNZO2o{`=!?ABXm znXd1*<$3?{=YRY;t@&1Xxk{-zZ{PVc9U|Vhc80E6K!Uf3fRGBcQYi3Xf*N{05?g0nKa|`Z{a^Isw?onl!$~=Q`EU)_aObW_mH7vZ7TZi z+EhkZuSxMcZKP>?qTGE=iaVO=hGX~7 z92GZ|zg_AJB$`7<`6cg>$J~b@`Nt65{D_<;e`hG=XPYgz8(M@iKIIc0o{|^0CM&s* zxEaHrshf(4CQ6U$u1Rt#|I^MX@~!XCAVPO5E?Z0apQ25mFfYmE+mQJ2>C*MPQ+3p- z@M^F6a|ii3xkKr$pH&uw5{ici-6s#i6tyQ&gUG}>J~PywS;IMtEP1`Z;DxTOb$RBm zOHTgVxz(nQ>#Y#`1w=U$(I*K#8-?)St<^+{G7hLI4>3_535_p_poFB9=X+`2HY!;V z86-+#rbk4GTo#+TkZE!p;(V2P@t1T5eB2^7m|IzT4x;T{ir7VLEHR6zaW(DHo1XuP zY0&8+mWZQ~l=*xM?J)m>222$F7ZGB9*$Qf=J*7ks$4W#oX6TDQwe?G}fE+~kMPf5Q zj%|Z845IH+E#uYB7Ic^e!Cix5o%9gE#v;ScWNYRg0Z&MquFx~>JqV)2LEAh*&x>jD z#rn$8wdP)w!A%v5#l(^JMa4{JxLAi8Ta+QrIbK3SiKd7J@q6@WQ(=AkqhPg5dyYmf zvhUXeV2SVUqZV0!QxQ+qFNn>tC(x`p?RioeXYVedo#t-S)@pPgL=6|F*agvLy2wPI zginEaRkxOVp|E>A4p_dY@~IR6ukNGGtH>bWthP4y=_6bk-92&zYVy16Ao?EpJ@YYf z;v{SC@+@!=Sgssby6GPAGa7UZ8aRy?;9driSOO{r(5@N?fPuZoo}za<@n5p;GIR7f z5@1<)nh4PgBEY@a>94vTPj9^cx|FqyK@qt3sW}g_HjppT9*5F{hD+I$qF&~iT&a!( zK&#Vzm~e3xMsg_0CJOST0ap`1(p&@Q;)qujD@-f8qpW)OL8OOxaVk*`otGFLME&e4 z@({6xHMaHAmZ})srEZQK2l5orN&@Mp6RARb9uTpiKP7Z2U}KH+6QDmtURHE$dnv7? z6k!>(MX;c7a;Nhbl6VRVl9Ia6JW?VK`f~de%yMRm7LcbkV?yDTT3EE8ZFhs&ATt@U zZcay-UfKjxG#}ZlJjn?L6J@=dm?`3L)>1CI&^&oV@Y1^otZ^ikuwRg5j`k z4e#p1V}-1n1(Yb#^a2l*nXZ?>J)qm%0jm9NU}rgES1j~ea*MLO@S1MVXWCYVhC0I2 zMMZ>AH)3M0F1@~uT}?gqZJSE&Qw$-0v1#4$LDS2}hv?7&2*ZLof2M!TpzQr$r;6mS z1*&)5`8@Oa^@gjKx9N9p*(PqP<_?kjsJE1bumNiSBfhTqIcgs>y1(@37pgr3zslbC zmB%_KTA^#?=FwyApyiS86x6;!9Re^z@_0WEOhck%Q@pM~kP6Pe@?oL)2^e_izLTO- z>#tDJq5Q<;^uq5SRP#L0O?p1;O3|5q5|#MWIdj?ydfPGRDUY30V1@ZI{H9JMS|G;_ z&Di zo3Dm_iA`vcZmz8a>LFKp{3fc@UYA!Xbcw${_*ugCg(Y}hy15}gHK-Ju#-TO6Z=KPn z$&+*_ZFv)BEg;+3(C??!PyA}#C`?{zGo#+P;p%r11CY`ZmKu_+6rb#+lST6R!2Z$^ zC4OfQfPp9!>YHd7Dhf@MFCOYG*a<*8`t1*tJL%-{!!UJe#MAFo5XYxW)IeRH_#qt` zJO}>9CRi3(pC}@Kkg%>@`=DBImJQXP`yncRU=#9{>`*^6r!#}zF%YTRFZD1>fW zxDx&rN3{ZSO{i zt#uz4(I(#KD=$Ae`d?pK|LOI8|JOdNGwrj)6uS%BXYXP9!P5VsYf4)({BAR`5Ze2W z`j*&RHBU3=*Fn2{+Wqb=e-Jf?$ZBeDcp+v8PgIW+RlT9~ZQO&UK;<~xbFEqSbWSv& zg2;gUgZ4{SF!mpyE>fqIwB?XhEUx;9xO%>n?hfEf@}O@m3j1KSnq>lca8)SZB@0G8r{e<<9qE|-qP>Ri{{YCuejn^~?Ze)O)qsH#H z=#}+%ymbpSL*;W2-QTzVhP6J=7G=b?fI3a+Rlb{8JX?&`*2mZK@0Nnp(g49|r5(^x zcf>c8y$wJ9k`OLj_WrX1vg?C3cxO*MP5qIIv;Mf_aAH?x#ffNf9VpYT)Ur(hD8akoNZrAjIBbKfcH^B}&sEtIW%O@}<6-*vq2j!;Ng(FpuXXuLJy)yl{QlDF zl7s&zJl;4_Oy3Xs@!Pb?zY;<~CfVikc^*{cx@XC!gc8C60jLVk#5Wz2Bzz z)OED^qE!gC^&(J{nU18Cw3DDp3nTT^q%2(mh$Eyq_gA58&QXd*jNdhqBEY6|J=*Q4 z3wNtENM1$}(V)dFF{vtuFXH2;r;e_okvt%<%(5+hrdrf})uuLy0Xm8Re@*at#0cpW zX!k^;02k^~MjF7?4W{#D?GP#5DAB(B%ard3-0RD|Qd(i`s-{hFA$6y}jDDY7rI$m{ z%|%&o*Qp~Q_Y@ynJ1*!eWs7lA0#x^cCtvCzm^MQZeVL@DhI8pYjkuP4+)_%n5hM9) z6DC^b1v$P3l9sFi-_dA+>3(LZ@%1gQuYTjpZ)-BMgQa*CeqmFtz4-tB1OKC}ApHIR zxq-vo^^N{^4{u}}zx_Y6Q?iVi-F08T%qQ~a&pq?~^3}B)ue}XN%XutANHR`e79&id zAezldv5{m5TpLkUTf|NzMJh;w?(S~ir3(Xo66M;Aq zX<7*0Naw=>y$9Sq5ovphFjtaUI!!*~u|;tKm~2_JJ4pLEC$DJf#!;nBH*$;O4q_1o z=ehq-foc-e2DLAtVelqQ(0`=a85x{gi7dB~%WkB}5tct3-T(6gt4}R_TYL_K7~~+l zxK{1glD|?Yo6+G|RuI>aHUuEt4lBCxGcX)d%@DE#J#$fusKcejpnf7|x1x#e%|f7~smBfka^7Xq#p>iV*&)!DVw)H)LR zs%yxRp=OP4q+W$=m!8NX3Gl52b2Xs9 zE_KJgw70xm6FKtL(IbyQ&6HO+-Ph>++}v8}ghU;lOMu>T!k-c{wsh$ka{Q~KKfkNQ zxh;KH2C5Y~c~|87+pgU9*}9skak%*U*kj}AOSdLV%zM(FYv?Vg@jcGZ%}wPo@cg@a3~IrMt@!Z z{O;DJiHFaw-tocp=LuV+_l(*jbDqgqC-)af`UG?;5xxJPzJenmdNFElOPLqMrmd}$ z9H^cYFe=eUGmA=j`bFOi^)a&4m;&&*@-yIf&4LAT5rIz# zJE^>X?en>90D{tIKu~u8x2mErW$I?H&=ZxT0Evo>yE6>sYe=nfEh1z+K}7YIpQ9mAT-%q>d)D{c7b7p5hma=?&@DSK zw>~qKWtdwYU~b(#6yvrYj{Xa*j~?PHS%7l2gXVeV<#{xDq??|1&RkAIq6Xl4Ez;|} zgQz3`dhIqiVxhkQXj=CmJ%Uvy*4zV3uh`nm0ZupoZTSV@wz}z!4W0sYPvQjB&`hCk zZ6(y6sZ`0-Zj;#HSwn#;+MQXyhFFU9%mBZbFP9)t@)qd^CoVZ*q1xD1Ky5*aN*c~# zsrKZ7;+&$pP4KA4=vNg$ZH3)Krgd<@7vFqgwOu~>?IQp%C@D*qw82Xllc2MMI0qfj zpfEKHLcJldN617DX)>Qf00JPWw83oXp=HQx%xB($I3*PlD~<%kEF*Z4vxU$DLa)eT z@WnbEbaL9D1Wwz~r($4l&a&mgkOPs{8P{*<9{om)MKq*;h7)84H0V^D0p^HlB_ozF zLNJy*mx;!Lvq6kXwsCdA=+}=u{`urT!J(Ngp3iWCM&*ne+X@n5OEb|GaAt4^N>r-0 z3`q-mX7F!oH&X4!tTG7A0(asHc|?I|9vy_50m+GGAo>!HGD?{X=4WaFQccs4-8>7j zmP08tI^F~Qe;96)l`xD~gn(^1rEuA{%=ZEr8dip|g<&g{Y*H6hNTbG18oyK`~DK{vk_24zHme#F+cr}?*RwExi9mKjke2&1ejbw`P28p>y z4uqlMO(>sX39sa2_$i2kY%5a%s2eNAwRU+G&ysXCHGT8$0h(w06>7|*Jjn#H=lOCK zweUCdD@oh15i5-wS5wLYtXpV}Ju!$m#^_FbZwPH@B*t+QzPx@}oZziY9)(#p$DSgm zb!~2(6?DVJy{6!=*2cn2Cl6v3jhMy;0)}S^kZdqC!`v$K zhG4|t$+W?hGz9#s;gle}aed2(3LXjgToi3un54i{4S@|1jAO*$X@^Y|;RtvE8$3_h zwp@r%0>NJwA%p2LI7Ma>r-A1*(_Y~G5Snp=Jb3x>ufB5{UcnTivR5~ zYEFjCn$*G5C5RX-aK zOLq^};SFuJIdk{VR4Y>~uVG^akuvs#?t%P}M}1H@tddBL=0A1QsM)_2=49qJI)S&w zDh!=Y=4`q9Fkq$}3xX*L6tgSLZ%4Uh?1TuXrUXV zP4p2LLU(gcqUWtsY}IlJIzVX+<%pcPL$X~|=x&R2Q+{=%pHJ?!WAk+=PF0eufm>jW zJ|T#S9e31kvrn9!LAjAD$|P~Z6`veoJ7uM|WS8hRymxnz>Mq9R`9UU8^TrQ8|8R3> zDpS+2#aW4XLv7|5^-$a1ih)%~P;^)VGGfW1)^N%bDJ_d{fn~~4F>75Jummr%NPPHX=_;c&)44>{6^J!{cnyzA$@UjpVHwsC+;%8N*5u#xChB#7)_DXZe zO9i9oPrhKnK+wxcdKyBOqQPIIi9t$MT9^tcw{5hlqTR(Px!^Ii*PZ_g9hAQ$8Oc5o zfB2T=0fG5|#N!4Cw}J`f1yXjKoh(mG>p_)xc2YSGu(X?%co@$z@$>XFbUI~m`M2lC zZWjf5(0NGMH0&lswvdKJKHao2ht=b=-h^utCeX`NQ}-x9C|JjI81x1)oK0||O3031gj%Ro#R;6AK<;)H;jxk_??Q4*3&06>65 zIudXg7Mn!E@FCB)iMi5rv#1Kx23~8AsTvYuy{I-OjAOJmNXv(F229e#+fP-H zJICdDd6pcPII>)=lG{}mH!numvq}@O#l?~FxzmEMR8_S}xe$+U={ti*WMIM-2!@%5@Q`({4im262pA+hf(-iIXR(+~JALYc=M)5cCp z1RMKBL3tuy(iU=RuH2>`XTXoKNgZN@-p|B5aZ{e4B#D=!#(CdrhMw*vS+Pmv!JF@t z$jnC4|89ICGg@ReMV{l3x}3~1B9&?@RdRcgUnj8;AIp$Nc{!Uc*+2<|@Z5+FyK$_` z5n3gV4~kdVZQh9pnlydzI9$$k)fjwE-p@+kOyXpN&VTxz%AoNvg4RuV`x zdhm5WE|=+IJP1SMu#H&VPVcH0MGefH1Q>F?jrbz;wOKUu1zcU(fVPq_jR_fe2A(&P zL_(01=r~hKg0YGQs|sAtBcwG^_c6UUkM zAiHc}In$=(`Kc>3KglNEogiS?a9VrEhWXkRavg~zq0dcs9GCFZIq4!Tbj`P&r zgP{hcy0ZE5xZ58Vv7R>JC&+dgLLbV6Y)SRhi^Dv|Jdax=9G7i|!E z*u}eb*{3ze+G;Y$UI1^JIy*f=f>L|3<6_TytGuVLSK_i$6Me)S7Y}pOEmR>hr%2_~ zx}4~uVCxp-1gwgU=Hm0Dd{s0s??aGiK=#Um9a6E_OS3Fh8874M4-_?En+-GC7LUy? z+@|vy%wVIcrmOJTq>_}%Cj6w;kzBI!uBZqH0#07JR<@EG+LXrgR6gTbS zZV=jA1?eW5YU1>wph<-Jmn!vC3tyse0RQwmIj@VlFmdLvYTF(hL3dB!B;zcVVgf@I zU;Kyg_Ue!S&j_d8kpYY}GSu=SG5Z)UAy%Z-zeFZ%Iev{zg5h-xe@enumJL*i*bL8d zKI0gt=VjGu>*0imjhc>9dhn#W5lxVS0rJc9Ilz&`w1-iU5QK5)1(OLrJQ||UtF~nv z!LO35h^UM17bfz6&Z^rU#N&4m*2_WdcjM2tSIO=&L40d7mCf5U!KjVV%G@*?T&Bj2 z+#KFKi6SbC6{<*WU2i_tE+tE8jK{@n2`D7fK~|jNrft|Vz!IvoC{#stJ+fAL zj=>ccHwiE)s58h|Ueb<0`^+K_iMme`SATr}7t?>b{!A^$xeIu#+0e(e8TYP3Atp?P zve!Wjt--gK3S(M!*X(jxo#p$wKzflfZq&A#pan^uuE2#+)pELRsA4Ra7;SjLgr?Ui zUfpjckBw50NF?x3Y$;j_d6Bov$^Lko6aP)H_ai>wilqdn*e(u0e#;3t--@sa6CjRs zhHyij5s-}%H(kugAwGv=#|!JS^7&~+&-Ko7QaGxsrRr7rZq>5g zx?ODL1jS!9J;pLoJ-W$PdeC35>Vu-RWqK$EgiQ@8Zv~d zD~QI`b3_05@cx+}T(3whha@0mom>eCB1Ge`EK;IK=-f^@L8`&CMo9X-T#j-^;FYl$ zlPniVo5qRXsAxx6T{5_eGgH}=@dEHQs5~I&IFhtr1HOd|IN-|xBg=CNBod|XQtU#G zlKUi$5MV13#)w=-%TJ&arCuTEry6Ckv8|a^*lr{FL-H9~M^j53uhPh;$zV(e=}8Ww~vOKy&$wrYRq) z%0GIr|0R^>2f=Fbl8a*yl~XuVKb^;kHwyR!se*hkVcIxXw4BJ^TL;O*P;D7{;Mvq} z63cZZd~A!g!r2%bzi^7U%+8FF)*Z4{&;@)Bc_krk_LZpzXko2oKaA{JsI2DS`rL}4tmOgY^2PemEDOwcSz*`KHUB%TW%JTc< zq;6EO0p~V2UB`_CCyLZ~ncB7~Y~YEAbSF;E$vI>~c7_NRl|_S?B8;(PGG9$rFmjf9 zx5aImKU>8^ycI`S^>|XyIROilClbAgxIksQRQIvajie?-YxUepGf&3{1TI-`Un!JX zA$1PfCl8--XhvFarL+_tu$Lg6J2p)yaH4>LOEboV?dp-5rH<7fKYG2EU}=SuA#79B z3T&RJ@UXDO>O_tP>}pUzx!}C))Cjlos%^Q7&Va#;b!m-~!tq&h6=TQ7z{;T?)dYKK zcbHlsVAv{-Yg7@lnz{5wCH{?=PXw=f`}VYve6C< zrKq0Esb`>Hg%zHsC{3xrTM#>d`=UBo(+4)llCwYf;_#oo`R=!~c7T{jU=Y;kaqPz~ z&^xJIlJ}7VWXApkvvt@saTgEv!mMC6b+Zg6L>)E_PR&?=g9}hMb39A62eX3^Hd-%X zST9(cEDj!QoMSj=!z64p$a{ej#4=csmegUhDwq_90ey#!FfJy`wj7EDm#_r}SOIQ@ z@ES2vdk|-X7>O=(0FJ6qm$M2`<+VDnZ|$&gTAr18n?ed0I6rGZeRv8-LY8-EQ#3vC zPZ!Q&*Ebm~vvoRc5ZGCm85mWVb~ES@G7VqI8a09;lZfh85GHs}%eqvIHfW6*>zCG; zBVpNV%WS1GCM()!MIpL7H7_9Qy zJwc5P^O+b-6O!U6h`~q4Xl$7*Xo(=rvVkC{Asr(a36-hvBCPYFTosnrvLx1)6|BHk z|6%^~Pyh6fpPk08Z)hY$idM-u%;7e;kPjgpg{hQ;h>%9-n7(-#RkfVcFr=XK;fk_Z zY!5D%Sf*BW;toD4lu1~v!UVG!#0W^I*lBj!2=^gn-!6dYT&kiu&8fVH3@!yg*gTt) z1gmiNX`XFd)or9Ts&N%7&=ME)lR>)28ViE{KHHZaOz}ut(F@cPg+v=8 zDMxS{F_!bNEHYYxa41k2!@0Ns=LX~)V&Ul!kcKzH7lT-asg@;)CBOwt9ytAi(a1yof)F#4^= z%zM}p*9)~RYg>5e-gF~tL4Kl5Yt%mLrxGFws=084{~q|@ zdNE;jnCi_6i_oZHSpqa`enmSH0X97>1fygy+r}^FK%R`oh_q}_;4V^Z76?MC%eLik z@V_z|v(a7~o+&q#D`FTgU_dj*ge(S{aGT4o;WxX>cmxacDBG3=%t>qoy~2_ag$>qo z{5lPQZlvV|CE9ZpFp@8WPk0WqW4^~3+HHf=X+k9CHu`m0f;>h$Nz7M>PY8Yj0^6Yk zZLSNHl+779pyq%I2QjS;LHC#-joFHT8^JoJ!;o-oEgS~o(I8}07d>r35Z{DOYXp+GRf6s^ z%ZQ^@V8aPw8o{`$K;-H%=01YFU|qE5jDw~H4c1DQf< zp9LxtCng#Jt*;4ciUf@5*bFUJ(%uYb&rux23X%@!cG~!zIvCBes!9j21WBl}O<-7m za95Dj3Lq06X1F?JfjSU_S{vL;kRk*ipMq4eWh#cPB!bY{0?!CZEG)Ef*tAV66Man7 zhA~o>fR};TqC#*PPx+pO#^;Ap(Jp+V6fBHd`ZSEhz?Rb(H8%EmP)j3SdZc9k;wsbq zaCX?>f?9yK8C5MW1y|rQCUB6J1Z+=(nej@7o2udjmCE$?P%;fc2SvXDAX>J}J2NV# zZI*4anvExomlF&R z*vz2C31XIPgo-Syg;gN(Jqo@{{>BLFcUqCrvyuW{C_x6na1FGx30;>8u*O^omw=H4 z-YPP06LNuMXQafOVJyoILDlqr0KI{qN+Wm zezj3pmnvDK>J>`aR*oN*b(U(5G>IF-T-iC>ZbNCbK&V0DssNcR=7SfcBcY4Cow967 zJ{GVX63e8xk#Eel=q?})67P&tOx*0>E07*5sV9FKSGuH2)+oWFdyZEbrj#_R4j$=u zjP`-yae)oF`PYc6lS8zWRpss!HcHjCvLjksxg%_v9>;gT)g}lN%ut~?c7k-*D5^By z$6XYC4waVO~ zzC6^=&r-Mt4K^~tvSF!uWT3XOn~@&H=UpRsKibqn?BKXgC56wLu8K{n9r??}Vo5ZG z7YRxsOBiLEG2qtkxti!Qfn6w)TzXorwcN=obHbMucfL8kdb0O=m1FLo(eakHL4_<= z$lFlM*=G4L{xH6ppr)VpsLWxm%srPh(-*{KNr5_6Ngt*{-lU{Zy8?(ZUBrFoSyt(q zMvoSblEWc#daM<%NZg_Bs}l7OdG+*rjy8oUk=W-P`SD^&-{TFrG=1vaPbM00Wv}@G z^51(6@nrGkCxowuGpDRnJy@8PVz54nkGuUO~ow*=tFV7&mAi9Rt+o&989d{$W1`ksuv%8SBQ6# zDb{C84hnK!n`>6=Wce|?ipm}$1#dUG3CEJRB>5|O7mpE3LWp=kEaiU7&dyCLH{y%b z!IIWeai<{EtWiFA^t0K=zxb~0dfne7zo8sd*>%NlP7FnvX~V?7Q~5^cpglIBeaOzob-cUr{_u3%_*kF_U)PeQX6gdyZl{>*Ut4GFK!rAnx=YHZ+>?#`BBtT4b9Fl;*MW# zJ(5gXU1+{YelDN$a)WH}xm(F{YcSDZcN}Bp4_f-U3qqPY?0ZEPs}4E*&mbJFkhheZ z4(1n&C&>9tZ9Bth#X#J>~tg#(H#EU6B~8%8~X-3xBOhNYI4wzhI|s89)PKq=vn z8u`C}$cZbZX}S!uB@Uun>FRZ@Z7-$hM~S(%&pQ5q3Z!aN)3!v7O3ZC}HP!{#iLxyV z9SvNQROZR-8|8=Sg^C7qqkP%DQ0bsY*Y&Mg)4~)ETz22yQc*bYn|u0Q6{9DI+1p_E z!bs-tiz9Y&E;d>ruW#WR5BbZb7Oq*^;CqyuQ!W>#eCeoY?oat1)ytO~FVda*c(d{o zR6y@F{T^N(fZO_g^A&EX7H$ju!EpA%UIC~%PHqJ6%bfhSb;<|}uJXR)- zNM^=kW$dUq3rRIXdx086BbtkRYq5&|1o&N(yV&&t?QoZ5ABOo0}; zO4pQ@ZbHfpdzU@6ss^{)vk(({yIgj`#${dpEF?`!DCKZ}BfGTsKKDM)eXgD-lJzIj z%x~WBeSh!!y?@YI?33g#xkpAC7yBkCnF4W}X;pp&E>AajhX+C)Ooemxv@G!gAf^yJ&W8q+tHZm- zk30WpJe3TEgJmYt4cM0B{6^S(*ZXS7YKJZ0U2Fvp5ZQchb#-Q~o%Yub6D z_NX;dE-@JAjU$Tf7?VzOevz9*7i%w9D6!3lJTVeZxZ8ag{O6C#|7 z{QwWSqBbAkG!V;n3*J0jSL8tX(KJi*{sh}V-zvh4Q_&Y8ndrVE_j^x6l5R7J4m zgs;cP1gA7)V*gro6o`L@lcS~^a zh{&PgeWS23@i)Iq{r1YK{nZy4ABIQ@Ny(O3?ivZ1QfiDuh zR3%1fm%c~B=oD!?38R9ES&~pB1pM(q7em}SHqq@=4P_8sS(1XoLa`u~LoD*sNRc|# z7u`7>J1#<5(2$b0Lx@u*+eRXEnIOpvEzQ&>0tq`xf?QOZ+e;zDYH%ZRvb!= zB%Q}MFc@H##Gzci`d^RtZv4XcRsdyC=FIL8W*bP6D9$#THZG{c0I=(ml8cUM9XqGGRa9|Z5ejAA`=(L#3&if&R1kkfjEpcG zSxVD#sCYu!m2VZ;qwx*-s3XnkW8@a+S?{WKb^e85ZlYrLLxR46VoA>RNd4BB4yAkm@5SMzGFQUc&5X>u9{VH!qnO{ulbWL{cbuDtEOC>*$WI?cHIN zs&#MZ4(n(z-B*n03+@_nS?HFoQRNs39Tx8Oj<_5t7c1VWZ>qHN9lWzC&i7>mx;0+! zq`XrT57tUE$CflQ}^ZS@uCQYZjwfwjf7n%oFH~m;?5MNk5#Z$ zceE`11G@T#QvVzW;MWOz(tG~xBg?=2gTAV#R)PP2dcY$5|9OME_5a~^{g+Pu9}^qD z^!8xPswVK3Ef%B5_(k{($U+OjA+Z=Q0TA!tT?#(~{9j}O_yFF;L1Jlp@0xh1zU$ZU zUq#O^^>P-yg^vfk>w11Ea6cD@}r#x&{r z)mA-Vi%u!za?|+f5>I+%-p`8bsXqsQ9-)spQO4ejr3XZFJ>{|(($okQ7h-bS6SlaP z_rvC}F;atUDUqLmgK4e1ul|4A_@$eem0OreJEG5aB2;OYDMp!MY(lLd%uR}>V0XsI zxK2gCZtzJWD-6yvg{M#iD2EklB&O*mJfj#3O&oUrbo|TVRP6gCv?K8NVbJs zY@D3_m%rYa|M=SyV9*6Y_8FU1#IhQN;0VsW-erm->KugN1_UmP2% zB$F9S=6zK3z!2s{rmHFsvQdCpx_X=oUkGdDKIk$?46M7&_ znb%)_DOt^AfO1sp;BrHT?Wh{0hG+_C(THW6*AaIoikc_S@NxGTAE-M$R z)dQrLhgs!04LqhCmI7RlIWM5iyQyVEOc{OSQ5a_`V*=&0InBOiVo%bYkCZfBDOyxA z#${Uz=wq_cBPd0iipzwllj;yYt)ZQ*_~Ou-IF^PTC+LU7njG{Ir}ghZOLtqJaEZvR zbtT@vnfmV*ph5y$1dZ@=1ydbHU=@Lo(AAB0WJ0OY!TIZ*RKor(W0#S7n=Vi#55EV{8Az={-p2omQmiRqVp3bNTDE39Q zIm3Zl&Wj#2WsX!Db+VpQ2Q-8aN3%xCG1u|w>1eyWOx}rGv-A2Yy#^dpzmg^;I z3VDFs^stVI`jX^_>bTS5WyzK0qE#aqen^Xt#7LK6RMCkVxgPZl?Tn&tj|UL)ChJYo zA+9sE*%rPm#ED@ZqIyRR1r`fb%E%g@a&aMYJ*IGW@(8<8-?l0@T`}ZFu`ac}b{Q6I z%kruw;T^LS%5}qTETu6-8p4BB1>p&sN|EgmN};UWiv=T>AU`CC)n$3|1I#cDjUt}w z)2M-_uQYEijHDbRhFmua0lHp#0ejbw7ng*_5#KjOBb8UM6DLEPB2}N6;X?twLna9% zk(owk#uE5!i+Tw`yUA8qHjYT@jhNaoimtc26sZR?B-c*KInWP*FxORuh(g5xrUr}| z?KM3mlOENVp>VpAl0fFU;4V`OMx+1{Q>bQ(NkuIquAzY4z=mCUTjaIZzjgl~V$hS` zZB4$MKtO7lm^j%o>Lw($t~{P(C6&R~L6jV&-GQ_KqfCgwXYQ?{#1p7oRE_|py)2!` z=SvMJv#4cpZ7lrCykG*SiX|yN6o6NyX_@46eZnv_(mV3BL_MgU!)sN63iJqhAf>WZ zq&mf!h$m4c&I3y*MFgOgCn-A~hx&m`6^Dg2hRh8a7?x7N73mZ`nF3hy8R{uym?ZRS zNUPXDHPQMhWo6@Z^0=TYdR!=NrJ{L;mLXMFIFTNqbsRoI4Fg?MIpqdvOdt&k)1{B< z#fMkZU7A)V2apEeH5i(o_L<71XN)DgH zw$x@7HX3GMvjYOv6eDHLiV!6bgtdY(NAgulqH0F10Q+R{IjBgFgV^%00<*G+m@~{^ z&>^tsHBKE_N3EhOhh~~()tI{6gFlU$rtP3U=hok`=gNFyV}GtHnVyiRcXm@>K~b;ug6k*=4hSVL9EBPlr)L)x}J zr)bLc&@x4#%_L!6L7U4w+<-g{?w7zu49D__N}LWv&|(W6jDbQqlvTE7%sg8K!j|0= zk{x%1%8edB6EXsK1CgycE4yD}bEc+CJaZOk0}0}fgAHs@WN=v}WaM^9JA`g?PHmKl zXP_98)hexmX>=u2sYAm=7DEbHU)G8+P6SIQWnp%VxhtdjOxfcq#>W4y{LfQ=ckN+u z1ru$cc*_UGt%g3oS%syt z3`K$|=80^84<$Lhq#1swESjW9k~1^fgj8Dj->qH%A$d7 zQ>ol&)WAFg8VF0TnlsFdVUI#wMg>C$mC5N4m)Sfr5#Es(>MbKs8F|z$vyhjicB9H> zq*)IkrY4Sz2BabBo-%nr%j&2M!qVx)8mH5VjUhk%9wskt`7Zxyt_sHcmU zr5X$}u(wU(K?D#qf9ltdn@@;x#sF(q$m{eYp*UJ2$6)tP4KU0vvo3}tG zqRF(#*U)hY7V+zjW1v@r+pQRwVAM&QWF~8ic9{zk<|6M`JfibiC~v-wpf#XQw_W|< zTf^V~-OvAS1tb_K5*84Sn?R>HPv-;*1op`XSvo^?&p@paipQvjlc#moE9J1Kc08n% zOvp1)_*pZW2j78G_e_)rl>uo3=s9qnB~U$_X}M81ycZk?W{Nf%U>K?k6?DCH!Lw2^ ze+V|JKPlCa)L6!dV_E?yA=H8E$y92rn~Tz_5(<-ZaTT>6+5KyEXrYwFGoU?LsG$`2 zxgqsTN#RA3f#H;01swr1^%UGMQPo7fyd#h-@u-htpi8ey6zvRMv%-9Ibu&NDOIfi- z@UK{?O_^>28j^)df`vNu)OlsYnYYb4G8bXiXB6;+_W%h>L(skOBRDIE7Qb-pl%W;s zlX7^IS~cKT3zqYw!3fDO#Y=B_7M3;p5)&gou#_p`>NGgus_k zkOqCPsu&_zAY`{IU`HN^Ru#kB zoO(~68F7Q3ZuTN3-Gsl>VeeCvR_J^TI+3t?jlg>$eJude(`n#C2p(jCc7LkMEHP_U+SF$0|q4u0mNQNv~D5jXbpRu8vjhh8Y%V%Y;$H6{Zz=2=g6h zBjkw7n!GSLM&6@fpSQ}syIie{dZ92__!+i6L9omlXC8x^K`3k!G4O zEjZ=m9Vf$v;i(kTps-RatoO^VtvHj8tcyW1>Tvu(gUQtDaBF#q$*QK6%b|+425Y>| zspTZM1G)El9Xt+g3f^Rwvz0bU3SSOMTu%FyiK&)$IYK88zZgPCcP>mn&} zFE}ub1DwWC&uM9*lH^x=seeDi1|K11!!_^Ea>oQ`6X^zI4g!Qv z*fFk4cfu$g38i{4+pU?+8BK~}V>M+k$~cS}7@L0gp*udg@y^~qg9eIW!MDYF0BA)g zF2O2PwwpFT!cP?JOao9G6_iT4+pUNloaPMB7AzmgfM|Z*bQV;2GOk)kYp7o z%76IU#o>+ZH@_P)s__ss;t5*ONqU~1hgleFAD~r5%?mHZdB6%;Q=84Itobm#5SIcp zi~zex6K}TX~ZWb`XGahUe0wE*SXLd_vsWk#> zV|0VOW>bzMKBn_O4%aNV<$|zr1jH;nx3n&nj?ecpn7=88@IE%{txR=U}SsG0I*C*u7jF&82G**Sfd~z6-5uzYb2RIN)|@y z2s}9ZWn{ngDy2Blu_$$l@gxYU81**ubLD0I5W-QOF7reUh%J}(3QmEuD_dcbwtQ)?$uzxjv6#=rjWZ;M+} zsj2d|6B3PKh#mBrl$0QC2m*C!U?cMg6sT2#3*~cOV#<7hI408x;IN5@+{agiaySoj zYvH)@(;#i)TvF2`LUqFJqn7>gW+rw4|83qSyjqNnWSOd7F_- z+s2{gfF1|m@FnA%UF(fkHKLdJ0zFKM zHWZ%WT*U_TdQzleN%ghxYfMJ3M7^cUA4Ig6ew${aPSFB!_mo~$5?l3|W27pH_(_E% z3A!GvVp*kn#%hEMKj3gX&h@B|W}P|N25xuW}$(jW|N zB@aWZ*zj(b(#G2oSv9qu{)0&B8D)>uXA-rE6d`nP3#A%}WCr*Li2ZITlW2JeB`;z@ zBj^PvtHvX%c}fsrFB*!iMTiSpP=~?-mNnx{6-pU;7OUj{1P5s>>_?46=QIeSqk7>WFB}3h6ZQnLRlu6gmjlu!YJu7zDqW>2 zb1tVH7LY?-q$(MslGLLoYdFSG`c;l&qojgi%nJ5=YUtq=w$iGrSkAhpS5(>9;p&QZ z$X&O>Rt%{Faf(WftfuCSUf2{UfB@Z|@w_vrC>dcEy6G!>Klakbd;V|yZeEzM=Hec| z^Bl1%5w2>Cf~SF2@CsJ>-6o1|JdzBDiX)!Y4P~Qr21+z9ISZ5+oqv`+w~TG_awEdD znhIT*PrIowtxI=8?i!6ikH_!`P}@Z!G8Y4CRfd<8s<8Fi2mg#4R~)gCnE6blJq(jn z(6PnduWlj{*UoA<4!{wF3%L3XlgD_&cgZvJTL6t(M_;J!*L*JaZ~@-o3HfU`uaoK zimF>zkdaJ*;KWgaa3lnmnKPRRWDW}#GB=M9>>i0fMm(uwt5R3AwG0LltxBDqi4YLJ zqWxz8bw9KkG$>Z|aa)kb3L;^JTHyk(j5?QOELvXRG$iE~=?77@LHb4Gqm~>{K={Vv zti)y8(1$5bJi+rKl32T95j}3bwb=#9YlR)z;bMzuonWo77i@#bvbhIKR4xujDCi5M zuTx|);S4-81H$JAdY2#F`@g)faWT2NRJ#oDWRN~cAB`0}=NjU-ir)(B8+n`c^&x~( zM~se;;~c>%UPM_|rN{BF0GLZm!MRxl5In{Up}>w{>3A~bcagBQ|y;G)20(VRSMzFwjWEa52GBwuALAZxdd#O3i z^Evb(Lc95&CL6#-IQlJyV4kF$JI&Fmw z?vX+-8k%e<`Xif4qYLTE$xjTMxw;Ll+M zO%cPK+ihS6s=AC13s52ybg)4CTX^<}S;L{o{77?vHcyfRl3Gu3KqxIy_0JuD^B3QL z#ti%5dkFFOd3dfyb#y4iP&96Bbp|7I`J1j z`O_Efzxv6yqkFWBRXvI!^wr?rGZik6|M51R!K;yxRf$w8xdx#kdVWc?vbv^sy-f{k zNbt)@Z^R%91o=FPgaI>T6LEDssWp%*Pz@baz+6J-m3K>|t7nuX4h$5#6_OSq2Jjrf zqBiskH=xGyU4U+>szyZnHW5y%(=#B4o{uuX3s~1t;#rrQ@&EeOP*#(%_8^onO zx~*~dAAY$1>aWB<3nu^_qarA^=dKj6!148OBMK4^y7#arq8>x6VyZwy-;JpZO9O8N zB064>a>ylst$vq?LMH6S?9Jp=X6CrfGe~BZ_^b;cZy4T%k0j=U;tjBYGRG z+)zu!R8zxNpW`85;SQNdf4&8*>Yt8xkDRY}-Bvynu)LROHIJ+!dVe#>PQxuIiCzX7 zZg}eb;ln@VjII7r{SSD;Z1^7^`sL@>|BLr0ZjwWTwuOq#pmvkWg@S?=6my&iQzMZU zl$z;|D|h_z%oQwBLpml zcaRZgvTM}!NKW8YgMVOFW7z9ttKAJr_IksS855J_N~)$@G>|MI&7t?I+bH|QU}(OrCu3Yq)FK z{rZ!8Tl80+Txx!`_v-1k$wyu~hjyF?_nbc2Tzcc&$shYx8v z;`P&gXp*YD`O;H-@y(Y`dQ%;|PvOhmE2xQ&V84T^>0ny(Q*m>%MT`vubr4ZdCq-FxYF3a zNBWS7!K}qo`Qjsqj=8@1Tk^k0W&E|ozegUXPc1gPM!ORu|(=eY%OkEy{k~8+-%STFw%+I|4sJV!@7aLw-ua+Jc zE;nO_o$z>@5bgg$^A&om{IHvp@b>s&;q&{>pcm`z^UuT1Mh>Y*veUcb`O1}vu(ZTy zwvoo~&^KCkpHFmM=8ktY3rCbidWAejuY|#ph8LQyN>5GD*W35f(kt+rE(?3w$CSr; zj9C%(s@<7J-Li^j)fssl61e}sv(^B8vEA-YgFn|rQ_ET~J;w-K>=V#gpFy>=Gn zBUc*3bm7b&l&@Y6TPv4&J%Ltt5iVMJ3S}Y^#&0o(xvFheH2+G@v8R}V7D_vDqYTu$ z_m=|oBzv!THvxJH*{_V3z3hD@Z!<`Yzz_JL!+e%sL`5w!kQpyq23fS;pZEdJW{Bab zG@s~wFKX3cp(d#a)C_a1~pL0+=5s);$rSJhHk1~x&lnz)hblAlTu$Gt7XX~Cp zEFq+c2V|{kxc6Hn&0q|j1QpS#6>1ISBC`e$iXhPY)qpr7GT7uN#z9R*>M_IM?dpHi z0#;8Q@v^RQD&D!chyyWx4Nm}9Ko&iJyq|D@3&#+mjO3GHJOIbeGt-~BXXE@ZUiWSR z6jd4PXhq7i8>o@Rum@j7oMy@?YZ}{5B~s|Xu)PS&B)hFOYvo%Er!&MYyN0q> zeKsW9Y10Tfy{O zmlv~IZo84au^cKj1M{xEyTAO#U;gwmyQ*S5bWr;bd@0O2!TY@n?ze1| zpj!+BfiyK=sFqYkGC)NJvc%&WI$r+{Ph0|)_mYN>Dt@gho}O4Sh;}iM7R#?8uLIT} z--O(D8@b`3fMZQ~pI`!(!30#z`?GorYC2xff<)MR+rvL8Y z>`~Xrox6mR`H(W#*gQR?-o$^l482*5gpGsyq6-nbP6-H`kP7gAXWwSEby+ynzt|-d zUfz72YWYS~yVC7;B7Xhsr`>2aNlE(d2L{zJyR2sG-Iwb(P~npght-k4C2@{>H1w$R zzYZ5-&)-}=YEG-)>StQ)gZHbmJLsrf%XJnMG8-!|&duH&Gtai`b;_(6GjzFwSn@;K zKW)>SuP50XgNm`~e7<9MZSIn!Agrlpv=?q8%LDqv5V@qj|K4nWt}i?@hSjLEC}Uce zRNqVN!?t0@?Yp9+bWeEOV{Y!K^re{l86*TsF&$*ZH{m{@ zhEvo&PfOZ@t)PR;0y}P+*!Q#QOed3E%7l!H*+46MA5P8;LOe z;)t0syQPb6V3dFEgR+B45w(^tjn>mTLAs7tZg1}mkdMttZKT^CJno3?*;5_DXQ*C^ zxYFFXiU~?8S4lQzEa`zTamrdoYH<%KHFwQ445><5p476IA(T6B6)>H0#!$#s!(v{n zGze*QcbabFta3tMBUN~eO)k^0%q@&?nF1#*aBFnon*`fSsksENM4e0sJK{dhiNqm< z{%xw#&&i;A4|i+DFkkr()Eo8l&U@$TT(lsqlr7%={XgzKd}ibOE34vn7-c_E6rc4M zkd=9v(mXed5PWbvuT<`}C-SWqlEr?vpx?qCi+xcosfDT0(hf4>thER2sEf%1WG-nw zcdt|HRz?jo5EEucpaguf6HylU&ALV(nqq2!EseQC+E|ih=U!gc zjP7iY%+$%{ukbwkjn)Qrw%J*vnNj{gSJQCAbeHyHVP1dMdf)^fQkKJmp-Z-|wvTdO z3w2U-axNROb2&BdEYC#(7s{znf*G37>ShK*yuqGRPic-Ytm?Jgb@I)Kq0F$iL{ zSEVy&8=Vb z>cV7ND}PP-MqF_-W-nXflg5&{JeQ+;jGK8??^nLwwY4!~KDW~#7osE2A5X@bO*^o+ zx5ExlGv+*wlt40*G>s+qrig32GIHqg@naqCE;NsiF42YH~9>n)rjt6@HW?x{@t2qi0d`xpl9&bW7lbt|weB9jlrQ@qub|Kh@6i+7LI_RRKq0_x?VyDIp7wuRhW6WuUg&JZuxOQX1 z5L1&;I>LsdJMLkwJVvZQeDhpiSw<(Hj3Ks*ru2}yRTYLM1_Mz{kQTS31+{=k%OSZ| zsUd-8bq`en24~^j$zyh`$ofT<2A?5*Th@ZDWD>c4j4u11Kqp|f@>P0RXGmGi#>jdz zAPdTVCKlk$5VBY`_C<^FC+u3P3|eL^s0CRxXk${A&Nx0$GubvSVJgdYyTAUe{g*$l zt(=!u~$H_&&U1i*sF#gX+Ops^4u;mzM3tg-3OC z!zLf$HnE|mSBIs8g)O%nS0dTZxtG**AJ^cf8|B$;2m33I@Zsc-DMtoQ3c`5nV2$#_ z_UGCLBKgbi2kHktiNKw6WI|eQT(+~~?89_eu6)OBd;Sy|Vu~WCKCopoP0s7a;<*aD z)t-yELN>2F_mn9m&uy(1tQ z@uh)|lW3h0GheqW$5MOR>0Cq_KS|a8)`>4jZ$19o*Z;U`E3fa3pxsAL9XB0%Kn(t{ zM9z_9Pol%z6iH@k_)D8j#~(BIp#wP<6Ksd9W*#;5bNVN&pnwQh@4Mii&mM&%oAJ<&Td5FIg?{0VH4=v*qHcBohvU9itc8}Iw~|MH`a6Y`3v zGKcfU2(h_Eg}R9$(?%h*eO)A!c0b$|>I}`AANXjwtzt&b`9gD)jgVYERY~?We|KA& zM+0z^6ndt3(-ctC6lS{*<_&XJ$n#U7@z{eGPt7JKPoJ#UORh4R)Q@7dED5wC@KGVN z{~*70>ZjRV?${}#68e#I{jtVor+F5=H-zQJ*%L*F&%Lskze-|XJSWehU!z>6V_l_! zMCHkRf7;3nPyKq_T*yN%+#2@+w^^_0UL%vA>Fq=4N3ASKpSVy`>7^sNy+l$j^7^8D zm#z0~TEIgn#dm zt*?FOQ=cAKm8s{=mOIGHI;mf9)9Q~$3I?`X?Pd4ZlQp25EX@f8VHxe+pZTT)|0^O; zMcrVIVArv(xoBwJe(Ef8gs`M|M^)C8Dw+Z6QmUHFC|;gyXAdOGsUiZ`J=29q=T09X>+OfS#5x$ z_kEJK8O}%Zxvm5^!?v1m-SUHKTCt3%aY|&>(_@0N&uLZDcN-~lGY`7vd}?slf%tBu zyBDU%dM({&e{kH@F6s+vJQw2=>&>VhJRUVRjV9%v+0%U_^5WvCz+Y0oK+BaFz5K#^ z)Da?ixW}{S@W9V)T~WW-0T0lcUS*Ot!IWy3O7@{NUBg-+%3Wt6FWnq4=Mf zwd!(YTBNhB)C7Z0jK7yZIegG2q}}?}QjMXS`UCw=mPY9;6*Ep!J!cbU!F2n1mZt?N zP%s^}I2HO^<(bYcW}BlGojf~r|DlTFNTn&|1{Y4bsZ7aF9hmnkjb<9NLAt25$d?2m zqRj4d9H+8Nw$7sEAn!WN3vH3;xDwzG@cx-@Qeq8<6nN%(Htdx5WjOq-UDB3K+e~YI zjZ}+?im!l-l6vAh5i%mV=>d*f8fC73DRdoGZUv|Mkw3bM7J9tmTLr~LXA7bOjvc& zS!Kfd@R;(q?W1~m?D57_=|#Rgd7GXSucr}HMk|?t8FV@7aHkq&^-4bt>9K2y@Hkb+ zRfpfkHmTXi(WLmuX#N+?m^w0Us9&vyp?9YHGv)>% zA^W&;>*#E#duBUV&^M^fjV4zv7sAqI)ieqTb2_wW*O<2MOe!uB1SgbkRj{SgXs{WL z&Z=RuY#ZT#QEbhY+tg&!RYCYLE6brtS>_Y`GE!ZlVG?wXyF*gCWdIlpw}@}C-+L~+ z?s07ez<~0}Zfe^nY-9vmub$?awd$?zdm@<$7wgxPfQqiqT@FRO zh;o$^F6i4N#U7zBX@-utBw)~(Fy|7~TT!(h!*Z3|)S_wyGW(i9WwDwo>CRQtJie6%M>rP!B+&s8WGSrx2px23v z+IO|o$Ra3+j5j;?$hRA={)P40qn3A2xcNFl$VI6k`-T#AEGj%fx@~OKm}oxWmn#U| z5vImbIPXw5nATc;+H3sCFw?TCnqiw;%Cl$-+QS!{%x?3=76orbvlITBHqi)W0N^yb|LXxq zRGF;C1dW6p)I~(Vut>M#L|fn&=Co?#r*FB3e& zn3HD^CSx!VQ6d4}s!=*LMn@I{3Mv`DxgVimGss$Un$rRnud2+1X47SdVbV6l!NAXw zB@E-#eH2xI7UL5c+=^CE!y%$=HiNE{8;L@Mnn9lv;;$p3@1J9j+%vrH(ZBOPh+8nq zmrYThwOEG_h&A;!N|ZVFni?Zf$KQYh$(yoLEAN&aABafcMkF+eK`xpv2plb(Pd_D zWbAZYu}h&G@{H60^G3qYS`^aSCWQF1OUz*|Qxco>fDvuqAUSdqNAMG6&UHI)tub2s zVVVqvT-ztIvlg)X|BO_FEDF=7a9eC^JwF-@727Rb^AJYv_>9ok>gBc0j7Ibs zPOE7N9%EH84Y|d<7j%6#Cv^2jhG%Oy$f2Fpe9xdcqRyw6ImEy)f@ z5qxIR2)3iGdY9~KPzfQ3l*)|9TNAo4?P$=6fg5Z}5tC$kdeOnz`>w+ddaZ^HVZD+F zO0AX4vc(u1H~r>~%{Tt(Bfnia;q|Ida~OS!q869070Gw2bwKe8;$u>ThuEfSr}Z0o!S%>TJCuJFerih>u!<2|;7b;%?r; zS1og1{QOqzB;#8HVE-cH*CYny_CUxdqJz8YitjM zeD$U}Yp5YVs^>XJT}y)qQs^066v?k4SZ@X7kWUV+RTTVRRSb&ub_Q*6hoNQbC}9kA z2lXKkTIAPo5@Se#8_`i!56+1J(I-n>$S)Ef!IVD8AXF2&=!0}+kSS!~c~Br)80Q=c zXy}q1(!D_4jIH@qL6n(JGOdWHiU@@26vYitn4|y&?+sn|t)G1KzU%k=bmfGYp`g}* zUc*U2&OVdYBwk=ple7kui%}#-de-t|R$x$$@IgnM4xv?+PxSAHE`5{RgrNkAN>lIz zpj9)$8_9u?%_N*~H_zN@p&d07_o+mYBW`U!GOJn{z$_jx#P#g-dyJeVOMa`uTClCH zb`4(?&_>m3>g_s3?gg2d6@&GL#OUe$MZR108SyxgI&PIaG^itI7K^~-4gDz3`EZRC z=+*Tz`cm^ii)t5}R8QwFyukRmP?yNOb;I|b;x@kj8>?sQ7a=B&9B4KT^c#ahR{|DV zU?@m@sS#L=kzz998CR~t6~0SP%9y)T;1QSfIm(?lyXx2Qk^~|2pv}hwM5_)KYFUyoxd}LMz@IdVWIkYUSpVc8Y4_(S|2faMxWFqziM<@e&HFU@PLD0 z@2#_fKIwkF1^><43WNpYYwJ5K83srW*hruvl8q@|xZtd{@y+qKeq>#Z{T-g-R-+n? zYh<$%GU6MOoocUUzpiLLDmgt0lhH*_<^tAw7aKkhb=6x$P=O*t10o-#vUy?xUZiXR zpBnThH!;$ZSV381rnI1hbAo{u1O=4GN+EjANH%iAQPu2ew-gcmn#u&QmV&&+Ft*CH zi})TG&yof6iH$BpX*C!%r7=j(y%o_`U!Hf5mu|dL5?{+yh*SO_EqY*oL`|e9}yWN&~fu559nGa|v!%TBWWR;^07K5g&BD*5)TUF%<(R6zZXR#ky5phdjzUMKL6~ky z7jK_ln&730u|+3Q-!W!)8_UZ2t~b-dL|fA*T9w6=!^K`IO%~X%wM5UlKOocjaIv9j zUC-R;?nAEd=x1EJL)q+Zyj@>vIG>OShXFSnA>=6=9K){fz;V2 z4m-i_3i$_NJ-_5W-l#6p!4vlG=6G+wdapL8cPp3kCy%<9<=?n3*4;i49nZv~m*Puz z`Nmi?9i9Jyd|u(diP-B|-aMFWn!edxFwacRY*(WCYop%@-}=YDh3I&uxg2ev#T&S9$eW0qdP-8uck}$gVIFx4WG9uCaT4YZ8l-o=JT!c;_kls% z-MJ~2+oN_4&tm>!U)K$4+w^An;AVAsY{^`3IOkm9&g1r9k_-C6*E`68$-BC5Uux!O z?kz8$b@REg=(fh<36eP={Jn6-xY~X!al^N1>%>JnpY9dTd|;Ws#D7PXg`1krKRe21 zx7E)bk1_ljA~2_3g!9>rQYZvH`f=xc^Ksi$~78q|BcZ7tGkxS)uW@S zZ=FAzZJpk7lQz4lIguXk8xQkHe%sm=ox6FbE?g`-ol*0MQrRQyv?E-8T+9ra(yv+W z*={!@{%oK8`AFwe$lD|X(c~HFn?ma=&beGN9m}UKbicRn*w@)yoV&);ZS7O{ef;de zy4S?&rN9iI=Y=!!U7u^p>Sc&;_}26QEtI_#gN~8^q3KgpDpwrHOOUi z?9d04CH}IjJaxc%_OxBvi6Y58`(iCWexVdqf5slEJi2K-n!Ws)zRm9)T~@9d^nK&4 z#mf_;&S$v`fA!$Y>elZ1&ZdFbqn$C5;kR<54XNaJ?~L7hpv}o{ziW6BDfjAN;$kk` z)Nya=)4bhra`e(+=e3r2y^`MBsvP*nb5lK$&t6^Bd%tP4q?N8c{0*!bR{uJEwg0*L zx30v?LiuFniJrv2Xf_{i+?>l|l6-;eM6M{?p8Rfn9`{yop zs@)6YMRku{a8rH#YIuS}pRXpraC@wPj*KYGFa<@}o;{_P*_`&TZ} zhJD+l#zpdaW^ZEhivEqxot$#@Yr7@<(XU*6vbXgzIo`iF@zTUoy!y2A^V1VM`I!gz z-rYa3L-@*IU*=Bv%*g9@qWLTyuZ|>|JBp8(lhe1g9#Qd3I@6lnY0~K}jgMt6(X+y3 z?y<~Ebb1>*lW94yXkX^?@_e*>5~JsCkYll@)dl&s*bQf57p0HvY$liGe{F1-xH7PV z`yWCB=RNLn@n~%6kGprql&4F%=O%ujKV4dS@@4Lyc7LQVai{bANA~q4K4!-rJfmDa zeS_Wh;K;z|`%^m`iVyBOEg=i+4F5O%jh7Sk{9SkA;kJSFonJarI?Jc;eBexpKQ#Eo zN4V0&uJNzCr{w-mJk)hcz76+bIeh2W&8_4n?vVMky|iO$JAZueFUk1!J4S9_YB|Jx zCO3YE_n?m7A+@6wuJ`a{!$`y3sV5qqZpif{W|0Y$;@)Uzd|Exqf6lzP<@EMqeDd4Ji_zle%rE{uclRcGeCi?Y^W5Ou55mLT z`-;tTPme4#T{*LJ@Z7Zp@ppIscJ*SCK`YyYvidkxrqoz`kuu{b5O+J&Ryiw9v^YX3 z%FF9{X$GYftQ`?Xr=(FmC=Ah=#!-PurfAi!Y`qn#`CZQhu4r2C{6%9G9(%(IYN z`0iPaJiK~5f%5CvJw>6JY7j|?nH?rCc2N1B7WNvzMsjM&l+1<==5(XMz!RWHCs|^i` zu76&7(c9g-&#t7gV(sb{*ibRGdwceBMl;z=8cIfxVCp!| z7hn~5cRUHjCNkiv*B~PiH)aR~kcMcM0f|hY2o_S5ePGeeFA#UZoas1sI;#2V5B z$IXKPmj0FxN*_Cf8<`+Mq^$76A{Zw?N3?qRLdZIRilCSx#)8aBS%6%xj4%Rzh8P8^ zKL*=@D8-H94GjWt$|Q-n1B2PHh*Sh<-(qJOky5w5q^_R3JsT98gufPD1L(+14a2Z9I*NVg^ zPKF(#1rKedEVense)V23S?8E_(DA${NBeX8c<)Ae$R=kwS^sV4A71{+O@9nuZ_|Ly zxsUz!XvE@avMA83{omC{pDPUKNO68k(Y$H4(N&ag+6fdx!dc(S%GxH}&vciaYVWrM zmo_PU9>sUL{O!KoKkSnB>~4iT$m%6(A&<(CUJszsxiI4JEKkRC8^swGQ+vgIPh`0o z1MQJS-KUJ>z|!!GP6yBIXQvVZ_tjijY%dfKQ%q6)P%|UCxtOmWz;lhL_NjO1`3^K+ zGbEd5Xj`lagySyHc}rVmv8Pz9wR!;;DfUtyMyPIiu8RFQh4$6tvjBWg=26R<2x%_0 zrEd$Jv+{xy`M1{H>g%^P$l|4}3m%GI*< z`?-3SwUo_QUq*wae2!)0MyA)6nXf=Q--GwL{I-7C)X<4WgRN-M4X4|ZP4Z)6|r z^4tD8E6?(1l0M$}Mqc?1)WHgI$0=EAJYXF;!we)$2M!+}IDK>Y!*D(;agDaGS_fQt z5C==udET)WP@@g-R4)$Pw5Zn9}bgUFj757& zPu;&~(9fNtbGA)Wm$sb3UGZ4`z&0u$>8zcfRUfvV+I?}o*n%)=*S%qphHlzX@{z++ z;^z}3zM>poQM&mZ4Y|<#2Pq4ade4*+lUqevu8&Svt!v~bO6S~NwvVTC!ZiD!CKrZaC%3e}wZ{#o;6k)V=#X)Z6hcX4i%Wi9vhYzwDky)WjJns!?&H)( zY9FVC3X&Gw_t?uQ7mtb#N_ELV)~WNRVuz{3b}gfsk#Fxp+=MkNBu(G zlsPnyyU35xJ}@|apGPSsldcw8%jpSVwy&W^H;%2rT%4opnMOvO#9cV?7}_jAS`HgP z#a26-)MTF?5-DV`b)K;}6s8a-x+8g_ml=O7?cc4NL! z8M?hN1`W&Tz_&-WttW+tWISQbOk8v$TPz22U%&d7*1b6|@4Nm`LwQuhdK$)|VHp=S ze3Td}SHTGvV>$a+C+~wLP7FidK1%0>FQ7TMoISRH+-hqOa=9}a0ggTGK1())5vI6fmsH5YT-jn8t^9;pHRLoVc1A^jc6+VG3W(a4cgPCP0h zCU{4fNh!eAgW8s{Fd%{`|55K~J&L&Z0|hr}V?Oaf)J5WHm^nIR#b4>pQ|F*u9Jv-L zE|fVk!3FAuW2!Cgt&BbkHOX;lx@nW$xS@TUEbQNoHcKjnDiHiMmx|{tVWkp<@%{#~ z#)YR_D29dWzN6SJ?&QQ}UbJxv?_tYKncR~~J~v~cZ$uJ+;+-≷ve03lq;XiAml? zDQ7w2`3)rSj#PGkN!~Y~Z+iXk$?J`~W5Z9k6*kB0uU%ogE3V*I;$=%*KmQr?9mtt0 z@sPIj+%My=#y{|0-2cvVx%`z^tKwyeXLx!3mMt%%U5AIci{(`sUflnqm-sK-Eo1y~ z=E}E9(b~x#Y;&|8L~9w}EAD-lrFwkmC5Y~Cd9G{TbNSM9?AlkW%H3!A2b$-ZrM%PZ zGIJnug1gc-NZ~8X1JXX8?>D@#h!cru;*1C;=2FM{JYv=I%etkUp(aH#)j=D;%7^jW(G;+xMPO-dh}UzDgJI5 zI%glML_gVd5WnQPpK8dx-~s!F|2BqMexMvJN2|7>H@;QdOg>|3`x2F)+P997KN=d3 zyh6p0)D=F0;UzjE!wt5D)K8e3-gI{y6G2MX_*po%upZ)y2Fp|Tacv7wPAGm zVlTgk=B#86)2QYzgsExavQ@1ba10M1`hL`F!)Gf+eJ?fNmetdE;8E+R%y1+z{3&uA zIGlfMkE-f81a^&*X4;=yRKHve;4)Z95^LRToyrxQ`75qejVY3T=TVcWsEa!wfm7*Z zr`}9fdTQ3f?66FRDB2^f9dHpv;>9`CY;EaD z$%X=3@vTf#`+)1#4@3EvPK3xyMIjKZy0|%&T|`B=gZr%AbJyNhcawN&6G%nmmL@>X z4OL>Mq=814_C%O-{Hg=3>GA=4Fs$R2(m7O9?7AtneHJhhcBdE8AAl%O(;O+weLL9Y zfTiS5=Rf(^oc{tT`06H&b9Q|Cz-XuabeRp-?Eve}Cu7Yd9rjJv`yxFxXS(S`8J~dI z<6-lVU3;*5`V9U+HbK9I_~D-F5J=*k-1B>-aToe@qra-#q08evp?q~%X24MU!tTp3 zfn8=JF!*`{u$<@AxRP`sH`H9cR|(vd&7H9`&<6{9!f#sClP11KAd;^!S?#m-sVYQ4 z5?><&(o?<6)=!(gTit8JzeebzRwiLo6;+J6kbK~Px07A4tjtx{nnD~%PCcaCQM2FS zt)xF!Z5-Oi7OPC7Xb_uQ)gxY^v$M8}n)GdSW;v-p)BaocDEGJ5k(Wl^#1_eGiN_%5 zB4MoY?oPE*Nv@=QRu^;#3&`a+FXsklyTsRu5(Ni_%pGBe6J*n&twrV(TGLdm)j3kt z?pQB*Oe^M;;`nIkhryc%`+s!l3G;c?xb&9zS3B6L%d>JY)g8z@;3_%EGMF_l#M##nh{f0GYKq<}OZx@Hk zNlw<8wJc_t5kSoWdwGT#3Od?>{( zI>`7WjW|2jS|;7dC>AV3b+%~%l$=#kHW<`r@RKwMA)jd(;M?~uV@9t5@(tEjA> z0sM7(0WFO8BPdo-PBrMvT#Y73tpj8?rSbf5Gb3cM4O+5PVasHMu8@jg*g$$@-k1V+ zAtpL_%D{AoMnzx_`8lOVlsCf_0@^VDyl<#9{|ra%;Jqg>`+PSDBv- ziFkM$r}TMAF4hfHM|4&suAm-N3>D7kNla$I8aapZT$NOFHop`Fp(HnTrF*M&lBy>J!KQYKIp!*uM z!wa)rt^Mt4s60Fa80r!}wdnq#+>eXZA7Q8-(cMu(CQ9D!NJGf`P=j$ouAk+Tf$bm5C0(Wn-H+GJv#69_C9g(^5f5G!b(AMu# zI7e#dF30*@UnM`7T4n~1@w4`iN}axCP)Xpu^ms zy&D?>9pOtw&Qq7}daI@@#XXvQd@J7Ir|QH>$CBp4)xHMWUSl&L-AvE z5dwKTnM)^sLY7?Q)z?OHS_>uy9O52j=)u!9UsI*14DPOcn|SiaAJg8uo5Ui;Dst(g801wM3i{&@HANXz$`|~~8{T7IG>CIQpQv9QD zu$vFt@xuYF{ZX-lK1a_wjyEeF^Tb%-2%R6fj7s|C_r(+PIX&)Bn+x{AM$znQv#HdBGSJr*=)jjtsK(Aw&L1~4Yv@L<8% zv6DT=ptGtr77x4Hefc7aX@^K0UZNh6o0vzuPShD+*&@A+(`1b0+=jqXd&5Gyv%13< zi>7P?9!cR-fA9JnUANxZM-Vd}N|0 z@DeWWI|KtP-0uefA|GFCKhv>fAHR=k=EeMQz$QUgo&IxTeLRwYe!3O9%W;r*h65b( zhjD6n-`d20Yn|9^c?K1I=s1qs->S7w%&kNs4X5d4P2Gewvbx&+t z&1TB_R%y6$rWyKa0APJHwE(Js^u&Vaj=f#@@Rr)G!*7O*htEN0wNF=)BlpoTvU;G7 zApJ;}SPFI_JiL+&n3EHcu5aCwMBf>gUr{79=0UKtH(#g>UT}5qse5!6Qu{z(n%-t>AALI zsnMB)y!(<(jolX}$Jfz6r2j!fzppTWe!}8M-t5D@$bl z*cWnhtV%|6{O~g}It=Go!Y{P`QA+>LxV1yRlZ9%$I8%LS^6Sh^j!APY=M$a zsxF}zxY5ur@e&!fPDEP=-EbXCp0!kndophrGNs+$%9hbN=y)Z1mI{7JZ*(4xGH;ZqoL zfm@?+nu3R9ctTJMFP|@aUn-2ZLc_ZOX%st0UC=bcYG4>UP-@lW-LJq$Cr%QYO_ZM4)>jRWEN`U$K z!~;@N0qawRA$9->AEQjJwa4=WqjtzyWlNYv!NZ6J6&xK0q?22PT2?dUDu6Ywbhl|Z zAML*jDwrc*E__WT%O}R<>1}E^v;Qs*W8XTCZy_-R#ZZYSkR)4A7a@7PfK65)`RED^ zQGjd~-fLr0F-i1216NT<^J;rDbrftH3kdJ`_R64tpQeq5DzJtxf%;*y2IQx}s=>5| zI8_xV#<)8{;Lf*pq36R4BWa6eAWS$z;u5t1Y{|9kvoSCxLz8l8d3dLctAslHCSvS2hUak%q(XaR#5Qb?(9jV0BH1>xf+5v501%4}Ns61u% zmJd(F0>TNN-M=f^^3@|ZUD*D=uQ#eq^x4D(&kQ^=O{`Y6K^y+eqC%i6s{H%DHLyO_ zS6r&@srk3+Uj9;1FTZqadg8kfVOz-gKvn*nyx?nv;S46o85++QyqCW!pMUf`3zOdh zdZ26E_S&g$RY&$bKreBDDz;IbcrNgZ!n^r*F|+U-^tsxVxF1FQDdvJbP;WmVPDqJv zDe*+&zz*bZ82^+x{d8hIIx~OH_KpQw-?878g(YtJLb!dut$n%rotkH$-k;-GUlGiI z?-nJv&iOS1JEs=zd9e!7XKq9EJ6lYuqNZ1>r8dV}nEE`8!zpGKgy`k!ehZ8_wt-)K z^5HFuF#UDy`IxKSs-9~fr{FT9;YEjhpZyWJg*kbV7CI+uH>`7gxZvEsS-Er=roTP4 zf2LvTgC*xsYh)21JimZBFn;k=G2XDF7?=8XrD)Hc3o}KpJvCGGFB)I#rtOP(v$`1H zs+Gdz=YWN)8$$xgYfqge9k8O|2@5-6@x!9!yayH6cR{qbGkF`VSxZs*Hu~5?c(L!{ zr*rxO>{ zo2~zgg{GHpP4{2FgKdGV$`W!2brG+W*qNP{A0T}?sI&>blb78xLobxXSeYGjO}SDW3id7Pq1kL!n074&xT<@BoCk^e)r`tSK@8ETvDGWq#owzVJJi@ca{wgUmi0kWPiu1C8Cc-uVs*c|hh;y(*hy= zP-yf``eFOVt;3%~s;+AqW*i3QzJs~+ecU7RHv6|_p>w&myky@-B#q~_{h7YGan5n5 zLragbK^iS;O*HgWxENQpfxOsh{j=P*t{udSd*8B8MtBZ-YZ1e^OBI6!=%%$yk zop^?M?WtDZ5iovCSYl9a|QxzSKzCA zZ@a?e{MWi`{Y0=Vf|V82WF;KPE`yoXg^xh_EvPz7ft#^hU7|E7O~|!U!Hhx^>fk{= zrJ3F+uda9rl*ct_8q-n*6Wk%tH_$sJ;M=aX%An%=D6u=92}@GK%$lrz8=BI3wPY0v z^%Y`=E01IYF05lCxJE5OlnknBFE>x|v0jK}TdDY}Fo4#6B}V}tMkM%xJY8YU8YFbE zI8HN)!0?Wp9M{g|TP01;jex0U1GiyXG?I(%*R7JoFdU{npj#{3x?ynv$TQp!SuSa9 zo5fY*^tT(hVO3SwraWVy8C#e)f>%7j$&g5=?PyU@>aAk@^*Zp6ifu9gNskonl(O6_ zLjrhj-1f7LHx3@X-f$3ceQh%Y|NE2lD|~7Qg0-rya-Xb23lBmVP_bD_yHk&3X%RK zBM6bJM8=4ak&a4Kpj-Z@`QSj-+o}w?E{jFs>=U-aWN5QtpAAGfn3@_~*jQW?J7^L>Xp9&^*{>r&0tcT^l zGYS03}HU#1G>;KQ`-| zyD#ORyYJ(&uRVjOs7q-!4`6v-9S1-U({TQ!CF(X$+wyaj(cij#bKCwNxN>;#+$0cu z#zJsFnv*o>kW(*Rh*o<3;@&>zUJO-6Ux5R*!?lg^S0PD&OWN;!=ya-L>8VQcFFQSR zr_aCiapH^nc8&30+`FrS|JqY>pZ9CeGxvFyqMxB>?nURB#g{6nS9Z>R?EYdK@RSy} z?WzdOA6_2osd>ijO-27&cBvM4N`=5vy7p1K5oq8H16r*yIG&@C@6Hm6ZZ z%_U`lnX{?$OFN32cWv_mhw9Z=&P6{g`(o$2hp7uY-=#|DqZiz_mB~MV{#ZJ<6#*>i z;=L_0AflRc2gl-z1ufPWFQ8qU*&j@pz4rVx}6XFAI;*cZ^&{Hqi0%@%IBMrOW*( zNbpmQsQgu6_oVg1fk_*vUV(c28~56H~UN%vf=%L9AKN(8G^6c|jG-BSZhC0IKuz4g%ny2Ooo zQ;fe7P)VTr0V(NsOoU0r6UClgygSx|`DZ+&|7G$uD7oFFKsxfwNTRSVQFv#UTUo~C zBNxkT=$BD{C9ZgWkmI}Lnbs6D1JdjzY+jC+)2~Js0KPbn{8ha&gl)lYAWMSwncjD? zV#CNb`XC_kc6jCxAe0bX^3#c;GWfRD0y=2gL(n|70W?J3Z^MtK@3&lBjt(SB5b0<} z&rtYPe1(LfMBnVg7_VF2Ew6m z3=kt=#-`J3B!kIJ5GM8^$(wSv+H3%AVg@W*v z^l4ZEY7j$?4MmCRMN0?o9b!;abM`1-|LFC<^}}cB4Lrehe}(cW~37`X}p?+aA?07v3j!sK8#^FAjt_nlaRhiMJ065M9^jg zkz~z!0qcz{q0k18ISavE!+UZ0o>x8$<;*s~;a9gTOu`lKwfX!)M%Xk2+94}QP)7xl zJ@$($yBnnmnph(PxiphnL95uw9$<}XcGw`O z)D&&ZvgKy*yo(tvLSCMg$goR6mnDBt)wB5`NG3#Uvt-OfA4WM<@mVN8$0YM)C-hL?O zPZzGYh(2PH;H`nb2^LQ1J$NjT9z>dUSUI7O(h`oEZetJy45FEU-O$QzoeHZx>?P7b z!PRB5399bG6O!)z3^;{8m|iF$mFKEJ!@wRxP#@H(nxLi60Ka2|)m#S$n+XCIYS?rz z7}CE3&vlT1r&R!Xr$PSfqc>7!;_7w-nP~FP_OYFGYyME}`VoaA13y7#ZmQq1jnj&> zsY+ar?9nbRr?mI2DmG*=w;B~y|2qoxOraoMZ9LbSDJE=uOfmDr!QqH$L{;CRd8$uz z`1(Xmu|1zpCA@!Y*Ccz~L%Y=Jydq^v0u^jERE$sL`;>=xKlMEdV;czx=7D{8&~sv< zsIQgdIpZ+60ZrY=l0`nuN?i<>q<&8y#%I9EpdM-KV|CmM_@hm1@)mh%R$y;533FOP zkd%*kX|LwG*`M!7DV@~nA|+LKOv~RE4Kd>(c6E`Xn@wz^nf`k#D;2VpLadiAcdL_% zUhL&+U9vi=Z8quqrrDU0pT(Vx`3q9K5mL9f4FzUdX^1;Tu|333Fmy)GftMZ%e)*^0 zUu#E$&uo)o*bUX4tkrG_f#oGhi}tp7!XyNwqY0)_QZjI*w~-%}TI8u4naIN(+AKdz z-+t0OqRIV?8R?6j%;LZpmMPDW%tsaSUVbpF213;I2x5-5aWFbtBb2w0VQZ8IUecSw z@^mp1q!BZ!ttTTUm7P;{Ez~Fg)YM*0Ty1Kl8C*{nxws@-B!a2WG(|V^)D+h&bK|** z{cg#nb6d34)Kap5=1&Xbn&YNXGm{}{!DInB&5nn~B|5mRJJHRYF;D$o`Dk)(5+(qk zDJjMkGL>L$lK!t0Hg<7=#y6DOuyS`F8>mAuijkCo!nf#Z+C1u_#2c6}Jp~y#iF_nI z_~b`l<#=bWwHQH^v*+wuNLvRPUgYSD6!)MQixf7Vtvl(E-l8OpAz0bN!D#fUKPxxl zMx1nM(-9cj^0Fy_{eySJ#)0EPd%|&on%axO=^+!32MeTBL~NyYC|c6kMQI}M95*8I z=V56GS86yJVy4R!OlC$k&UfdFkq#7cd|F>G$a)^VyeBNO}W>s zNM2)H5K|aPbUL#iS8}YI-yy8#9+c)Fj7IYRl!hR^a(;nbqcQeeL%->!g1$O!Rh*F3 zTFQl0Q>GkQt%2U)lF#~AvWz4ONiYX$POMR5>^o`&Y82Zg$3H<48QPGS)aIn|l4t6d zDdP`|ueX%vY1f@hayQq0bXeLz=5hW6dfqSl%A{Fd7aTP@Z=?_x(IzPFQ?{mXD)Fo; z2b8`k_zhj3Tw`qJo@7?jmqm5)642Q1}juc zyJH2y4zo)UWs)P+_D$5@n{=ck7K*t^H>qwcCtEooT`oa(mFvf$_$9H=G(fJEr4ArN z8+$uh>03OR@26AT4wxBR%9$6TTG0*)O8yZ#sc6y{0SERfZk3hrjt!BQANk&<-(UER zaJ`l6Suo{xuFZ*3H^`*i=y0E@!(o2h$oxRjQc-%R2CmMiwCL-J{o1{BTjGMRA$_-HPd$XLlxZ8^Sq=|(^0O@KIOKjw2=W> zUH@X(qz0xX8lu)Z@9Hq*iLI(*fT}Ixr8U%gdXS~p#jL}6vZByRucEhbg^hIf~-Hf^=(Y?-@DVJ74`6i6c6J?+h7M!EwdKaoc6N%V2-tk%W3W0*H~K;#?^yp$%? zhHG2A7~QM%ZrGB%mtCFM!$Uj(@t|ln8_fgSL@VrxX%-9wT*%3{n{6B3_MCa)bsZ;`DxIniaAf93f_Fe%fKy z)7p=F?ZjO{Er2PB=gO2u{q%@&1fmi$YK=<{jWj9%2q{8XU(E(-SgdgV6eZ{ws|0oD z4MyE4C;42GK1_A;5c%VV9}(Ik@@fs}aEgu$gT_5&=5#k56T$?-Fg*dSi8GS~0EW$V znV?h>I0M}6@W`4)PRzg&=uOFWnf*)dRL+_2UBBz)d|`HCrHU=R?FC7B9Ba11y73;- z_Lwif!Xdrg=5fn@PO}Y7pOW7SaVOndW@v6-QSyeqC)i7nt$DLC*{p^8j*rhLexiaw z#m;t`8lJSI>nJB`%+k2@3MqHK_~8Do@st8_1RFa;8Npw&H;nssk+%2b>1Od?n7eBD zd+JSmgn7F;Y+m5B%}^?(55y3uE!^!i433BVrh2~H-ZM?-=XP|BQ$>$Y7ai}N=i>^e zRC?`2I)0$dM&+n%YmsQEa|FPmNFCR5+V-cCce>dN16m%JD5V>7J8-*pJF5@c3vAz^+x@nY<-ee|eU z%YhQ-Tu!VP`=o^q5USe^2MfiNAAj5|IYQc zcFV(>sksRlL^d{o>~ina09nUNfAFpl@fvo3H@+z`(*W=PmMP+5G*wqp?MXCIxLY0{ zmOVxvER0lspo_kY?bPOCo&)=P^il5=C>-6#aP9cn_h0skP;%R0jB23yjK=7&a`YavsN z>jl#PQO0|Cl7Aufo9TC3byppL>YJ-LmiDDEadK~{MZE8L4;RW8nL2~ZAj0b8?%W=N9O^&hrM$>VwCV5kb%M&?#mj6gyo{yO1`Dg$M zqk{NWlCo4x|Gll4sXFxF(w)0E3D=r3!-bQ@sNT{3iQ0aD(s+TrSlGM;W=+To&nwViTTJ+U@*4JA?G` zAgK{p-9iQ>%;dyv4@>HHNI45~43LrH z>)BwSS$fLEDM{A&qA(-1GXh3QPct3#^jN?Zdpq5xcC&2uG{H@wA9tt*!DCmZNK<|{ z>dwwLU`-XWO*5shonAA4RbwTH%u!XYLsO%6n&+3~2QYD#ohX`~-a>x?=r;!P^rsXp zcPPUQH3v9URYt$%iam>?s#zb0==WR@&4h5zs?~;Y?^7+^n(_&b4U8xA+lPxx zr|kR!bA$I+^rFJ!e7fB@&Fw&v!Cwg3T+fCP7u9Hn7Pyh9Je}uwU}Pl&F;kQusHkCS zl3c(^Sbr+HDwUd-W7WAhO7_wB7V>kLNwEoc{+jI}cDj`g$|_0-hAAfGbCd3QM?x&% zA@EOcx)~=lN(#!KYjyl%MF~GvcX1xNtQ^kXCwko?xahMW9U0Qe*7Z0lAYmt$qy&f~m0gpD!z>K*zhvAe0Z)~ETvB(i6ujBqgSmW_zw*>GdAHnvGVmB#9RmUl$FoR9r1Z%8TXeYzb8BZ%F!K4G2A2URk zlN#1j{o@F4(k4j^`E|yaIU{Ie;wJ=dRw2-=Lt$2$g1o`lMjPc}s*dCcddg(X9T!+) zzF`H*0Q8i^WgIOd`T(av>x@wP6GLJPm=S~wnyHiNRfjVQBni<}4Zt_p>R_Tg4@-u8 z9%r{odRZ8kjq2=EIFt$2!c&x>H&5_ftw67UJU>k!4CSPfVU`=0fBVhX&#h?|uHStq z51dyF1tun)A^?YnMp(!&a;wPIOd@>@3%(zg2mz6)%$^j0Xk&#B9a0bgZF;N*O*&RI z8wy31@Tk0^$hlzXlQRV1w-s^}5f6g>kl+bT1UnWr9@Lq|X(Mftc~4Ns2?itV)eJkEp~*+c z(=>@z(U}^>f%BFlR$zA1m_VEMx^0r2EW-RK_Qr678y4K|G0|m&gN*KIr2s=CWcKk7&ljFCNWFWA=S7d zm&lf<;VDlDlw!Km1vto{K?vkUlL!pTZCjuyfszpthXO^~Xwat>v=S0p1@Jw++eJXT zNh(VlYtmV`ybd`OP~V6fMHFsth4I0%h9=&~uQJIO54AK^(iW6aS))^7kP4ul+HzO1TFdx(?daEes!b;1P>7E&jBb`vs^3=Ns>hK0t??WgK3|TM0f;wLT55dMUZ6|GZJ`QG)D_K zf(WmO0m=?Re=w}x=sr$CHn9#-qC~;fg$dCYTs=(?@NkMiyCK22PRFer%hD`rB{_jG zt!8_POjZvMJW;L@U;SyGxOfi_q~#LemLTW&%nbNKi9@!$h}Y0DcaUVK$fn zYA)zDNqUz=@G^9Ej1~;Rnr@ZSnbQ_WLduKn2JeztN&DxaDjit+!Nasdg1Okr8*(`! z-xtIpM~EvBF=~da4gzMZIgX0xN6j$pRhyNhg@LI|g|SM+f*d~-4HSV>fH z)D%<{&OlX&0)ntPiGmp)@TC4i{_Ea0Y1E`~r6AnkjQO z#~K7vC@OV>U}|a477cy8DWj3qGFX;V09X|yuR1&ofc#UVBvi~3nG98hmb2iD6L~o zO2U*C;q<7imiWr|kFYoAWb*25rbm$uMzUTNgV`#BA6%w09~$X z6eJj!AqZ|n{c*96LYN6LT!s=+pk_!A%3{!I1xf(ZI*23S&Kq_^f3p~k3OXZ~MsCr1 ziB(?LQJhH>#^E6)4633TZ&1XcAdDRXo?0^lR@A6)Jn~*5=Y@x_-(5P|Lk52!JhiaO zz_R0gLd*7&dRn2uDX0^Tt7%Gur%tXxT96RuRTD7pRFWrU5sHc!6d8C?qg;%xP*6)5Sud^9T;SV(Gb;2HzI^$XPm*KTGBe z9=debe|-LPfw;Qq=>MufiE>jHomfw839QDGp%2yO_Zz8%Q=2GyANOQIR^GO!D&5Bl zQg6-ikM%OovPZNd_@?>9M^o(wxu4cPbl`HLuzHf})P}w0k={Q}cPFN*ST0q)K(nod z`NQE~u4U-ooF2(nUJYx%#B1?RmHN2-cg&kq3qdLVi2ZTxftkhmxyra2xoj1fe|GD;II{8pr)a@PS z{+X^3*HTJ>z1BaKjG(=2#KXO>9ak5*H>EzMyHmP>SKKi+8SL;(ev3~Q$o%Qxslm>Z z`~LEsH)?MG=D*{Hb7;GNQ}?1$KSlM~ZomVz_evIrYU0;Lid^^v*RiD)z34EcaOSUwONAi5>7!QXNUQ{l^LJSKN}g_3@sj zyA~aBRdxH7N#442EYM9my**>WB=bo5Hl7~5x%@(G>WB8+&?lYG2`7fr{@m$$seV&~bF3D{mpH{!;D!!Q(8*3-|Emc|(m-46hm5s0b z)GuXIpM@-`=aWU;8njoMDkOi6+r6)$vvNm`|1L5q3Cq$>Cl#wQO)VC^U3f1&Y|mZ9 zC7b!-Jb9_N!J~>pErpJQyYBecoW4uf1_e%d=&qp`eaYJ zB)#@lha-0?F~|m9vo6dnNFAU84p__Z#B_MHIdbb`-MR16PrA28?-=job83f{Nac4b zq%1EnPE2pk$7h?5kGwCRrH4v6w`pjQ)vgpaY+p99?`c%+^D?u{ZGEh}w)PcNVd4Vws+^$24+`lU{cc{ZF-i9^=C+2C9luKAwH{0lR&Yd)0AgxUz$~F<6I3TxEkH1Q-Xhvk zI9c-*5(^w(pnv>vXU-|h_0F20PM}}0i?TENzWZ0~1m3g_zr<}Qbk2^9c_!kM$=We* z`J}Y*Ij?%e?{s(c1_lGJnq$2wZ7FmJyP@#WAn&;>J%4AiCscud;fct%^ur6K-r*kO z`a*5LaOdF@-nKrs_mJj&{OXH*R*sLUkGlVmep#A*i8?LcS!IWH_2DuOyF5O^W>7}X9bP&OyBqUiRh``^6!_$iURF1BV_u6`?w>XpY6=689svkkNet-oL94ij-c;Omnh^ss`;+G z*7P!rv7K^9;fH7_{c-^ntWyQu(jnut#!s{rY;BT1SGC!zqumtP>4mH^&uDV32%=!CYb-wxCwEG|KMgnU7J2 z0*VKv^^ygcGrT^Y?;aYGm2O6EQ-fJ}szI!k>Jic;v75Q`5Cc-}HX7=#uzsLie*yAC z-tY_<9e~~xHR_lahVG0pnQH4W7339sgO>^phZ+p+WpeyG*hOLr*#NsR+|B5#IffOI zWn9K)U}f?(fZ{R*!Mm&^v9X54M%rsC<0Gq;jMEZPldAwpN+Z5<7&^60=}{5d{`N zn?ZTTOCS(j#2aW4pwlKuSZ1J@b!%`)m?<=|L~A}ntYpMGS{V`>*ddVp0+0x8JPsV# znZgMxCz2*BLr|GC=vW3TP55rtRqq2A#dR+H z&#o(3@ee!0fCH|uXBc1>Q34_9ni#Wlc7S17AZ&;wwn+syW;Lc>4ee`ld()la>@vUt zVY4wL*Ctt95;SQoNK%{r6I>OIjg?qy)86;~B$#4ud+!TkLX1t`XEl94pWo=RuzzRH zGjq=K_xn)KcQJPTWTo6CrC_~>2ToxOlw#5OtEG_Fm*x2TNX!4#;M`oF4s?bG>V zUk|IcPA|Y(q`jz{?-JeRVEQq!b!-9dX9}b<`JT>HR{Eegre|nOz6ujUfX+|1ELYqitw82waGQ6=6w*NI%}q zs_)c|Wii9ThG*AqDL9INU!%`86FdGu9AW>=ErH=y%9y9D;I+zIKe5Zj-YAvMm4*9i zOHY>{Asx$EOSba;teJsSG|C+n$%wWby;<}f4whSdclHZt=)p_H=u&y4x|mF|;InXi zD6G&PLNkxYb2ZMF8{)1@)yguo@@@I~R~oQOR%T_7oPu;anM2)e`Z|~MY55M#nFf8j zizfS zI!ikbLN%*ckFOe$olrOa z-AT1xvRbc0MA)h3&1k-2-FJU&Tj_!t*lH=2q#D`Do<1&29NWd#b(h~mkFP}41%1-? z^1g5H2kaz#H9ez#@vYwX?_Zwumn1i%P)U~ZqyUYBgH?8_n*2FtY_e$$s*j5%F%Jqw zpb+a0Y-w7@uF4UWDP~Nz$BC4bvpy0e3w_pck@~L;sT8uWh2sSj>nKxOzz6O8~8`l zYKvuQwI{{|_Xc-E7)&WAa%FzMF4p1I1UMGOk2|3|9Ltxq;0X-%+yx6b@HU&-pl7i# z|4>8xIwh07q<{}RD!CMc9GHQVTjge&aH%I>0;obTLy`YOF3UvbbNB!Gufu6sJ8lmq zr0McL!7i3XZCV7f6Ooyd>!XMtWj|CJLr62UBe#Iyuu^bq%v8i5MboMwFpsL(B6^gt zP*n-sI{m0vmN{t9>ma`zT^E(YV39T)gn1es4g%PLNmpX4s-1U338t#eV4uzZEsdT*69I0igq17^u$*>s%Yzyq z7aK?(%cyY*=+dRo?Ky+!UD?*3x& z#dbFAozCy*1_V>$%AB{i@r4^wuWX$gJRHyeG*&Nq_E?Wh{6Ux={F6KyTTrUre>A^0 zGWeydBlgaoR`krb=gFGtQ*5ob9`9Rl*SkOdJmi9!hpz1JOzpkFvz&0b`mIW>=794y(f86ceyj{VJJb?(f>XxXd+h1+Lx@9DqEzgkc_FTCMi zz4@$oeee|9v-w%?W8AiFFzTBKl&mms{pAPV`gV8g+Uuhy$sZitmHaEnSJ${kuSu^z z2xTU<6FtHMweD7ExZO@lBC4~3Bm0kY^H@OUb(d3rHZ+AubUknwv3a zslK92U%qESYL{{cpym;cwXvWVUDnn6?!iA)C%U!ltw+(9$8}c}7KC|NxNAI8mHzDh ztrdJfR1ed89NavDD=(Kjt6dPpS#7hn;TgRwH|!|OAwO#2TC&8JRi3l-J63i0)Kp|x zi`5gf^Ugxx4zC%980eq5eZ_YzTMujadFc+!JmK0OYK)@#^6)&WeWS)v<2YSDLar&i zC%PzmW-8k=%6)>DG738mp&0Mr9T2W*|#R_mxbDzSY&x(RjJkCihj#>OE|`?12@! zPb)mH7nUZzL7x`-sx#G<6J6P@$M$e#t3$ow>d)5&zIc7hZHc6)c@rVmjJQwh5FJAZ z7IjA9HsJ!Fy<$Ovt9mIqT|AOhQTO6LSKGv?+RX6oa?G|MH;Cge~eUIrP$)6JK-Z5yPt%?jWhCOAu~%%^JKFZQYx4(lv??EHpQ7G^tI zQT;-_F3R&Gf#|V@_hbct&$7QzhQ0+0vB0BxAsyzQwmz?bnmruaxh8wBmM@mEhd+D! zPi<*?{%Q87UBuY&_2XYHZ2y|h@MU~ zK{XU$&TpCXs)6OmCJIa$uagsEAsuLR57wVKbCvz)YYB z^4WkDx>!gd1}6k_L=3gB=LL4RvV?^YQNSd>wi_%g4q~OP`HDdKEe{7;EP&dQMB2&t zc;U6QdGBZazrXQ=2XCKt&=$c5%iikz01zIvK3Q|BL~gWrJ+PF;X@H@2(Y2xtin^e! z3jnXO;($vkt>#shWje~dz}sp?0K<^TDBGknfmDqZ^!~t(M??;_SO&Wq6x(ID!!lHW zn=G7>p~Z)yS}Ii73xg8x2YsU@Tar_=Mu8#$i#j{U@~<%rhY-)%p$y*P)F!hVHxUEM z9Nfzcfm{6&bQoC-B;$(CSYQWT6oK#1y-q2ren-SiR9Z=p4I>kNNtDPPMD6tw2tOr7 zP+Gy!$yxzv)?Uq1!=$_bL%*Z(hF28UvWSg{S**bZ;q`|}rK)kHWwi(dEBFLHMhi7s zV8?eF-ue9Q|L^l(Z25Y~z7JF9{3GGVzx-cewA|4pbpP;uQGvb?qj@v*r7 zu;st$NN@B0v{J{l{x#MbR$NUSIjSdVT(*~GU+eE`o~UE~UA$a-c?WmTp5N&=qENby zTkS^|PCh2BZtjs*>Yd87XViP^$#kpm)(&mvjiHj0-yU)7;Y!<4O~Zfh=}Mn?S}ou& zZ#%7hU)%P8kkzt^*3zRt=Z?JiVjH=Zb3AxtZ|hjWtjugA?0tq#r-glm8FCO}{<_pxmql<{r6kDiX4|>7TQ3bSEr0E{*jDKL1|2xWj*Hx`%B^3<2KdTw_U_rZn(dMiT8e2zskT_?CX6cpdS(EjtB zQ|a2U;K*6KOGmyR!EFspi_kbTecvC&k?5&(a%4t4;xA`*StWi!VxK%7-G!~!vTCIF zOFOv_*gg>8FZnb!ZxMHg^bSADz0(hc#x2@ZR17$7b@&Hooiy;Y~}I#5sp^zErUnenzSbKVw}|c}3f00`^qG zHutS?%Y0UcKh2dG(Wi$NlbD-5-|Q=r`FYo)mF=#2%r(@P_BYhG`~}3uh<6v@;XNL2 z?^BMlS0Ro+%EJE_ozE-jWTz`J7Q(wW;dwf|bq`CP<{UfVG8-lvH#*v?j*Npvd>kaF z_Ez0D`?2l%y1;F*fw?Pa51i<~==k!P?mWGCucO-^A|SP+Kpyx9NbM&2%NsMz|J@Mj zb;*(XySM{mb>Jo@A1Oyanvhm|fQIrnZmEBwo{zp0a@03h#@hb66Qs63DYX)U{`S|C z86402^`3>6B{4{qYGz|0?$8g0*6Xly7Ah^pQxC6Z#|Mm~&>fNlXT*9|4Qb4<6We}|w+u+uvp3FFT}y%vFgRILI|)$SSG3sI39IHNxaE}A1@ zyZ{<}uY&Kb;d2%5tXQG!#Xv^FIbe@PXWVmavDfh(y8`^CD8P~C2iNr1#ew(~%Z;HQ zxUZm3@LqRISN}Jo-R^bdFtWFNtG_-b&JPx4MP4LtJ_4^5-N6NAl@P|4@#IU>L9k)+ z#Xa8P=!eQPczs=d5(%}A{1ka`tON>oh4U42OdB(+)C{Z?ZX==G!%*M+7^-7BvnR8s z^AD~?ZOky4Z1Tc)^eXOHZyZGqRUeIwxUZu^cLp~fT=Oz8pIT}lbvtPoMWJ$+9VPGP zH~kd92u!GM3{E8G6!cdj>rF7z^gx4)~%E zxtpK<&rhGaBlByCn*y-VPm2w`LCDDO7CXeC-)YX4lO7RJBF{WZqGCSB{Xv)_;5SzI z88`SxP$HlKF&f}Zs}vW2nXlq|8}%@OwJ;92C0#Bg13g~7kgOwba*aCFVS~3M0(sd> z1%2})NnOGUR4HG+>IZiVo<>XfL{as)g%_uyvzXz5KPW=tB6rJ*2Ru|v1-Hmm$cGXd zNge}Dhd_6UYr4ItH6{!w>8PbDSQLSY4_yK#WKvok2*ujdJQC;VyBcJpr~dq2K-%%&~d%^kYiRqBo3dt z>YfcfOWxFSLQiTrJ-c|$+r!gcck9o5?-c!*xV7!0eE_amt24VkcBFmm!0$v(-M4-1 zR;_1!OYeIBa5LZ9T=1*)l{ckZ3z(xuI(HX=iO>qh1UmbLo39_`VLH4+g5Yg0O3sH| zr+=h#1pI=Bh0DXZ6*w}5Z5#WaAR8mkNHdN3}Q-{3sgvS0OncHWjhcF5q=0r^DUiMR7#GUaXXO!?8MU2OIlt z<~Dp{8=iO7R`kl{7n)!~JPWr=eMMF#c8=ZSD}jlz)$y?lZeD2=Iwa6%TGa#9?7dd& zx-nd^n|}g;OxCSWgQ0Pt%kiY6CiB@1U2tp1Ih`wUPEYP(^{a=Tn?11QwiH+B(9Tu! zJ@8lkq23eX$yH)dkAy|P!s@Gl)EC=^FFp0QhK1(8yutl(gY^&WED+lqdafShC(i2L zEPt8xZ)Aj|wdl7bxDzCJ>gvhzXF`A#n(8LmCnvdwx-W-av*AO~T>cO@{P>J}<9DNP zYPmgohe~?R|u9!D*>q1NphY zxjW~mR#w7lDL(5+Dk9~pSdY9yF0Yr4UUbZTOMg=AX*#aQ&#=QjVXyB>rKun(KJZ?Z z&+iVhpA}cIpIOKF(@gBWmBOhCC>iT)F718XkyJ+JX?J;FO=~r~(aJewTtM@?xNTsb zqoi}8hI`WCdg3E}_^+2ITG33b6-}J`VEq@&u^SL2yS1f&hosH-z^S1`ZiNoA>-KBi z4$wxRS8t_j*`1J)_eU0&_it3rSKf0=c$MwgDu2i&?r#Paa=v&dSLqZtJ{HaM`I6V3KlDavP#jo^y6&F%*N4yU zF86L7+x`T|ZDRaKGM6^(z@w2ra`Bh;I_lPMCD#Doa_h=F>e#kwjO@bfM-I^ayBzhU zpl%&G`;j~KUbyN|QV#)nC2ydByqQ!)<|@;1YXw|%DqM8ITNfP-7abd^MW=U8TK9Gi z{7(E5F1gN!z@A4(#bj9I)Ab$+B<3FpUW-;2PO(R&SyIt9OX_5y63ZVy1a6k}a5Hy3 zjC(hqjn#8L;U4!U-dG~DPjjQ?7r2I+AD&=8yC38=R%C5`$X6%}$GMNCR_^0cDbB8> zlj1re_qo)uwrQ1rk^zrOi?wCzs-(mmK<_?z`ONTNMGzJYVdqzefBUPlwC;_!1ze% zV7_G6bwB|_YcDyFt!5D6GNzwt)OiHiZf}>5Gs;83t+ENHc(979<^B*FWyhbpEP{Ot4gey=UMp?MR}h-ZR5g7qIzYr0sSt01#v*T`Oo)Ck zB&t9{bfiix0$W!q?)#@Fz)rkQrr#BuB21r}3CW}cHT&JtINiI6qyVF;k{sY|27VO; zU8UC_E3IepyTM{0GjCLvuQsa`F z(RS-q@IFHT^64Oq`~TnA|M#Z>lIy?zBl%V%?WBy7^Xo@$-7Q0KcjH%wv%i-%{`0S& z5c?&$p)vw_q1rNR(sf$qhsbjSPM|*;A&+kfNofL-lqf)pS3Yo55Dgx6vY}dCtTN*k ztS`-0EO>$@9WMx3an-hj0P_J$rnU}ZmiT}XLpc&aNuoA}W5;Ypu}!3?AyMLW1S!9$ ztWAYhhC~q!SR;U#LJ%P*lq4dkk)?bBjkqeoRxKJ|GhiF+ZJ&z~mvT-YVquh0Wv?LV zOuC-p<;@mh8ESb1UyzX)3nU7GW^JKNoK&DtBIHvFt%MoeaMAKMn6oZa^>sj1k&3`qnR|=d|AFmAPp5+I;|(dIbQ2oBwYA!RB8_ zKeN}r{nn@OBT1DKm22)F0ShX+uWjzaZ*Z=%`AYMA{P4x-_4W0^x2~_RjrWe`UyF9X zox5HEq7+05p1hKOb8y|o2PV9qKUwb$-|+r!vNpeWaByya*UR7B-yZtyX760)Z@rQE z=@MpiK2Z`a|8+FUm5NR(bDM!;^u^{slEe0z>A|_^E8hRadxl5n-+&kWy?WuFSgEzQ zuu^mJ-t_=?Dp{|*ePKTKKs0`R@HSV<+k3J6Lk^Hq+ub+3uddlVxBswrQ}V~f>!_qZ z9)D$j$-ZH*f->K}5$VncrWCwt$L5Cr@$S2r{r0}=KUuTCe)Gkv_;c5zKk1FaYXB)# zvw3b0{DAM>!_Jo<1{{?5WMtQ7_6v7CkfkmFS?b2VUbG&lQu+30nl28_udm&aeAU_~ z->vsv_+oRtb#U%NuXXU>lsWIKXS{Qhue!aT3cbLV>N^|(w$!dZ;kvkM@D^K2nXUU~ z^zd5ycL7EkBs4#|Wj62kx6h_D9GT_Z+!UTw zpcy3IzKej}a!{O(&5w3T^={^}cm-WmhQR`QufIC^g8DdeFI?UkLW>{!$8YDxEButfN91(4QA)({t6&XL6-;=0&vb=892-b3rP_- zFXR&8ihTy`S~xq2aM1zJVptm27Lqkk!nh8?aR6qDyh2I{G|^eWtHr}HaqFXCR7Oe| zGEdgAJL-;`U}sV4B* z^)Rbz*3$LZdtOdHH%uygC8FXhBx?~MrPee7m1@+^Cf1JDWYuN7$yH?g)`?XRJO#5D zc#ADLI4hcf&t}Mt&0SnIEVSFf;`Uc%_TcoUziq!zbY4!B2(V+9nudW)d<|R0xlQ*# zvzz#;igo*}xK)_8x5DXGI|vF0f@=<#RZI?7$Z^;T zU%m6^w9$#%GN;UKkc0$qBonbgGIDZq4PeR$(+$jL0m>u>j8L19PbrYpZ?dWIunEH9 zf#l4`-D=PalNV&$!yBMnD==icHCf8Z2b0@Be=#_di(7JyqHfK3RFWM83Ei-6K_P&e z{2iR{I+RtJ7$=mj>&pl{bo1N4efin6SM!oj!vxRAh8Ocjj&9>(0jS6jlkZ4DDo-U}3B)W+q2vP0n{4GGJYtXnh==jh zXej`cF7DHRJ^a!y)7THF+nbrs;R60Pg+l4Fta76OK-9)0YqZ>7OhXF=LzmRSEmtj6 zxk48qnkPd4leFsLv&>lO!EJJ|jIrGTY2{oN=r{t)suGVE z;X5E;Tk=w@{!kfzg|^t@Y*vq4}KkA)C>)+0{@gh*9$pkiA#F<==5;+csSF~D(<)m!dd zYUP=394;sTv603DtX(J32HZ?xiNIOL+Suhc0rH(BL#mU0Nd+`#_$`5X- zPl)YYC9QHn(_SN^`q2xBsWLVEM6`bH6U#%&3vCHYA zG89HTf5KbwGS2JGK0l@WV*k*~{BnD7X{64*+P&k7W80w%(V61i&&Q7O4LPo^@gA*c zYiOUcj`Q!=hj6Ag^xP(95WUo%A$F-HEHHa~M=isAa0QWp=#v;=yeIV(<-j@?7dJSH z$X+%40krf<7h|5XW)I(IRhKB_P)5@tJW%tPX!&SgObx`U)n$UGi{D+@@-lggFzM2S zUci>;W-0U94&eg3Sijm%aRb7!w)bTsE64L!2-)8o5yl&pbXk>C!jyl@f`q4SL*0T+ zNTbKAMpgHDW_z_bDtfc!S)u=BoN#CEl&pOD{am18%6gOO%p?QK3(Pc0Aq@@f8utym zM{}PPtn56?<26`2iQUg|q7pC7D?g)ExY@reUmfrL=0sZkKa;%K1>%m2%aAUHmgvi| z9%Rgq4pgbOL{FUP>G}jTVrs=4v#>3)a!7i~{y_K7)k|qMDhCIsrqN zPmf7k$}AA@vEQde|^yQh?dWSE0&$WuKPpr7pN{zEkhBrrq6e`;>%A z8_oiRs|k0JIuuGMAZwStSdV9m`F_hH1S<82nj){r_K5uo)UlkaNQ^bRR2ggE=ND@l zpUTM(38A6)CAOn+lnIAZ9rHAbO`V&RB5YFB6Ml)?E1Ei$-H45k$o6YZx3+O4Iq4q${l;QDgP_SybpUk}wp zK&Q-)(B@7ol6U|dMV4|46m(>rj-sBS(qKO5TWAmrT4|S7wgxqW8!Gq-7hj zxM*#9+dxB`a2jYJY_KvdT72`V*XE8sczeLi#bSRLS|sZMsy{%K4ozI<9SazpCJsBH zR$I3b9u{L`mV{tl5LIIhW}gSJSVGE7T8ES&AOJ{W5jH+(-hgD9aAU0Q^njkyV&nH9 z0k~@fAj=R)H4FRmbd#bbl?-zbtAYD*L-Gd0_AT(Awm*&qwHLpH6{gH>a3I96??Vm8Js z+n}5iQ)%yAzAr(Z z+qA*HaQTtG_4W(ny@ED;aoT+~H$(zC~V%i9vD1Sw;8Pn2zxZI{R9)M{c2Y)9<@q zt6-JAv^Q+M9G`DHn)|mMUzBh8ELokH+zdI15VM?Y5Z>h%#YU{5m}V(o$K^95IG8EV zG&SP>cI-T>E@Wagm6j(Y{F=C@?~-rKt&T&0124Ax9gH`rPib8X?$~#P0_&prn4uISz)YwFX6sD zYs;~VpOF`Wt3}mD$P~Xc;~Y=~k9M%QaTRIfm03EUn-mtSa!c4%-B`sxH-Me0P?paD z7pN>JRtVL!d1b5|h(IfkM(ZRVcpRS>6~E-bs%jg>bwpkQRUuSMgx^sV4~$tG6SB}Q z@x?sgOtg%`VTFkXj4a;Cjc+5h0Kww1 z`Gz(R)tLXiS^O~;-zUx%=d?O=0pWQDr=vs>H{otd*sW<;^s~hs<_ck_RhOa<4#=?f zOloA%qs2Wu!}C6vVPCRFW92=JHO$K-RgmIN7{*u3clPxpii)HetvOr#v%X^Jo-BhywsOi@>|Ck@ zc4nYyh5H5fP!3+{vlGy>!%CCKDvYZqWtCbX+Zv0Mrk9zf4P3TY<|;D!D$rQIms8J+ z*CjXX?WMPcv0nG~%W8RtOh;-qHf3{YFYO4$pynfzlKt&!5t^?9JEmGDn;1QN46Ibv zP0#(sIawNqknSX!P&{Nnw+w`fn{(vvvL(4UZ)X3?|0`Mm)CyfRwBT6Rtg`v6=(m%2b^yciiv080=@w!uxuMp?QGjw>CW=bupXlNdWDk(d>+7IV?nk0*ON}1q}B?+7;jDz=9N(r&xVJCgOA2xWmOKg6v}1lwD9(w|)mh zEoKq`;!w6$s`?y%Y)`3rp^>7DmHq5eIbqS|i8qA6-C>D&9Wo~OYJ4Ju8gU7h??^9m zwJgMgbS#I_k1dl!0HIS8E?yTBmD~{XK7b%7=6e8QoQJ%VFVfx}{Q7$Bt5hj$)O}W+ zD6LwoOBZYsg|vQ)DD%j4tj%jv4g2*XO`EVO&kCwQ&Cr9ysi&k12KF?+n5QNsobErr+Z-YW7++v|t6kF8GqEEW>iV&-$S1@-D?Y!%s<$UWj|&jf*Kp|7CInz*R@zoWO!3}(wF&&Hz)Xls1HE!Rgy`*a+GPZrGf^bIH$}VN47Vn;L(Ohvt_V6v9%qI47;D>@iURw;c`T70W zVB6~JWc?~|$ZcXk-WWO4R{qJCeJV~nTt`@jkl5_WC| znoxj2xAmaYM`z}L_03oBeSZ1Pq@53&u~Rjy7)TJwkP`dHQWOtK7#p*}7h z4^(h5yS`Xr=3DH*_zMXn3U%#7K)S%ivW$a3OfgH9^HRW+$5Y5mEc?L^D8{WoV*pA5G7C;(?f~Rl z6KhfXEzPz?ax^p9B;+zmr6)Y1k$n)_i$LszEc(MT05MV05|TJpW|84qQ_OCe5?d^g z9f``zY1?i#RhG;T5lr)Wji7QHY)0ad8?`OqCNf)eZV5`XVYAKT5{=KPDm@kwO#znF9FWaIHfM772hquN#sJ0@`Sgufi?1*J)OP!zlTJg;2&FAZHEXxdACB-M3ms1= z36yc*^)N=krqjGB%o;cq6gESTs9DS~A{K3R3TsvnCtARL3K=rhJOs}Ih5$4U!8S@a zAZ8Hnq!BI^$DUlO#uK_Sysr3E!(I#%}yXrMVxV4GT=x%W$?5Dnun3hl2gv3 zIt`sX1wdnXa*1H#EQHYj3__Wl5X*8Zl0xx1tBl(kll?;7S)_^ zX3G>5Y{5}o0RJX+IdhSwH?YVegnk!Kq-@!2N8-Kut8RlAL(S69c>!W7Vrsj-8CPLU?*PNM)+ zlf?jQOx5Cn39;Wvsen6kMgc(uL0NOagaaco5PiTKYNLYSrIUc&MyaLXEYT34egvM9 zQ-Kx+SEm4)W^!M6kLI(}2)t7kCW<#fDIJDK%Pg#jj71DdI${Z>BMwd!N{;DafU5B( ztx{ehfbXB;(sDXJk2t^hK_K~AATdAZk)cp7m4_i~|6b1A(m_*G1IDwDa;epgd998Pna%__q z$tbBR7%+r{#bX5nlE&FaVcO>*S;isdvCt;Fkp!TM5crRjwLw#5UChGYMt7GT(@?eM;ed`?XSC|J@|qMDqvK^C2*;1x7WLW3n;qA*NA z)K|N67j~q59)Vwz8)PSN$m|vk&{2aiI!Pz+;fy+CYell(Vid(5cAe2P6Z2uV5n>{VbE+<@Cj`%jz?|Y07Xf-T#=uPlK@_((J-$n zpWn6dp1_UH2jQ4uTSAW?Go6GrM|P%Qhms-lnkm2-G@f(nES46*dIi%CSY4KKLi8cc zA{Z^P0hF3{8R5DJp~;O^~eOG%?r)>W+m^}3dqHiW7(p0PRNG>YIS^$P8^w;w;ICtn)2EYANTBSR3ZW;#EcwwZ9FWzh z6iJ|BPB`CfJgcP$fFpj+^qB6XG@81LD1qd=B^c3Cm?;TofDx1i%C-yy9(fR;mWW!y zXdcDrG{WPlvME6E%jEzCdYEXVC`~f~G2TEb70^)99=>s{`q=FLW7Mr}IUR!D*;&o? zoYt|Y?5+Hl@P0+E8)(na{O;->;u#?E1}{keUHXfV@7Rnq-y*Ir74|R#DpwWm%($*_ zf9!*r+YW!u>$NT0So&kMw{a>w$*aOoq|UtbGyfhsvwB2TMjwmcx4WIlnNX^@PPd$R zK+EjftxNJg2<;vG&z_H)#>auW0D+6Nl@wNV;4r zPpq#U_Lp`J45RC$o!F#1W(xW*va-@sUd6Yom2$MZQ!B)6+KIM4<$UH5rHl_Y%xK78 zD`oDv0(jp({k{x0d-9d59l|H#Jb$;cXF?vOrwfq&cF#cXztt}6wOnui*=1#vzbhUUA3ntGWoH;NP%C|_%!SZhjjJajU1hzE zTq;_SluE38kJe~CtG|-4CE5b;1)F6D2~$#ALO9;0(U+m8ow? zl`8s3Z;G#zvXoh@oFowCDJO+3Vfn7?rc(XKO<6;Er@I>N!u!JX@W9Q=9>)2Gl}xXB zKXR`({@rkX!N)v3UMnh!E%K;qjr>%8Nc+Cd`tzIQQRL>%sKr+|MT4WKcHRHdjsO1r z>~CJXEr~^J=w&NecPMv=P6Xns{#Pfnm&~|+!M6?f#l!m2sZJ%+mnoFji+BI+_?%Ri zaEbo=(a+?(`G`mUB{SPzE3T6t_Z-Y^ET1_cw5$`X%BXnx3HkII^7`@7mUl@cGhb+p z?msKOyXnwcoFl*5C(n?5`!>H*#lF<(8CbhvJ2xa2i@yl{nw`T+&Ug?1NM_e`>1fkJ zdM#O7cBtuB{JYALu=r{chzP}4+6Xi3y zsBvmvWXq@HO{>@4v z*!hmy_~B7ro$shV^vm0KzkoaasrZ`_eYH{>f3s6x?T6QpA?0G|28R2~>g4OcEV}6q zsgncm7Tv_R@8@wOm&d;>n#Wf6yWU@R-oAh7u#6IKLa9p^w&G8M+$c&LQu@!~TX(%) zB;AO1g#RE^f5`nw{DZI^=nznBvAsSfjzRxC@~&N-7o{}=f%zd9{-vEy-hF|AGf=)R zTEJh7uZR{ipT_w*QViYFze}Jndkl(TX5^drWqOVjlbf(lB0gQ8u;O6D?1TyCAbsK~ajpGu6kP{2!Q_XZ<6Lj4Hu>S7r0YCalJEXg#dY2}*Z33m zQ7<&6+myWjwmw(+lTD9$bM$&ayzuyi{7({dcrgEh&^d>HjURP;;;nfX@-JvwtNjo8 z7s=Om11|d?2KVhKC|jNVDF0oy2EQ;k$;)pa+*}Khl(*m7Tw7GaqPOE0;*;9v%Bsz^ z?pkr}3)vX{)<17rh3nmNr2FAjxGG*Po~P&YD&vz%U#_=&NBuY6{;QH!IB{F7RQwSg zbcSRmnNbo2k7zi`d5FqKYWObAHjs|FSboI7WuhTIAVqp0e%Hxxe&Du;g`sSXGpp@5 z6P(AkL;(pWoN_Dmk$_QQaxraY@(7x7$LJbj!+s5S zG8cpi9q>B@nOmxr{JoWCC!|=|kjW!zJcd^7Z5NP{c5t>uC=CHgsi~MwytbTVWtg0M zX=qBw=}So)?yJX*{VI?*#w#(&Um{Moj0dvWC|2_5h*F5})Sy{FUIULH{xR+>Wz(gy zZ*xi3Muzr0Cpj-k!vt|sS*1QFy|w~dIuepBHMpB)V2zOhW;N2YkFm23L85=oA=8`Qee~vuOj)AfUk+pG`@@ zoB|0p5*(3&Bp&=~R5qn8BLKuS*0g|<6mwcZ7NQcKw8>ShqT;8n z^jpbj{)}`<_(WohBWI9U%n1G2T5BGE$^KS;^%T}houTNK@@5wv+RhZ{GX;9f49TDWw|ge{#cH_J6CTh)m9;(n;hF?9m`rdwJGCTWR1q`UfEudjz+klm2~xnIr|w0 zTQE$D7!<-~_f+`1;7T}6J$>z}qztP6u zEAeqof+{Fn!>$#JSZ3@vfAypV!KZ{-=;) zXH;bvBR7VAy<*0#C)#&XTcX~+&??lpj641LmY2Z>&^qf5tU;K;}ZUnXC?Fu zK8hylu`nZPC~{m@40-YbzE?}lG@i~1 z+7jWOZ=69ndUI~Lg`No=<>IRl^mVp2)X?G5;i@A($1b+zlH_YnZ<#|-sC5TAy-@mp z+57f@xQ%mP?RxF32{9{K27$4y5dyS;ArO)h*G;5Z0b;=r2+bjGUTn4rw$r3`=_$#{ zX(TaV8Dmq(#)q~!X&~#Ayz1iEjoaIvQ`W>GPMXxzP2I=oDGo_#n%)$Q9qc@MKP?aA zrsv-Fzx#(|qa8^zqtT4?z2^IVQ^6(=Li|BfSg5vy3hUXGek;;-nvERgx&zwf;++bt zgquP#D#lx90*_kg$y{Ym^yTKI?Aq;_;`Xvhl4VSoS6#<3scLk!xkr%Mp31BZ?By(Y z2jilAFlr9?CX{BjXLqU$^Uf(f=u=8YAe^J1=ru~Scv2d#J?0nR$YEZ}Vf)nGY?d*Q zqa>-t?N|Lo*d@I$?h;?X%pmR&0(b(Sz$3Bi%OsDsNwC%n>yd;K)+09$n`_38a^I7F&i3r7RenEOSA(+Qo&AH+Qq;$++=zY%_z-@U z?c2r{NJ5`dz~Q3xy+WD+;#G6R9Ax+H7X6`>W^oFPMspF2ML0R|*$VBmFG@XI9x#8A zGe-J^N;Y5P;GKrpqn_P?s!&hG1MK^vk;|f7z_P>>u}Ss1PGQwZMzgd$hm1-;PhQ&2 zwDi4P3F{|ioaCAduV}Q#S#Hw5o-O8w zz$qw?2qCRqy9`zBcfla!Xhr`-vQ=<5rdzP}AudDc2U1cUt6Wi6$<+lylUQM@*{sko ztehBNdv_HbCT#I8=2}@iA7p&iDQ(!Q7%1s#U;)!gzs%TmSEh-$CCTP;c=bgojVVS_Pqx7ih$dU14MsW+VsgUh5maTh5yd&B=<|6v zQmUD4;%Lz5gZEm!T#?!_Ih5R^xAknxdfXUgiu2ed5G^W@#&xVju=(&)^-E1=&{%4Y zl;d;_l~~%QOk;P0md#p~X*Ub?lCr8_eY7if_YC>szuY|Asnob}gk6TCy-!K*4zULo zGNQV{1Cmts*b%FC&nj3x6afsy05gLtP1dwm3-(8S-U_vtf233`hVC0uAz(F}wSR<` z%25DI?5N7X+8;Ly7VJ}qe*oA7RDGC*+^jg*)(;;8rZX)PU2Q1OG*l{^qPyTDWsAEa zNJs!;)&bK9+#_1W06-^Jid~UDEkW&lRLd-qBY3s2s~(oL-HCCPzYU^f+qCbCghkvV z5S$?tgTU4%wA3+Q*Ranz95G`R!R}N6dY3MQnGgkqgk4WiV}*8Paaf=W0_9F{4}>iKejqs~a@L z5AJUeD=hi}=EG0_Wz)+^{pE9AJ3W-o{;$>5eFe?j)493dlJJG#H=8#}&wP1PYF8aQ z-Ir_DY^KM8ANgU??MeTu!#^zi@WleMe!8t%s@zoCG3~G3x{i<^UKM_(6&Bn+U-4V@ z`slSk1njACGDUKgN*3bU-bY)_vqFAn2EH)b6^Xv{c5L0=&=1iA_2(i5411_-%3qne zt-l7RymS0W_Jz&QeB<`#LJ(u!xos?^t)K~@r%Kqv>Hf#mdrz+$!B^+}@`2k9>{kA`^u`Qzlv z4Ttm2pql8t=key^4}$Cb!3#I-%e=7a-?ajA^ZswQJ+q@D^|9A$Vddq&Jdz1v6m|E} z!Kt3tnA`oIe#<;*{HY5&4G=N)R4^gArx#fwvFwQ?wrgl@aKHgwjO8H9fH-S z4@aI}7iQ1lZ`B`un@r)2(jYzuEL|5Tvx`_bv$pbiWk`WUS&zRq z9*-5!zr6rr|MRidoudHvdV3!;nhKx}6{%-F^V7*^=0&GKc!Lqnt+bA}nkCPGZ+LNc zhNu~Xz}#&fKb`R!Sq+mE>8$(b=0;VYX}zv9Q-RnC<+0&U8{de*dfgT33uUgxKuGJ( z2W2I{<0d?DHz3HihgPc<;=wXAE(|3IqsY-&~EF6}>oa20Q14{9G& zr2qv$V)OQqE>aQARdzj#qU#mq55?x_O%OL(7i1s2>aCshs~rkNN3K>X*q(~83z&g) zcV9VRWj22L5q=<98Cb_gl8V_L<9^|J9Baj4I)jO_!2o)jHosiV^;GQuHWJ;PoWo{C zH<)3rwfGS%b_qc1&r>K*9EE6QJ<2PXT(QVXW(5F0B95>F>_`r*HOyO&rc3;R3b9diuL1 z9mPEz#Z*HM1Co{ngEE7v$?CvbLdR|v{SCsaQeF#?xCiNpSm9%;f7i1-0Vk`2W!)8J zNvx1nEL4%LcT8jxJe-UUsnS8!Ts5(`=picvpc?JD=3484A#@IzDQIN1GD1Iugzm3q zZZlVgdYP?vT2F2;cBDT8!3G=IzOhh~c}G@#8cgb=$jG2cSBhi7;tw>Ssz^+2%0OXc z<|s$lh|;KK0{H4EJ4TA~wa+T=wnfv1qD%>@V6T8E?oTw0Uc8h&inQ?VHsnSPOw&F1T1D3miag znY58Fl$Bv{B+g+pm_gN1p$6UnJwee+3z&zug5C-`*D_?9FqiK!IXw#Nk*7+OnFbSh zpbmPwUd})N$3LI{_K)W}!1Aa7xe97sY!3p+Cd$}=Zy`fiNRRfW*~q}tl1z2uY9jgY zJ9S53c}HYkt|+`zQfh_~hLFnSOb-j(y0!yAq(nwtHEOOPD5Wh=1VG_Yz;W2YDwkp9 zpIAQ3w_t9WF+g`QX{8DQ z@`vwc=%>(1=4If*AOdp`Wy^Jgg4Mx+{8TKZDIp(#6MOmYRXsg|K_gKG2M!2_A+Y8c zvtMt4NRtpN3n&ILGE-oI+`p4#;69xhQCO1Sh9Ww{B}&~AV+MO@ZH1A6;;Ls~n`k!V zN1lMt0%Mi%!EJvz^Uq1oJU!QSdZuqga@NTJGJr` zHh#g+Ny;6IV z{1DJx9u)F_*)dTzfycABiTjQ}6kv~KUt6=?dVx&d@=S^HuLF0h*WY9IuCsO&t$Q{3 zoxpH@cpYY^&`VMcbKNATeC4J)Gbhh&>q#j`DQ^~7h3JgYVMJ;UcK#xNQc~{TTahx= zRn5k>B{SQGB1gMNDwWDzfyf6|g*wGHLh#=O^`A)YW+1ig6w|y{acv-dYQv_C zimci|*<|m{MUyl4GwA&1v){a?F}hAIMjtENKphz*U^h&SooFA7wcI&LGU_HD$p?V( zy=qF~?)$aZH?lpuu6mz4AO&W6(eL>HgOD~uGT1-B(w<39}{dLlq)fc)uuow9DqXb>>2iPNzKD-Hy)u6I>APDk< z>J*ZlweN|U(4!qNmK!RSt|y*-iT(mo-dnT3p@80b!fZ<4d)0MonN@0Z-SEZ|7!d_rd>}J$aek-GOk+h|uSjU5 zwk@Z9RCu106li8i?wab}Y;p~5rpJ4VQews)WtTQwu(GPZ3&W%d_w5gY+1B-){Y7g) zKsmMb!dL!s>#C%V-_CuX69Frntc^x79R~1=X~3x4xJk-TCxdc(RR1mEbs_j*Y3)ZUlj@AZh1HB-ja40GYt zflkm^zJ8SbUypXfsKVgB7j108-#1$DOvYm!pUR3nV-Ko5x(qMn3>CY>Zo$E`srDwOp;1$x4+SX5_^ox>;b-WA|{-gK_Aw2Druzdspk0j0!UgUH|07aTn}cfLZSU6 z*M3quyYaYmZX$}M#>@(G#v#nDKw*Pk^q*L&4ke=IUOJ` zV?dso0DG$pS;6N@6TucJqn?~C&YIc?QrD0c4KflvmhdlrXH$`qgzc!b2zgFpA?TsQ>1_) zGq^_5AVi%+r(kiq^8k}89b*2V{Yop&p^pG86hL?3ThVtI2wa|GcS2QtqrIWcX&0DB z`uo0xq-k;hLW1koNYB)Q)-u|=gP9C|ws+k41H_z1o=Gj$03kTF9H)@o4K>lsgLuq8 z6bMd7rFBpgkf$o7tDy~mYinN@(Lp@!pM={LU?#jC{W~sTNi4<4{W@G4j~-@=9+lqm zH}`D^Xs=!O1L{|H5Ul9KkSUz6eXe1XxG(aS)SL;(U;dYCq~Np&iuP#lAqch(3ggki z$V?qTiQ%cb6arE56Tyb{I5%w#>Iy>0HFWs!?$k;FGPE5;EgD3jM-hZQ?@qfF9SAZL z!6|rNSa)N8*H!x`PkrqdC+B%D_^J}I8b8{1p{^54v%-reE{TrsAE^OFej2=_jv&&5 zRr{+p#U+66F_7ECn#mv|jiZ>4L_iihOiId0jtS-&%a-hZ#VNztFwDWe-3U*LDz4-E2!jYR+U(?euqNzs7GO9`dSqDr zZHnY@LJPc<2El64?whqdF#;>rFb8qepfj*AY$n69To8?qOUl`S{JM4^Y(`&xFr9=2 zzxpdJoR3q*?Lp-&=`<q^b*YJR(Y0-pz}S`hoptCdIB4zB?i+LJ(9PxfRCkD41R|LwZY0`5x_CJ0V}?7-Ti z8ymq`6{&piPV&OBk{T{FajYdY(LRCi-D+-Ke;VlOn?P5$?;RxXr~i=)WM8Pxxcdj7 zSI)A^WB1~P!4eChcz`!7yWZPNO``>c1K7)U|y^^+HU-Pq?MfA_#~NZ&*z{w zz6#pk_?sh})aqmFRPjRN9w4sAN7=I_2W-N6#-_0BjtM+jp4W*!t}_dMFI^FO7QK;k z4x(>%mK1Pj1`4?C1K9y4qi~wB8m%uVU3VVq@A?w_ao?Wl$hICD=x;`+0I}fI@u`pR zy@mge`KXt7TPKuvTh*DXI*)$%FP(SR9(aJn#i8zus5UtM(MvGG$+e)GX8d+|_0e=d zdY;ZxQ`VQ7CGy4^dwkEAt|i&ZW90>;@ZGD9rK-cw$uP(p?<6hZiT26id~z3_*g1jk zdJsgR-%213rEe*IpZg;~K>Z+AbYHZ;cXey7xsL3(D;-Za0?GnTe;z)+R~i5&O1!iD z3>(TW%f=~X`A?VZ-MYb;LSHzz3Bw2{pJ{&?q@rpT-v>ew2xBA#MtCL2L($dWuMp3$ zTZ*UH_YRE}=SMT}Ki@x+9o`26e8C>zjOZKe+M}siXBq$ta!vGv`QTM!zhAL;E4(AI z6r~av=RuNwP#BC&wO`N0T0$+jB`~;SxKskcsEdu5&x1h$1fu8hx!w%i%oJb6jGN)> zs){ybSkr-02?n+#*B)VQvOR}w2~Cfsle;#OX+X>5#__w2qwJaV^{DGhix_7hA4KSp z*xI**_20JEhotqP15IX0PD@E{`UbP*?1V`-1KS*jcqZ;7cTRd*nk)vk`NV~e0(*2b z&?!JJKXv*q4}9e@-+Mn>kcuXh;ZE|El2@<$_Qt>{yds;>femCyv>`XG`j*>Bwmr1w zXGSWGwPX!?Y5gWtzFx_INT!mS-a4?TmtJQTkZZ?x%;3jf+Smy54=}Lz*ihtmlF4H* zs>cSx)q6u(-+lwe^wsMFFs|D;_<)}$MYl)IYwqs{d(e*F)jRXmwHPp0hYRY~4wCPs z50Vs6Jsv`3Am!znDdqVSC41l5VAQ>LknDT!U?+Q~1JstvWB}^GcPnej>Y>N%ueP^R z2}A(cX$(HvGw{*=02OEY@jq{w5DH;5VOX!-q$pp2RU{@)ZDG!U-3&Kp+}m8ecgLFH zpfZgsTa;Hyl-End`5}chH?rHWVus6YL05v68Fu@%ZQ`dm|5f$}+gwzf4bl*EO)Q+2 zVT}iHNzIKWj4CJYY+uiTBo!(@OQR)q$EPxzY6ZH)aA}TU^q$Kh_LjK80Yp zppQ@G@8{nTz^3;Lvm~!&(7f(CE2DJ61oLXBXkDoUYub>}{Qf;f?{JeH4_W;!?LPn^ zm%xa2oZq%?=4VO6abGqVQdRZtWJwlMM$^tV$uWI{s=tYa%#n)LC@iK>+l8ZU@b>11 zSo1d9cK#wiQFpnb`krki#nP%Ou>}KuW7c!aFjycJvaX)=l&1ByX6}ROxYW3K)?`dY!A_vIw73j0M?DVhCUEL*rpp`xzrjK0n156g;mz&sWz|USyG&VE)%sj z4WoBh(Bos^al9$l&x~mra~i8#>(&wBaz#VCIi(WWj1ITpA$ldVM2aROSi8dP$+19{ zFV4osN(L4?F;G^5LcP^gQpzv_fRXkvS!hH79(%l$3q3x?0)v|Zg&rIV!2~k&_&ar1 zU=B%jolP;Kp@y7}BKi*N+|LiZ@av>q^V1P-9y%1<>1G`b zT|Q*Yels2&e!-Uz{OL^CxfKXg5bZY{uCEqQsR&kQJ{f*7=dwNeRgVc5qW{e-+rsFv<+`Mfy_O zy<0r+-di8M`_q|2k3%2XTPcDacFPo|+y)F9{ODA?m*G5Y9=pe+***B7+n4ME7kG<6 zd;(-f$YQ|DAU?1#^x)mfLxpfbg9S_Dl~I&p7ldN*i+wtbHyjOvzbE|I^RR&itz2EB~EzQ$_rojl%VXM_`?f4}t)|b-Mk0^=w>{QDbsB>23JYV{^<0zu)Bb7 zE2%jQnWm2YM{wQx-0@6+b9&*A&Q;m>t>BLHl{K&n)VvXSXU9hw)%y$j zo`d#m286Aj^#TM}VeqVfQ!oy}1q#kbl{I}O9|ZxkcRwI?*}KCB{3B;!?&SDce`Wpp z^vYWc9*xda-X4|CZ@cZeg8lxt_k+&1ZSxfSdR@;s_L-Y&Hjkb!s|nt8K3GEz|LWBF z;B8NB%6_c!_H4Urwgg{@oI7NrYdw|Qb6+s|d~g$6^IX$p@V4h_G690C0C0HsAJ`TI zY_e^T>+l*Vp<*Mr*et>etp=r@&uEt}8!VWQ+my@&Vxar0qt0NYu8 zyFWMyI}8wA)pb)h0Z!M+Z@dM!%{g^A@&N-AWq;I~v%#_#gp5=Bz7-5j9Rsyx8hz&t z$okxY8$sa&$jo;(p9$VtQgHSDDM0etHXSWkpEJH6uzTNtydT}UuI^D#fa*Gr-<~N> zJz7xs9OUxbx%@eA?LT>X?9S;I^CJLl`(a(zO)zX%=Ye`y{M`M)kLZG__md9(YVIw1 z3yr}v<&;**VVD8gQr(u1Z(R@AT8DO5RAcTnyqc>456xUop21A@{(FM=Ytc_dcPrI+ zZTnuJw&Ea(t>(0XLw$fB)z}0Yaxj^U`^V7_#kUYhkWz&RYVMnxn`-?277Vb(ApS^p3LYWK6tj~6XjL$Pr*{Et7F~_gI>xsQ6hw$Q6Rg+@cvz&%#)O8Y0}UHi zs|ME&NS`yi$e~ef25%C;z^nzqBwXKuOET)Zwap!4{u!ogpV-p{z|QZ4hAUY0`{%5#NAhV&C;5`7u>( zK24ANe`%u?ivxpX)S3)tlLMLP>g<@KzJFkC-8us<_1HjMQ#d7Z0D3ZoJcnVC zBW^gSbvW1Domycp6N$R6?n|3W?zxF{XYbGJ85eWk0(fn^*kl6~P5XEMfgfZ{KJ({~ zZ~f`q@Y-}=1#fj6KVAl$0V2F0twBHtq;1ls*#r-GgYE&pbdRih;y_ZGTLz-0&+@Dx z-u77s_QC~dI-E7Y3tom_uWUC2 z)>C&ufErI3u$)EGhwuI7+RF}o5w6Z|fOfUqpsZqDvN@gx|KJv^TXyj@#C?Tm86L-E z5KWB)0Hwqv5Y<{`H{YdMyb4%bZd&(3bT$PckpMKY2I;m-KQ#g+ecp705Cx`ukI$_s zitg3B?|^oJhyVe4UO}cIxWTJH)Flr-VTgxH4QPWQK*)y)Ow(>iiH0OB>TpS=*q9Fk zONFOeYEoyUznnXe^tr3y*xq{dm4KPjge680HBC2Jw9i+_C?Q6tpUNK=e@r+tb|r1c zP001>O{iT!7E2}40!Lc< z467A{mtKf@<)|o_EetM;jw0}=FcV8^WhGibgr+iLvLxNQ$(P8*xW+&cQ!MIKHy}j7 z3g*MLL8A!pW}09ok29~ZvQ^&+mP_xy(#4BJK_pzNKr}%+JiP(9Em)v=0?XJnJczC!UoMBv=orQ-B@bcN4m#8}Y zxL}khj|?gJ;o(@OXt--Us>wb_0pyNsUx%8@6T2x>&g=qxL-(r7M1vM zbff0Uq+^VbXN();95wuO6ZbRa=)1V^byXTvpAxuYeZc4Lu$rwgGcikjcu!v5O+8;w z0_sRdnLxr!+MTW1QuD;Ap=b$Pe|NH4$)%&hCbhVJi?v+}SCsUcG7X*|QdBOy&*Zk< zt8&4}F|O25M-8DC!gQ|7%M^%s!m9d>l$@XiG{m2@lx`!-8hsE=b{G8iUoQLHm3G&| zfRSW6+{eSL-$qfegjAPi?B#Uq-B6|ei37)nJP71Y6&30Ts$vcWxh;UEMTj0{TN|y7xAq|+Q%xkt`uSV9>Qsv^RzSv! zNxa#cB{t^a8%4BNE6(ODpC`4up=Ja@$l$9hS(endk~)mKgf=0ysen7(W1x<*;au!f zImTPW_hmChH{x!6fH9vuZPu%PK&c2TQa2w>CsVZVq$)gUo<`}PSNiKaxo{P3@=Y?S zAOVI99b{)KPuk+U@z_SeSfT;a!$4MJcdKy{)?0@O(rRNUITdDz$I(}tjH0|%XIl?^ zdNAqg@7uiv8`qdGS!r})FzAVpYdWFGeI`$B#y5YeM^nTCm?`1Mf<7NxT-e9M|n&osNUf+#m&Y@ho-u# zMHNV?!eNWfW5Qb>h>U5F8l){u;V@>zj>AP;2xz{(_Hw7f_-i*|o>ucura>9I|>i-hvrjV`Yf%lOUFHxWXO> zATK@$SEK|87JEygYFDwM8Zvpoy+&eaM&yv3kfSM(&%?-oMnQRW6?7RMyf-*i_afX5 z@u!I*~!Cdoi>y7|V>-85n>vRE-%QQVaFMw#;4?m6ksJ8k^738)1%KHPvCM-4p{&W}gB&-{lK4$?0zEWp(84l>lT{MqfT8?QWCWlm?RS-z*t<^bRzH z`9!P@fBv-4vyO1}x@#vkJMS7U1k^31Cx_{g)_@!7X)aX%2X3j@q8L#>ggP4&&sH2S zJ9fNZ?kkN_LhYtxpD~n*9%S4;H$+SL6)l=?$|0X98QzuMs??uOzXRd2P012O2}&)7 zhd@~PFfp^p6=^w^aKt)?{o!zqda$j6t`Lrid0B_hsB|&|cv~x8nmO`TcBtn1*FU`d z$J0O2XZsJHo*GEwma^CoJZ(%KcaJ=vyJR=WA}p#CD>M%u)u|FSAQ>DAQ+j^pWpTB|yD6|NG=?Nvw-Q10zYH{Fh?k8FcS)8#Z11)%HuBAaySrABr~}U z;DE%{0!dR7=_N&{jqV&;(5#VC2)*vUhek`nM;X~#f>s#tP#L>I$Yg%T6zfzIA7)Ko zw?4v40IJXdY)3zZL4W7)INf9NwdtIfwaSQqDRrE_LeVlRx(y3uYDIh^ATfyM&)N2a zN+L}zXLQ4>gIQYTG?inykX*>qlvMf$&o>IskD^X;O*23vY!6j**~8Ve@D%376$wnhSu`XWdsET))>)b+Ok|G zCzvv0EW_?RQ=@8iz(^T{idbz4S*obZ3@+j$6$#jA!a{2QNEvqVU}s6tAnd;+T@)Jl z2Bg;l28FtdajAQWAj&hQ?(u6A8i6qXCpbYU$NUjxNdtfYz>;p@BX)(znzHxD^=hs; zB)dRr&H{QRkRrmnbZwbZ}cI9wdU1Ls* zU>wn^iqZo|;MI5omg>rg<+H1B}G{RN`CthBe$yPg|N0W=8xhsaq4S5Uu`d@c1>D zZec9}rQ=rAHW2H2EBE8IzAt@d?mbckZ#xYVsgwvZB@b$fH!}}nZm|^Qk^#0tr6E{5 zwu+V#5QF#2#hOm2Cdv0SFS&We)85ypn^dij0;EnDMkPUs@!|w495h{4sg~M=cwkb? zgwbk6H8+TXswglX0G?EqNXtMhDQYZ5Y9HKyS#C83v?7@c z4|iIwuHnw9>wJ@iUz~m9rQpy=mJ89LG%hi%PcKR{c0eG{4Ur%3fI#*tMs!81*s8Q8 zv`12W;f=3$%TkohQBDh9F*`%eY^RRE2Oi1>?5CsjQCXcVy)vY(5(HXJG!jU~YY|Jx zH$Wq-_A^!DJ%InkiX)0xftI5N2>4-)vGSz!On1e^2{S6(B8IfJ^e#ym`mrL!{d{n_ z3Et9e(iAQE(BweYz?gK>N;KJJ0txXkr85s8nL<_@#y9bxhJ=N+EU%8Cq2VjBG_Y@n z)hDSVr9GNKsh&&`zu2?~`vxVLp&TjJDO_*Lb(zdV=J@$lSB!iu%lD&Qxil?q~m189GWa*wLjOi+pG;7ezK zhBD;K7$MC7o#dk$_(bSERo^uX2PUQxSrs?l0aAuS>Nj$r0Ay*()jG!jD#0!Vs^VxB zEoWR61K15PmF6yZfODd z*C+2!YB)Fdw3yP0fY2cy4eYHMFp#}Bw5iJ#YjeATlqLZ5<|P*oAX$RZc&CB|>=pRL zh&HA&7yzSO`gW{vW(mhKywT{Z8jwZGOaB-d?skygWXec?kx2vX+bdH`D%?C5lSh2k z7%*uoOn0!+-6^XTi|=MR2tp?%zM{NXzs@!Z9XQQ!%MdqKrc&Vfe99`({E( zT>sotuhPJ#3xkybqNlq|fffzt5#&*6FvEM{lY=k53@jNm<YQ!iEQqo`$A6Z!3K#+YVehv;Ox8Oqp7**!8 z+E?NSg4>7SKcq8C1a3)5ybxUE?CTr^56+&j=Q5C8E&SQP^QGcfA_d2~p(y1p zxaa=&ryoE6l`qEg*k}0p)Wu)O2_b-R9Iqh5zA)Pw-cC~UR;}5zy^lXxp&3W$h6)AF zWfslCbyMyu<26B{`S}|?p5HDOZ##nLfz8bbz7L=H@6k0^eD25g@&CBZn)K%{!{@!| zDP6kV8FSn0`FK|?Itv%=C;K0_x!R!E)R+Hz@U0a$>VGTiCwlGT9TrmY{$6O#{7q-; zhmoAy7PWq%BwT{-x^zq0ivMHvWmhlMcj;C8=wh1|SG17N9v65IE_o~B7vn9MYu?{1 z`N`I-IQgv$FD3QOJ0;9@>BR;t+;nlm1@Gs=@xo)fVG#p+Tj-F5Ec3bNdk!u@uPhub z)BvYG*m8aIe3n>AP4>}O!-yI6j{)Z(-8?D5@SH4KW)H*CJ#C!ag% zC*@OD-|+UX`5cRW?ZUG|0aR_iiL-Z}tM{*}pUV?J`-!(=w&H~ni=OF{W`FQv!7ZP= zV)J(wwd0e?xese`o93$LXMgQ0vv;uJOlCK?fOKK@fnb@3*`A2k0CT4c+2`7V<}$_~ zA9hJEeC{t>{yhEO#kT^cUE|~uNovnvI7Du^48{}+7m zRsd;P$x%zz42rCjhBI@+Gn7#b5)@DsK#3c9MA4z z$N>KrI(fD@aP7YyerWKK`#zk|r+)A|C}(db<>H}n2)X4(nGyw$2^?lXjz1clSLd?C z+X+S;FEkPE3D{w79ohu50VW_%0l&4IilIpWL2WN<1+9UFmnZ=QX+8l{E}3%6a1zhn zF#dp08|BgCwNZ8lfhrEO9Efu9_RRq9j!OEV?d|82Zao2)?F}_mNJLYZk}7PuGf7W7 zrjB4`SWxutGNc-yoF75nOw5H=nUvI@W`YT+woTC#Awg-5Gri~umlS_n7 z>}to|nh1^wIL67S-p>LI8Mq*(ne0-tmWX-+fa6*$D&!Y8Aq=E}cXyzH9L|-=)w9Gm*ZwtRC86T&H+;Al+MjgwjTc_h@A_T5cc?4Wm65?i zu$E@&No7kph9%phb>G43f{4W}YDsW^-?IX4*?b5!2+s=LWtrod4Y>+)GkdyrkSK@f zVsMzfRZ^3!{uGqcHZGhpn_#A{q(Z`{%xDV9+Sk*nD$zBOe4MNnmvRl&D6KDii*N+0 zY+Pmbbiy*Eq19%l*<;>{_GYd&#Z|c2-_Udmtu*KLZzKb^(5KAWJ&4$t4_%fpDeY{B zsOte(&PVzs26H)A`%Mf0x@vMM%mv~>RvA|gf=kpyWw#w?PUA+U5X^PrRUOi(G|27%kK+cvA`Y^#&v9jR1SV1G zrW%QDob4boyxw}!f~9ODCY~{$R$!u4%TQ}OlwZQ?Md5(D-QQ4!`jgdPc1>UN=c!-H zvm*q-Nb{-q3HYGxZ+iYm015*Ce6ZNw-;*eU74Jc25>Q6`AA(|6aT$f?RRHMhW>#{j z(1hJ3Nns_dxMso*DAqra1f#zF4=XV~QkZ?hBFOL$C6`F{KNl$P^NC9v4$ttH_TSAtm@|-n z-}o(zu``g)7wLSFpQODPDXK$SXKAZLS{>5*N%7|*`*(z$MRLzSB;hO)j22{^g@hyQ zERtD4o4vF@{w`tF5q2E);~&#GoG;S;&K4A4M~`$20{;)ofTKq`dZeRAI(p=st?p7O z%`wqk+8>V8`U!iwqenVMb7%I$o&~yedSt;4u#mWvlsKgI(*AHrt3z7nW?!B8$bW1$ zdC|zo&sV>DkpR~Hw|FHR!-UkRx*v23#v z+h@>`S{O;I^higK zycl7(Xh!27NjkG1&g_TdX%3|H{}J)(s2`5{;iw;u`r)V_j`|UI6mXoc9Oo-%8fyXI z;~&vE7Ugx+4@doQ)DK7fup{oAusSEK?yn*O7Wo4F?c_qFz(V3u7kMXS;L`qZq*h02 zb);5DYW+JPA{Hp>LgG?V;*i!$`@j#M z$Fk#Cb{xx&W7%;mJ9ca~@JIX4S&3)1BOG_gza2Z^gbX+#15U_*6Efh03^*YJ4v%zr zqyRdk6S3?>EdM>$x&_PJLSjMhaMTZ*oj@iD((?T8SEM>;&x;gJrHba5RDJA#iDbI35C@mF`L0M>;%m5o27GbaK7#AfS9_jE%hetX*(&3Q~k92tCBF4BV>F`L0M>;&x;gJrHbasb33M{`UC%MJOdwZrQHchLjU1 zcZOoORiNjK#2Y!^kod{jvK*D+_PKNp_5vrkX_FC<$)l@G#wWPUD=9=lJAFmwm5|30 zgt?MXQK1g4u-02jvCC*-yq%OQer~a{c+ACH?yD z*;{{N@0J%%(F>`6G-1tl-`_5Fu|Jc-@BZaq@0g6pvl-pITZX2_4@9?Y|HeCOQBT?D z=C}E4_CU>UkWrxQv7IYuC+xx(?`WS{*y8ohUz;E1q&3xNuleZ*etABd-J*-{+Wgs1 z{@S(8kL@K_-M))0v@iV4Oz{qzZ_8|Pc-P=1JNw@4+Y6I^oqDlKw|p`EkEG&nHHtqp z6q~yjG%bD}UX^%V^NpL$JX`&I*Vso%KUzP@efOaUX0Occ7arX$UvmC$9oRQO@tysJ zxlH?0vu_PIw9nf2UEB=_4j|uLj@e)PbHCU=`{pw_|9$>K(zou1!^5R@2 zkX+Q3cyjiQohvj)xfVJEMh_kw-f*~k2E3Qha$&YN?E=Dl%jX_wuJ+lkTDaq1Uc0aK zt4W*l=5FyjbfzGBb1M_*1lw`#Uhd+*iVvPYFEgEHL; z569_>K~X@$4X-SE6rFPGl#BPuS|TG7N^z?`EMT|nWoexz3mt)Dr z!+RS4?=l35r_3H1zC&oEsz5f#IK)$4UWJs0l^KolARh%Bhq7Eg8bLFpcx&OV9+~pW zk|#~MEaxZmN02}UMf&l0-@YDEMrBu>(gwym4GaG%^6fE>C`|L zBdO95@8x_eSeXi!A-4}h4G3wRVRxT*$mcR;O7+z9)Q}`_8@XPevD^|V)+I}VB#9gq z)>zF)70Cj}3bn@9m~xupFh#JJtDu2LyZJ1tc9%+B#>oa;@CGDCAQaWlb4|Lpy^|VN zp>y4OL^1V3=uOIV$8srPXvbBnZndE&jlOyIq?yh?qd@Smu$a(yyD>#up1uHg2M#l@ttO=AyY$bQfE&NSBF~ZG0wyABG<^fbSXii z1k+9XJV;+AxF3fL9^Z0Jc$TLusLNfbyF^}9DXx^V6w1x;393gfRU~+!4Z*bPINinF zK@qnZu-qc%kL$;DuMC4az}t7HJU*6_mJs5>%<7B-8{bTtD6rcMn=oYLaPCr5B8oO# zA|u0UYbHn-D#Ig+&%0YI+Fd0PIi#{O+urSChch3>j6lB{(vOf~emI-a+S$vq_U8Eg zLSmX`6sapw*ix;??glokm|>OTraQ(IYh1yds+rL1qH{({B++WsD66^bv|6v}b<6q6PINVDsGKS>*Xx5PiNm&n|>maDlOK^E;CO{hIm%onHv0N};jE5(p0pGXHN57Iy4a8fJ;O(N!%NF1e0E-q3n0neio8BV zP-(MbB+>~cu!^3%HX}hDDaSHPJXr!2;)k#F+{OYQp$RP{a`ke8SwGsSOqwdpJNHVq5C?@*!&EKlpw&LIK znC*k*v>EED^@V(W`Mq44xNEs78sZ8;RwBv0BYE6@OVFc{Tp`V*asNg!#HxAX*aT3C zA<-%%RSl=rSFu#2@tS8R!?k}y<$8@at%beJxPvP3O%+~Q!iV1Bvf$h2avnA-IHCQX z8ycj$&=MwG#Yp|~QOOMCEN7apT0$=on)oqO@cP(jhDLGq1E&6<>CU&xm;q%s))R3X z^*!=4spuX7kw#8-_jO%EOJ_J?XJfE28DSHS_)@9rMzsUa$be;ZRe2G zbjbHJPUt3TDoYWQP%e4c*C1P1G#c5g%%VpcJbbf-hS~^fN$y)^4w>~`PyJwet3DO` z?rbl)uuK)otXIh67>g2O8ExLOUEUmyT4APxM9&Jofjllj@~Rq7I7Rf76!-OS$bpGc9#arloXWJ}r&^Vmum3xiNJ=fMQ;oE9^)GUDa=$-I621Iy1Z(EBuds4N zj%c~*x^V(0_HuO_t%gSilEgf29J?wsNocAR<@$nKMw$ahtg@3V9>}oFK}%>6wdYl> zNW@yY>gG?X2RWgiQS~98>Rr_*Xu?7CC8K6qrduP7l(AC&uaM4{!S ziU<7;c%fv3b2PQ?1{Soy9~%s{gdHIQe@x&B<@GgbjE^4nH8OmZ&A`hnNu&mNtWqRs zi5x=-z;2eAu1=F$swHpHPczhm$SpG}g<`VTFIkjFuUl|WS0pl3rx?; z3(I?%dL5djtaAC5T9k|Ml;=v08`6cQUM|)092J4V17qKah-LDH`xs9Nj6Xwh2_O{7 zE|Q}lEVU5j!j8cBiif^7`+!#n`9_COI;w{DiUZ{tz8o^3wRCbJzKG?AP&!xAQPN_W zKpK6$Yei#kMyuhmG7GiXhNcxczJWHHX_Qu$9m^1p%M{gDh6c)utnT7##FyI?Lri3c z*H~gNq1k}yw$=(vFpur8P+LqhQIw*J%qSyyJ&A%>RK@jVSH@80YU3MR`opiw0%MMv zE-|y4oTP#MZO}Rq_LSpC8PBas6()_D#UW*{$ckC4M{MV~h$K}sBygA{N>~#T1u@nj zZVivp9!u4?@`|xTiRE)?G(DhCD6w1UwIiLPaZnoBTE3k20;%;{`8Y>3OVV*kdT~=V zPGR#|)EVuT1|J_6i>F;k=_f~w0T8Jh8K6P=fK8Dk1rQp^_>ba#$L zC1_NP3rI%2Z-q!(81rJzFi*8%t%&!EST|xowLpB*yuNNGm@%h0lw%aT22ij~3E z`Vvc4^+^nGoiH!wEPshhrj87A#c9@!#|bx}pJwZGTD;|24(Dpqc7@i)${PEW^+Et~ zb-7Hru&&n9q(VvELySCPQRJJ75#S=M-okNXN&-!XRgx*aTCL^K-`o1KaktUVTGZ$7 zQo^Mg5lOLLD|`HEsNL|Eb66(0*sw^a;Zjzrsz)O^YH&baN)kBIcULMG<$k&1_y_^wS&}GR*s*aX3#qtw(ECU5ZZ8Q61%LHk{ zGSgip`sEhVNq|y9dXXhtF5*+DPK`RvX#%1o4<8{a((Cm>X@vxIL!s*0BySnJy{`{d zDU$jmpFl4M7UynffP>Q-gOWX)O)M<8JXepBT7nprlRcb_S&+AzYV{S2rsi4VNv=e_ z3NNKcbj|?j#uQJ8yTry%3w5~MGMm;(Lcbo~xu zUt9c0A!({CD?`EzNtbYJ&5)KXj43g;&Fa$xJv@|*2l0|su~>SO(xB?K6~$&p@{49? zY(TxHbR^XXl}beGPb2lp4c`|HPq{$u)YKiCSCak@ZSNl+$5oz-&#qR=T2`!`(MUd$ z6?@KTB#(Yrj)X`>%7vMukvv+_Iwaspnpae>? z%9?H8RqrtoR;K!B(_j(_mR-{wi%>l_cnQ9b*E>X zC$?luXZ@9FsGs>(7VE5655`mROtrP-l(?(9$i&U^dzSY1>s!5+O$+krp*ghR~2VZ)DwLumU58dFzpCu z`l5YGi@JQuUFHKcYLMZ*o~fi*qm-NSa#QlycFAHbZfBFdS)DuzYQJoFwib5bh9{GIo*%6ldWSRDw8WPkt|rH0C3d*ot}pa-%TH0ad5ab+X{hXc z>D)8e!SBO4@glq;PS9>169fp%v)5tXGl3{s6yW; zYqeba6Rsfp_ANDxFVynftQ>V@E|pD29cH_9REdtwipaB-aI@cSlxV*6IbW_(H*=;X z=lv3z(_&$rh;3rX_IFkDHa*~pX@5JROF1KC$NX(_Im_Q6t09%Du@U!S)r*1*aEpN= zNW!wyvYnUcBo`A#tEB=5{j1P~A@^}XtEf#1g5(K{<{4YCb*5&WaFgU}rX+a3{+HeN zu2$AvCnDi`HPl807V={O7GVboB9Fwl9Ew%g8q|{zlVwFnD^aP&MdFIg2Z=j4UpVQG z?XnGj$N(3_uXRnDzQBTGxRxr+D}2Ot1R>3w1b2}13xWm@OjMRp@DVm0#s6|diHb3B zT>%8hz!UCcOmJ~%;XXJB0kVZGlu3w#K9W~n>M=&Nf;_z!~bU<(_o z9*!-KuzELVQV?Hvq>e<#l`coNZE&NfBql^=h&HHtbycBiR}jm%XhqF7$RP`yIyY*D zZ@TqS6N-R@(+_z_BcN=A99M)0PlDu#;40-%(hp>ITxQ5}?&6XXjZNwiDm!UM6G+5> zQjvpzVhSZ066THoeMFFSoI;d)$5>4D=3sc4n0Z@%8= zyxwy&_FyY<1+!C{Tn=lA?g8i*ilAo|u&(is)E?59>{>XB8C|nCnXbB|IV7aY3W&LJ zu}R1MB2;yA|I{DQIm-oE#K-+7Sgo5;sZVFwCNl3DgXfwRA-*5VAXlT}2(v*6EsuBAmv~U|P>c_aatfw6cEl}n};+~~?kpW*0 z`-YbxyBPUAqafqt@IDCeEJLc z5Vle&G(cRhSIG6V^1hQ!6l#VP6Bs173xh6=sJ0jjS&~wS3A3Xl=9#(~QjiS0kyw6C z$;K8WF(yk?rjj1@9TF*0sil}1J?`piETs6Ct9w1mm4%3E$jgfD3xl3ME0*d)rdno# zMWg#=-65tYR4jz4`o&~y#2(Y zMn}s#!j>U)-@zjv8ZA+?v5?d_U9OeoLXvN2j0#cPbn3RyCOwiuZXbh=C1mv$A7fog zaW0eQ8opJ~^>Q`i8(j~(ZV7{>!48ArDUomk(Zh~BV&Im9W6@ZJ9GMgg&a7a1xxGCDja91=BjQw8!V$SAy9{+-R9`57ncXs^bxh>y#PjJP85R#drQaQAJxE8}Is)S_m5KgJIpZQU#U(J6) zeZr6hyTXoYikpGx;g)ySM`MD}qhV}?oQP+Diy>7Kyb>O@6&2Fsq}>-X<_#kEnk#S} zURaUG<2Z=uVgOIO@lz5Ng%EWWp~lQl;j2QxK2kfGYgl1N7>T8w3bR)b#vFW}2yGa` zsx)FO>tpaKeM8+mc&6FbN9ck^a4!rQi%6I+^ju>oVUhj#59(5ub zCEWJwbe!j=1|e)j4Br=)6iBhLQ4ViW?BE9T>3R5K7Y^HNe5Ok!Q*W|HfRW9V~~QC684niMGggsMI9idIgnckqD1O> zq;}z0D5;m|rWfv!Jt0!VGcivVNJ_F}I-$^SfxZhlaFZ9d>9nm2pla13dFvhDy7zEp z&1qqMn_Do5T~ z2`I&pSVS^KD|SY|^P_^!giSb=7Ryw_rqd|9ur3)O%3`ReOAIN+5^%m6)Y40;7gZcQD2GJhG0gG=<|tK!U&EmD^np~@>=q!d8-QZJ)u&|9XWhs z{t({97QJ~Bj2}^03OfS)D#E*fQ4sQH;X>bUgqhQ!q~v#KQA5(AObJ=2in2gY5?OGmB|v zi5tc-tA>a?hxX<6Yj& z9k;s4RAnmJ^VycpTg^`n&g3o^W~8fRpTC>v@BEg>2iv7decasZ_4F?_TbI-mQp@W6 zPc43HE-fZWZm!;caAsh_+|)Gc@0&bENMp<5Q;V8+zj~3RcN}a>Th%?Qw$Qb`=PPEX zGrGNThCK9gcKBVEv!V~*>d9>B)3d{44_T#k$;EnAzv$m5uZriV^|RG|iNo`!y$`vh zhc~>xHu3QV{nTxnCEj3-$1b$przUGJi!G zXq?=4;Q~49`fvTsv)B47tKU~dUl86Pw=((s+&Oxc@0I`9=augpzj&s-XKA0@YW4g7 zDxW2p|Jm{qy~IDvdRwvwrt8LMth}D2D=Pms{n%X1YxYCKpMINpsqx)p?ufefr#0Fi z?rp9cJaE40i?z%9SZvJ|dpmfj-(9iN%w3?T_R24hoUz8fq@Q=^56hizEc4Uy(r3H} z?WdaN(*1L<*BPIgk|zAOPTmc+jsn@Iu^dFsb9*SZG6@8 zt}aZ`ygPGoOYYD&8{=B{v`>Dajcctn``MQo;_2^sXX|g^47uxlWWrU$4UM_COK4YUi$Mw z?bs96i^_$`7mm;0(K2$3)Va6D(tp68WkZF%wzXs5ug|?!d1ZFvR^+#P&-(2X!=o*u z*Ore)zWhf8SiRFAVno!wVN{?sDUu%T^QlQvG5}Ws8;F zmNhy*b<6Xo`8D}w?~D4SKRCSnX7lca;lCZdvGe$j12gAOB`35?_6zFXOH%9S`rYxp zoekPQAIm>IJ$#}=J+FT1#koo~TdUqLb$?6pp8SCHN%h2_^w5bWEq%- za^Ak+4Ns3&`g&(wqq%URzGcHdk@zEk}0E>d^pwU<_w z>$2^n@7(47JJrkbcG93ResSvjg+)K{)0(ty8&YYEIZwYi{l<(g~YyJQr4Gzbn4hJn`bnOWzf*H1Fa2 z+MZ7B7@Aw-AJLw9X!k+9_+zc*O}1ks*wyvyIeOVWptF_uTWp6dukl^l{~E@N74O^e z@0gb}2XYc#j0P|2&VKMpVn~TMs*?n^Cg+Frl;#n=+G5qN6=hVV( zHvB#I{i;=ZxpCjgU8!3#Zxo-mOFItNe2;xsJWtm$AIa`Y?XTO>B7Kj|oIkm?xJ%PN znK{rPeVaY9=i~t@eZMF5fefDCWbdfHoY_lv&(ZHRzLD9l@0g>j`Wu;h^t0yW7924D z4f!#)xaNMOZKn2e>keI7iJ#qfrQ!A`@!}Hu!BF*@*s4A&H(tryPNh>VSJ>?btFK|# ziQ&e}nQfU?b>@AmnJ-H#{SVz9mmh8HzeGRa?#o_(-Yw5uqPOev_t>I;DT5c+SSvYJ zhZk$Cb!6(~1$T{oe&mI0VnVzY zJ?&U}uR1dsrH$fh!^fDg$Rh({q*lMm>dCBBZjR_=DejKXkmwW{e!stB3#+tCuV=E= zxtG`joRm)Ar_UKB%+1DBD>XoW%7#p_jTYJnO{rC)?}p;^j7vjW4b`Bhv-;#z$ksvd z^uETCL!mx8zE3jBRWYBW61z+(j-6&Zc*8qtRiU%mTZnZa6jrZhgyC8_FPl@Yl=k@~ zky88FZT<}`mS=}FDLub=Gv+3Y*ww)1Yk$3MyeP1l~aEy(MUAB8T zPHB-x>F+LfJ8xt1jex*zqf%#ZU3zRx!uhOHVdH8mw_Seo?#C~i8}4*-{Q#8~lj6r& zhH2goK5G4#`h&;&s-{&u z)68F`mDGQk77w;?w4hhfi9B6p))<+pUmXRao5{Y>J<~AUiN3P2gj&zZYQyrb4j=ci zyXy~w^hg9v_n%3Ge<$gWpPw3AX4R>9BF)TY73tQan~+2U$PyPSnDVve^CP9{o6r zt&o4#7Yli@m6+A}Ob-rr6;JyJXxdhKJUH>#8ac+? z-v{AWOzxwlzXM^Pb>b<#a_!){egA(vhJx|-|KnEq*Z=#~pH_bM1Hb(V4AkNf6+#LI zDF$&2NeM={gDf8|q4+KrLIwu8g4`1C#DXp=ig)mCkf;^k4IB8X04M6k(l;%dll)m%qgBArFsc9NgZzo_Vb$Zqpl zcz~fsiGCU?hfSN#n&~d5+iaIQ`ZYMeG!W=gYjv9r_plP~g>}uf8yJJ;*)!5g?0On? z9sgmsMI?fXewyOX-$dO8WX)5a?5_~YH7Z@3{Sm*Y(GL?f%C)8X*JjpGL_p>deQW(O;zHQkgxjngi4x ztECA`7uzk-7>fzAN3x=vWV+NKr{m^0>S-~F0;Se@;!nzSfXK}dpa-2{$y5M@yrB4^x=CLl*_eh=p|V%)h#xCAMZ3dywL>rl)yCl> zs^$m{C}T1O{e$RaaupZ%hQ^NY%D?~0CH})JZ*8D)-8M4*F3NRBaw|OjycC8%lFenu z)8LC4m(4MBs>oi~akfpB9ew1t_7R(hvm7G|l}B{Z*1c9W0Hs1Qg^N9QH&7|}OxsU; zEXD&|>U@k8p>xARjuATGt&r4quIm9ba+lY&;f#gAz9kC1nK2Y%{0KM9=zw zk-Xt5JKRX<1~#d9(Ofp5pXgL>A zp-X#p-jZZm+vk7L;8p&gU$0w>Py^Q?s&byFqSViXi&QB0!=|nAW?HJxjA;F`FmG&v zsqn#@=@~9%x?>3KX{jI0Zd|mfFVT#z&w8CP*^|a%Ivu~c8{-B(a4r}&GE*@a3jO*P z-mI6y4mG^BhChLSgOlT_35wR*OOMI;9;iSwT3;~YfE@JCwAsNzRlc$8H- zsLh(oMuY4{okYJ(CKwy6Cs9|$yM;C`3_D-WT>Fr5W!n$e!71_7>kVHerC;oI;jc zh*|FYTFO|SYo_)&eMZ_Ok2>>40`=d3(Nu+Nfl#fCP+Wv4JSNIsE$?cng>NbcM!wh8 zj@rXT2IHxR@kD&z)J4});6rEhr4}#kz;6Ro`7Wv`RsN1V4<0eSeCbe`PO*-oc-30%4k7DX0{_`lJLs(B) zu}!d+CtWpWa$ig!f{aYlL8Zb9ci5WF`*`RmZ)eq_#$iGzDuL`-B2CgaZu{R`|D$`u z|Ar3nOQhdbkwZ`sMX{s&INVveKd$zJzr&F1q1kz996kq?7lUN0tHO1@)F1>P;XH5f zn&_ZBP3QM;l@OTHDT>IoMG9=Gvxm>*5sRWm1}L?7AcnTDKp-6a#sioToB?!Ex@{mUZzfB4{w&!UahQ3lc5<| zU0EM%u&LS_uiO{Wwh|yVu3C}{#Ml|X=zPL(qOhwb6N6@etF~;G(TG1#umgt__sV$6 z&ENukAyOj(sbe)pSy_0eUjM5su#iObNYrDwI&;b3-+UsKFdq+0F6PS$ec%;4l1r=g{d1QTyA;r19cnM5Vq8BqjkNzGAJY836@<56Q=vID9!=!`e( z;gln2F51s921OXxJ23zPuEUyjQANoXu$T@;sN|}lI7+f1{C%9&iJL6YA^7fkHXH;0 zQN=i7v;2I>s|p4mB5Yi(K-pJLPr>p*e!{T@WtdwISY1GOTrXSa1@6fXA^hWpQ*=^@~9GurEGco&)jAHdn3{hJ}-OCdbra{=c8(O77 z2y>+%;pD&yoaYH8(#HiMjS$A2l!4nVCi*qc3ZR%^RQ9-9#+O7-6(>W3@u>D|CXitGgGN!T&6sc03plTpT}nI`A!XU3{V6GlJ+%P49rmdNSN;VJKT zW}zayTO%#CFpOr=p10NgQjC|Gwp@WA8-u4!c}A{*xjtcVJn+ac4J8Ucb4E*p^;D?C zH7<;!Uk|*Fo4a|Dqcz(=)I-+VB9_ACAPg>Owf3-7bU2`*IjSoo&W&jFmrOP2=Bz0a zpAbw$&YOx&%T+ea4FnAmk{w@yd=mFM<(aO$>|oe%+*Dgr6+1NT0Wrfz7$Q8^Kuaz1 z{fA!v$VdO>R~xu=tJ*FEQ4oa?*S)|}{4yzgl*IyI1ei*fZi0ZQBK(esvDj54KgznP z%!3;Pn^F(90_r2hfQ>EJ*-<{peH2Cm;$xIsAU-Vw&V{MP-~~uu^V zs#=I)E~t+Zzyp(MVxeYvaGGXfa<>seqA)jQYF+Tg(*a9{oZ-Sq#;Q$C(vjuY2Jm#k zaLjdhgayo2K0&&Rg7O6J8j~@HUV^=;? z+55sjOG3vA2(}vfI4aoH}VQt2~x-K>`8ODKpV;BU+IfGPZ+j z^ELcIV8uWe=YI!Pzg#jFicud_DEQ@8H87_Ak{sK@rM-dcDH7?(tcYl(zz}qRntr~s zo_4k1Zibts?jXd2N;h98Lv7NyHcJAybghAsa_CR&ysHk4_Rs(@48BGae26g@qpi_| zBZ}-~v9vlhU!XVxSGt2Ey!(Cmkct>pj!X2Kpr)BNPX{r|rg$B2qW-Ql&sQ}F2vUf$ z2vXxsJY`N#XRE~uG<>slR@5g6P{6wX&p%ZD{Nd}Sg=S5&W;z3Bo~?B;F>1mFfmADa zkr&m^u&x?TX1c&5%4kma%nbtrH41|Kb^D@X8TBVvvl|5XPRT5~Czu#Qyo-!57UHwD zq|4f%Mw&{U9Mr9Dn3$SsB?mnCsGPzsvcSap^+*uE&U8Q+Q_+F}BRfVpd{k4B76G;V zO(=#Uy4y`~)}G{r{>wQ0&!(oDs*{9cYuKC!bBdOp5fH1SHY3eT0z?YFp!(}1h&976W7SGm;7$$UWCllevc{`CBo4UTRd zS)T^n68D0WCv4oIqV-*5qiV0ULe~EBH_u$#-0}Q6jG;p?;WGi3hOd4vn35-FR4Gt} z;CNMyxLs|1-Hao!!@GASS!b75#kCCRQVmlR{oIS1pN0<}muM6hPi1$xfsNgS31r~b zao;TW1|9Vk&R)k?!iYH!#B}szE~iF z4>KFuN8Rn5`mJ89tAP`~)Wj|)i@@0~r-2l{0@{!v?p;7`UiOd=tDs?aiy5XZI>bBR zY5_M$&x;(<(+Y4yC>3L7$N{hN5z>hr0GBXl=Ywmq$bQ^p#0aMlq!+7n9I2l~p|Lbj zt%6Rdp%a+8klV=>94SkZs1^+Ko3gvxe^dFhJ2n8U32!5NJtGt$RmXJ~>2!yAeVMoGLX71-S=aJYGeisv~DZ=^+xHc=}esa5A`UNXjS zmUBhPCBfQ8?enh3d59XYyIixT4U2)@rM(*JFT(E76~+}*1QJyY@&ez&1H0=Hz3%;f zBZ*kBy!>`nqo zeu_%N#-+=DeB#y3ANj;OfF;vq>js$doAm`JzWRfgE0?bq$ZAyjQ_?9V z>am`Ostr*CwK~a#>T5GEH1Bshjo!}@<9#7X3dcU^r z1siAxUXZ0duLgSY8IIen$<8^_)lNir0QmuetOc2mr=6<|x^mzMsUM_{y^zf5ag{Y< z+`}LXTG0{i^&sXTt5Bh`Q;0dfj7WTw3(cz$uF%>981pTG==Fpfb4Rbkcr@krOe2aM zp(@_5)eEXNCFulVM7Jj~Vpo9Uer?Q{>1wm!%6urjBT1Hqyt%#LjCBNPt4-V;oMa#| zOS}y(5w|1Bmiu9n{_dgeANywI>btHxMYY9z6UkK*jJn)Rs3^~k_s}y}l!~9*_HW@VB@<(S^E`)C20Y;;5drsgRC~ja z%ieq7pjP>-_;oiKSS7+t1@ur!-PhABZ#YUMW+|(vn88opSx58$xx`-G5|eCA>SWR+ z%QVa3njqbI#NO$twG^ImtJ>=!Mr(VE^w1{i^W$m{&-s{RS;H&LEf^kh0Xayw;f(># z`~gk}i-UX`ws{t~$b*PA%7WE956^*#N_F1pbv!56<+G5Eu%94PxFdma)*-QjaTc9r z(K;#Ir7txGjC-@D9!cYlt*`6rM6-($aZn<{-X2t0={7*^>7 z)e1L{AoK*0`)WWK&E-!lSTlCUZK9cJXoiqSzZeYou^sRVREL1pEJsv8jk(hsj`MyE zl0eEXqKcBaPE?}-oDrz1X2K{)eSR7PzJ$SXF6nN5538p|5db;`WVOIZ1J7BmL0FqW z!7a&P$(NH2ft5~jn=q?rrdt9lJxn_5U3Z}op3}JwYG9{R=7^61>?)`uU`5{28lXC^ zwxYxvgrOp;fuXiRnjF_2fCw|~>-V(P%!v>>_(zs$z0}hkVc4L&~*l&W5R$(N~LVTe%5ThxDyH342kgg|Tl_j^zd1 zuJ*nvt~1PAImJutv8sk}6;IeAL^tH%ext{0%V-~DwpX)!)e1*`xp!x_gfbmx*d; zQawXUSU1=&lzh--@1Yal@~;OVHZk^L2S3tb^%LtY|Khyb&8F$5 zAf+=2>%5uj#Q`l-6-nR>d`B(K^wB=-4DwnlFhbfQ=C|9%c$XVs=$i>xk$jx^t(I*_ z8#b8lH3yIK)_RDlK2o#2UT?9K*QSD0ZskEXU1XIlq=VpAzzQu?B#N{=fn*S>-ymwg zgERK93|h~Jk{BW|#9kkBVvO$QzE#50uCoSSS?)ul zLrQ&}S7x`V>r@DtjRqzpciCsSb{PldzRd^p?VncJEwuA#u%Uksa)3f zszKGy1(eULAe98HzbvR(CHmaWmd$7b&jpfsG2{dZ&*Hi?STxAMJ$m^KW{E-K2Fy}f z$I^pjOdxIr0$~mOO@QPInVT|gSbY#!17ca~rwlW2j+l*d+j__e7!d+5!oT)BYAO&G z3oX7?POjVKG{>DVIpjhZW?p{DglB|hYJp)g+*i%b*LX}s1Jjg8QW#G4 z8?4+5;`bSCa0cFSgI&TsBHAdY^O*<0F43EzY^aF|iQY}vE_dd4?lIpU2#Nr6IOc|a zOVYDd0lOTQ0&WivDQT#X`b%+@ugi)7y$rl$V42YF6d`1zt{Onu4tfb?dR-&yB^vmU z9SrhjNImuZL7DgKzdo|>;1}Nb`Jr_rAuVQixJbDZ;J<0`Q(rl!f;IZH1h(mW{j%4; zE-+?Xt%ZzE(<6bv_(dQ(jfz+&tQCD_K@B|^>wt7x5yLfVkzvANwgwbl9gy-hm}dt_ z=LaC^%Ra9GqCxo1VQ0}_rk_XmBbZhZ(iEb!2C)$y^SBC~Vv=n_c~}~6w_gHlOQTZg zu};wmw6mh_lbNK;wMC!VYuY0%B;OWjj=&T~CKMn#{*Go&nd&;tEHvfqBFikIx)Hns zRN+0rGckdM7@`gGj^W-3T=_H#2qRvmfJhOrjO zrigrUY$q?8t94yV^AgWP5bci72Vz>n=J293de;C`kuUm@jj?ZH=oh@AwPsFufwf}n zU(m)I=YKDngB#6~gpR(yb!D;%a&AE3UnQsZTuqc~%Fcb7~&4 z!n7AI0t{UjWWWQ!K~5B~BY3BPbn^e~Py>xp%gbm9f?2F;j|1a^LZ7~?a3nfv|+x9BF2d2alZoUN1GOy z?>fjVw~MF%dA;$ZUER+El=Z+T=*R8+1E`8;ro9ahiwX^tOBEJ;9b@=d{CpA^uiJ3- zbuas$C}@$`7aKl*XT^n9f107@F!)=PK|+Ic2`~pFv>3LE?Ed%cxLEB4+xGzTIt!Ho zCG-Ct{&m}-UwA|M7c|8y{Fd3g%4x?#K}B_!v=a%q=)l~`3+XSNyfA%j?lbK6r<+$BFF*I}D|44m6R{;pPKv zcfWRW?Uk?Cx5uTo?miG7!oy1Nfgw5g)YJJB_#|I@_$vp0(A@f3=JLti51+=vT-RH7 z?dQ8!=3Y9mxa-Bo7N5Q_w>J0HgTrg`R}MZFANtbi)u}go_CC5lvwMaAOuBaPU+y?D z_4FI3Pc*aVhS%nv8F_4oeJOi6+nPS`%Gf`y@H?9-aLH!)B2k&x1ZFn4SnYB9VeULc<#hq@hi;-nuCYB7vGE@ILO{)2j-r; zHvPb-KJ?_;(8JHI4L!DZKw>4{PUu@-BV#`szJ3sIe{pJU?!>OU&S%$Fz7pSoyK%>k_|Q-9#*~tbens!k zy?*c;%_pieYja1Zze-lt9{%dV^tpwfw&K2~-}U~-PU`r{tp57JAKVpxJ^om8{95uC zpZ$L-cYJNbgs#x$FGTs?R|jn_u`=BemCR=BD{;jrZ)Aw)gFr&aRIBJNpv%zQot$1N6xon;)~mTh2HB`PilY z{p?-5owa-IE6D~JXM?>`TR7I?A0X_~u>-_R4a8fnG}jDWjqjr;c;i|%SjP$KkG+yi z?MXJ`C&r(tInH*aY`O`y}bhj00?f%Bk*B_wzkx&W$l9- zH=adfm_9!ocryVjLtyTE@fH3EDd>AH@k53vgbg9sA`bp3f`I87Ld043>i8>)mq8FH zwt2(92QT2WqZVA9Yw1G7C?N2dPP^oT(g|< zoY7|9As38r?I^EZHhbi*Hc3n<>Q&E<-3JX%$u?q%N>|7>yD87yXL{t2d{*An{6$~5 zx~JB&?fMEn=wy4is6W`JGF8&%@3Hp ztWw_$OS;bnX!@8~Fc2ii7FP4s_vP}-(2V8MW6zv;o3q-cVh^ZXcWR+ zAG}d2s=;Eb0$|5Y$8*uD05nX|^`s){afK2WbC?}K4Nf71Cxd%~_c5~olaTO?BUQM; zY=T~)6H8SEHWYCz%s-0wO7J=ScIc2GS^^dd(46)7jUU9<34imgzxso}to*nC5gZ2F z5NWo&^L*To`(J0Z-g&pXa5ua6Q_6VZ8TYgETY5h6?Vj;^d6hl-^3Squ#9Fl&9sX6* z+)K5W8mhJ30(V#KEiFBDFDVo9&)gEsLE+4mk9wqsdy|A#Qf+iL9@T$HW&#Ka}*svuUg_ zbdjtM7pA_xig|LUsQ(wT)iGtCqgtWIcMms1N_yhIk#_N4dOm&US?`Y~M=$6V-mi}S z;!mzte&za#s4JHCORe48Bh{&A)Ur(4GFEr`AG5Q}KW4U|&@t@GZRf{Y#JR3o??T7W z^PB5!tEy+Pk4&HKSnVI_m8DX5xlW4SDX&UHrWIpXr=O8{y+2QgqHZype68?Ku|OqM z=!ZOgd#cr(C9+>m*38(&!g5d7q#qi<%1VFj?vd^7bPwT<#-+keO;bMR=Wou;+IK+t zo0htJ2Tb~s5xPaz?XINS=8t^NuRcc#$6U8=Xi>y`uz@i#-)J_u7f8x}g3u#=gx6^= ziiV`0lV>H*zS`u*#&q}ZO=nZf)IpTAXEH=}()Y{^KQ%NqEv22YX}^keJh5Z~TigmsZN$^{ zYJaIwE9}e~L-i}G*{^RChk9gNC?s8b6HgA<^v0Sr)%rUkdhZk)G3efuzO{CsSzW4b z%xBxAIeDhfOUZlXd-T+qDSvsU=7v?duX^%6(Tcb)+wY7G`7b7J)I5b@9Y|Js0LrLh_q)&Q(A-`{3gFIq{`MJD|PI^XDOaoBLu(oMT>iW_ zp%P(1MW_@)4rVh(4lOC(xDBDll9eOY6N<|GQ&>#1kZl`H5YL~npHkck%eKl#%U|e{ zY2Dm}Uuw}>s~@fS%krTCyJE0ybx5e{?Jq}%RI-AIw9|R8%_E=FTZpAs*d}B{z8?uh za|LzP5xEUw&_$@HzF|g`6jnkG(;ixq!?HfZAI^TtibcoT5Rb#?{kT~eRAWM}&rg{B zQnwL~C64Lj3qo$XOTU`_#e-8@CjMz1ha3C8u;R-ve3PWNlVc<|+8`*S4VW%<>_OKtoAJ%65#wb$Ls62^sx@Mo~NB!vb5&dEHr0Y*I zM1niL7Eg7xP?dky=il`g{VM-`Z9FyWLBcF=+0@79^j=ih?_bb6cQt9dc*CscoY z)?x_XH~8BpH2*`ro>b{t|cetP}RJ zc8@02Z}E(%k-!2o8oz)ZR^54Bn)Pl}>qo;*CFu)2?_Nv{xTF-N&RH$$$2b~zg-k5- zhpG>QR)e7CiKPvKi$mI2d&E;JdWEp2=>{Jn9t0vmL+m565H=7d?TInlLlg|Ts@3IG zNK5YH4VY)-hfRzvd;9ip-0@G}{umA!Y!~0Tu%G4*6q5O`p-*?I+01^D9v>QRr+-re zMf}2X!d|5bCzDfpmi~Lwr}-0oSJ$*}9*(V&<(lig(lhj(bC;m*mY+`(kJGkK?3 z*mXSFcw624+3~_BF+E~{ycS;=x8xmSUr3wMIvXc1F5TaszrTOE);r?OAL}MtdFoh4 z8(XZ#vP)7~&x$(!_uD=>_Q2MUt6jMo?y_UJ)Kd0Jd{`op}{J1zpf4)GLA5Q*= z-Ozpf@NxZtPUpSt@kzBH?SHf(VP4{FRxVAdW69-AlQsU%B)8wEludhB;H_Qn`Pxq` zdu$T@MN++VNv=;_zAzxA^k={F&R>7ypMKT%AM5Z(KTN39)g&MJ^k|*A$|DVT_AjcT zdkY_O0a&J@XVG8SFTZ4qXDs=YJVp*aINtxh5~>pOzUJ;YW*ur1eNWHG%e#{Asj|&) zZIfh;^kgilWC|^WHi_l!A1;`UR_c>g=0WuZZn8u6U|s(L(Imq?>*aUm_Pe$IdG(x& z(npuAZ})ne%$BL40mNQYD$kWnF1U66kly0SvcI4=);-zQoplO>lWkp7!yN;NGCiX; zawJzhw5nzw<>Z#*)_`}`F73KO_G>lawSx(NO7%w`(L0S&-P&yF^l)OI*ab?7QMA)JL5X#JA0?4GWn9+vdoiTb1ZGG-oMQrI!@c{%m5wXu1}S7 z<72zK{`Sfp|MAv0kemeDjqJG1oRK;e|D93o@QxX6#`K3?b?1+A!|ETmjHO+D+5r}K z-HY~f_VU)O-%~p#*_a`iRgFBBvuJduBLlV_b>~DMznc48y?c@P-=^7KTCn@-3ojq* za6W7|nGxM|%GDMNg+gmMPF_uVL|^mnm6&>r_;oeQR&x0MM4>vJ-%2va-*)U$(?RR3 zACm1h>y-O7<29-KIC0E$lb@xdHKfpILER`dFt-J}O<*A{tusgz*M$pXKN8Kbvwd@7?;wM}Pen?^(xD zu%zt19?Lz95LBS;&DwEM(zV0lmb;uEC9w7xCg^L`Zn(xSIAw6=SUuJBDyCP05(QRG z<=We8>gQ%v^K1R6lWKV-uebT-I!cXT-{bzBIw$t{GsHEW346Fr-lFg0K9*~0&l_rm zTgG&ksUO!PyO;emRU-ZuLQYE6gDkUi%DjWCPs)A8IkW(+P7fPaow;Zxlu^Xey54$C zNDbr@PPT>IFVgNtJ>`rxO^?*e71D^!+h(~H5p{P5T6jXFPhD14rLfH1{)8Zv(wF3z zxL{nI5m)>U=Ei!;wEnfTe|PZEuO9uvIw%p=ta)dBzsFtvx;&@;Ez3%>bMokLpMIH= z+buIGjE!*T{fQ|zH`%tDL~imSt(SR`JG+9z8Bi$1O!Zd4ylCP#Eh z-zMuV;)3EzdfM-|`5>9KgaxrJXWhVd`48yHQCpIh2iRy*j@<0=PGdwzQg}{VHanG| zR2yocHqtzG$+TSiAzLlc?I}!K|9`1^8@M*gbZvY{15Il`GQ$8vnnIpo0uu;eDAv^N zx|v}JLmHsOw5DCHlBPASU0u59L65te8HO;V6a-_p+wIl{Ll$uq_nF-;dpynp>m6ZO(c{&If zU5Az+y(SHL_*(-`paM|mlzM)p$YcmiV}L7A``FRJ3x-sLy)C=KpX1f!CMv0r zmh4WKVG1I%t7hLoL2k%ED1Ar3NX&%?EotF%nP?!{uyGcg*N) z*>->sZ$JJt1uO!g@o7lwPtjVdl}wUe zibav(aT(SPJ3G{<%Iv9|WQB1xYGs#_OZW-VZ#c%k*o3&VRRO#J^eDBa#YI)pq2V?r z;n?%&V~_na`pbW+|9P_+m%x&1VvmR=dP-f(c!n7b0nEM|@Hq+GfpJ1oh&rjgUKR

    mk;^sImy^0&`a1An>Js^-b z7HXEM;30t~=__g?L(*D-Y~W?8!s;&C0y#51Z`Av`N<9J-Bk0~aviNekLWoc~p}%Kv zh+vA9OR_xw&3FFg?<?3eu>EiZ)m`G2-v zfAP#eXP&-!vA03k4tYdvz=2^g9#kEJE=A`69vH-QCXkHGER8cybG1@jano56N5h(% zj$y!Mcf=ez0|!S;|LCJ2Q(C7w7`vnw6-;NslF(dSeUBEzH+7C-hS~_1joC~Z#sLA< zC~k`5M$j_^X6h1>Uz)B-#OR?w;Jv3$jblMv-)j<3`Uwb&YC=5b2g%eWv>-vQ z%$Oh;Z^}o90(ELvyyzx;CQ#cHpVlb`ZfYW8Nmyihw9%^73b!4PKj1<(F zF}^tj0^&hft8>5_9Sab6hzl}Q2a92zGwHM|M(~grHU&(WM-Dj|5v!&{nXndzi5a0A zNjk97+8htY>mED>qV?&cnxeU|ew78+01^m5$O1iCQzj@Dz(qqGfz6X1F2-PThJK1(&K;mttpn~E%CSsbBr2T})O67Dq-i-1 zp?FaeQwAJ3tCN&op5aVdf-tFEcn>TR3S1}5Y)p(597|e6TS&oP6S4P18q*f>w9e7u z2us``rH4$QEG?rZisg*xaa@7gShN(f_#Ifnv>eYB`IrM*wp1I^nY4}|a4(+Df^tw8 zK>J0F3Bf9L$8tP1N;lc7An^J6F4L`R&X7a!h;MavQXtBk$!kL_LNbQpjtrFvftInh zIi1JUIgsx`G8h`=0L_eL=5iR17{Mm%heN|TFzQ$+EJVgbix7+JOiBifOYO#Chf)=i zY?7&#Q6X=ffy3BWb&_7!O=n~D~TD=G% zW4>!~&r#;)U@JU8$qMmDkE3O6i~zs_9x&;malo;QI!h%N;S+3< zbzmwZECoOkk-@4CY`~%2U@7oaiyW+YU`bZL>G&?JU!nC?kU(KKLaR%J6T`$sM5Y*X ztU$~l(2GbX5v&S{bTP&XG8vNfI+;2R|;vLK#Ov@*bd<86izKk1~4T&es^KC}vSgfdy-U7$hGA!Hen; zte)ufMARfx;EUjx)Z);JqzR*=p)3QXRgFxBo>gI#VD_X5k_YewdRWBI$1@M<^cvZL zSs;tDd!J6ftP|37dB~l^%o7hYv^H%wG@W>W z2tizA)5)0+Nq{DJTMWMx@S`s`s-1V9g()QCprtA&sXeqTt3Xvm}3 zQWd-)vmN+3Cw!L-GQ_~s;CWe!QF<^k6f{)-L4#KZ3utnH2Qk?NL66B`YXwEbsuYn^ zP2QuL8iLZE@d+UslZC3diG$${w|WZ?MIc%fBQ6GN6$#6rWvGkAWl>57{q&N0OiSxW zVRj}Kad3d0;n0zii5vAeV5Akx_MT1x#*X9MiO_n_=mMmS}`wb25 zhvIs$5Io1m$q-C4BET=?A}~t+&^KQ{V0iPkRlkJ)hHVL|(e^;GB9e8a#ROb1u(K$Q zL)AEt))e1}`Olzbs@91kjRS^zddLSH%Sb{b6&S~4h5~7REm+MO1!+PVGOm(B2ntB) zZCpn;4A@Z8q=7-BqZHtxz+GtqTnIQjFk~@JehL#rglR`ZSdvF;U!8EFT`v}nLv0=dpR&YhP2@`NtCpfiSY2WqOEo_h7;V+12q5b<)fR)(KP9 z<22{%6+&Yrqe=blKay00Rko8SE#?V)XRm+Prn4^gv*CgDL{?KXrH*+QIMWlN#U+qg zqp#OQhRKS-Z4EBh3OP4OEuk&fiLR)T61)~$P24QXqx*%o7-eTczcE0H+q%5vbW@L~ z<+ymT;!sIdpWv|$R6a{i(KafNI5#W235Wng&54i1IY|4)W1G45`}YoZ>~Ktx`NOLP zAbbyykK6BJYnjAW#@}DK?VuO-2bCwSK+FqS4^0my(#O6uxApcf^Z$0U(U&8#7afuNifQe}1v!_w#%<#r zQ_`l-W&r{r+uGc~c{suDUsAS+hOs0BC|w&Hpvo=?sAT7@|3<#r2;*!rK8 zRz4%lY-6$^YR*s_NhhoQ@3lh>gv(E~-0LzYE;e(Mznvuq?i=;Jz|YBzZNFFD%#$FN z_~!F*XMRNLcuEz<*FRkO$d^~X(0}urjknr=tHb-Uw}Jhdjk~<9{z-K&Ia(+(JG&S| zRaGM!QrpGF^}F4Z9BP+`n;3E@GCYy|59NQX=l$X@>hMGABrit`&i#qY9wv{seL~CP z>m{}`AIpK4ita<=Y2u5z`$!M{FT%slN+O^29sDtwe5l?(T1Yjc=l4D_01)y9c&;iWTDY>Z)F}uO{XuC+TbcuJ?b}o!Co#m>BOG z{SKpg_GjN6s~xSX%qEyyk0&3f$sOK8J}zEE)B%$HH9oZG{t2Nv zKQfmlR~L}o!dJOnuXhtet6HRQaQCKZWBV#wHybVC+~HHW9PJ(7W&eWd8g2399O0~%FYt6kr(;N(Lo~>P&UxRlwtUy+HeXVe2(T@{v{$z1|PM_u_iD1 z?v9mzjNa@a?A@Vx*OkNKyY6SbW$~B1fAnp9&GoMPYAn4I z7GCg`#s59G$rY6k4Fwins;3VPF$*Ue;+E(4k_+*M_)F4nq^8}%2jctrBjkI>K5+dv z>_JACc?o|LZz?6c79TtxG4s!!CFgBsK6=(WPI$j9yhGmDHGdD8xl0_GKAqLxEyb{p z=6eU4%K881o~>u*ljWBT&GI<^$J$rO&E1u=&_@CAe>>;nFcUI=A ztGS*|G~rX=->MX9Rz^O))xT2=*sgg_Rf$@lgEX>^C1jjZZwI;Djv^gY$)lej0NlgMkfq+Q zY#hrcG&vDTA%{usOomMNn6We%J&9^XFTmnONy0bKF9;At^@|Q#fPZ`%U&8XEl7+A} z0lsFDGPp_|&=bvau0lYrlTW2K0{dFmVi7?mS0Vkmf`@lxa=={YGfdHXCbMP)sxDxY zIJQ`^;tP^vxsp$UhlO{u%2J8wAFEX}xy&>u6bKM*_J&|Cpzb@+Kn>egw1ml|{3Uo1 zo2ei{0)^b4@P~bJMmOiP-vVpu00Ttvka=`zRs0=QYQ3Dz|kUl)jLmHHfU=a0ZAalJRr;+M-l?6zV zcO%zt=KLTrsUd)yjzT$@grlVc*b-HTBuPKOHWT_$p3nzO=|TY^@F6n@aN5$aAsqoi zeEJn}1WsOnT{EZ9PQkv7c@POj)NmnV z{|rhS+TIY!wXvF@mnZ?}AzEXZLZon-oagqjH3{;Riy&}f^Rewu<@?R-H#JgUl3f%b z2ZoyaEqVPVT<*^H=GlipNiDagU$t1}R!$!9-F~^ocX#w}ZItjT742rd-7MZY@M?1b zX)7Rs6y@Zp@VJ!m4Um}^zk?IgGU#>bNkJ)q&>#R z_49bj52VINEZniU7(5f)!7aiU6CXa0A8Ij9qH%K4h-tzvK{3JrZD{fIn%9Yww)dzR zOHu_6Tt86+8g0dO-U8t$&REnz zjGtwmTD9|z4LggdZ|><9zxG7LQxU};`&Y6Y&nMcOcdo%_OX9ir#K|pIIr;{BiX+DR zpYN3BHlVws8{4Qw_7N&!2?bg_cs{2u-U(iZ{{= z{z#0vf-LM=+69H-N@{@7g0JP7xCdl z_Uf7DW>@*Z1q32La=3H2_@&hU9oE}_D$cB1N+m&Vc_qaax8YND@N*!He+VtVb+S72XiTCYpCl`THdIhH=eY2RF|=9c#!meDu1V$oriXRjE#3h*i&lr zDiQ{H5kBQ_=VrIZ3v2CJ&Hdf%GjFi-(P!j$q!Ahavd-KwS8r;wD{Fdp052qGHG=9E z7E3=WBt7_?)07_W-kuh!hPp^oQBzFWM=_wtGF&OJgL!-;*1W%}9QCasm{<+SCimYB zXxcSG0ERsRhwBH#3jVouGBL1=7?~VxqJIB|lM4`Qfx!R))ffvMaaXZGObWuzTt3hg zA9(!zm7koyHHl{NQ)ZoMFDWGS)y_+rV@ixcOcG-cMXF75+gOE<7dOMN5eQ+&YX!ab&VDYukfjNE9@C9Dx z@?d1=3963ey7PymIz=)NL5H1KD+#TZOlDIUl)FpFLAg6kD%H0Wf;VcK_jHTe{L(3w zC5V2ixHDizGiLM{tNR|3_BD%@kU`sr=MdtA%a)g8YGadOJOTPHW4L-BWmrK<*}M>- z9o`;(nVEW>u7$j>NC+8L&dZui9;N)%RyN!#AbEQ@*vaZq2fl=;)ok95-9GK5rktAwCABN5?ZwS zICYVcmm1OyszKQv`82F)4!Fb#5Fp`?Q}CjRnIz&a&H`t&fVfa82`jclu_Crwk`>}+ z5g1AP`C4C0R?UVH3G5e$Aj<)jS1N~q(!mX=dJYPU2De3rMLy5d?UkFQZk(704F!C! zC)h|H=Mbddj3}{6cmW6H`{rzZ1$k7%TbpSLgT7sM1fVt z7(DUH#Y0~tfA?YU%6qro8lb0%w(2>x(Y(rb#`Y(czwY_wyZbGlxBfo*^45O>GCxvP z7**P*Kk$vbbm3m&PnSJk@gMH$vj~IFvFem%#xg5Ty>wly@qXpmmw#bu9Xp>_!WZm% z&ce^EOCIqYDJxJ;dbp85JDShkM{V;z0JC#R<)~$<|62Uz=E9oB3CrBj=ciBdxxbqH zH)gKjMd|_M8P6|1jZaC}I!l}9h9bL0sL!@em%ldoM$MSL3AKYZt}}ZN=jB^0|{)(KR zZE32tKl^svGRHs1itoHN5}A)a=NW)Mn+Fz{!raGC^K!^jQpEEx%>*81QC)hdkQhuLja0ls>v!&-t$9WtHpNG;_BZ|U7+`^ds~T3$M$T1LL_sp zrb=<#_aoo+@*h8Z|H^Mp+!_^AC_sj57Er1Qkc7=IZ+(OLh$1F>#R;{&S-?}=;i={i zCsw0IB#pHMYYYvDbZFsBijJ z&OW%0eF-c#H^SQr4m{ooT}p1{cHBV?NU3tmA!?r7LR1&z)+vR#r{Z~Bm}{d(x3DAB zxqOTC%sLNPZ+vcp3H)1pKMg=&it==`@0O14Gnit%+X{dlTHWB>7we+;(_od9LK|E% z|0_QBCQ&T6;j;<<9oO4zUwx!P|Exr6t~5tM(5L?BaGkR_x%g5Sm-qU9B<)B0xc$_h zPxTD$Bb~_+#l1Kl=6~e-=kK1FUg=IFz|&hix5gb<)pBN`HHAK>-YEU32P6R!jZ_CN z_>4-`;i*j@AmbE1P7WSnWv6Q09p%A+L%mbBUbGHeRq$93*Gn8bQZwPiWB%fjtm2-y zk&dsXXAA9(9l0L~?M!SUoA46<3f#)HV#HN*B-kGjM>;1P`<-r~NYhkokK=M4dW-M0 zQe=g_=94xKv@zwi&>``|!h-E>$PoZ!u=+)NjognTWZt*ad^~U`&|1`JUwoJxIBM_4 z`%&7Dy8IAy2c27k0yfn`fybIh0!YnJ0DWN)Mk_cu zZ?{MdbhGJBVAGu-O-Zx-^z*_3-K{q7A5>OBsPs%XF_IvpHay4{^b{98#kS$suc&1~ zwGu^q!NPo5%AH7hi<5zpWSIYb~`sb0Pd%bXue^R8M^nLZ@b5GT-Ot#-_ ze25~DSKYvg=r~1ADq!j$XPe@lCS{0+L`bMJUXY=aWU>@E89LU%W$0IsCjUlyDTnnt zK;#4Jpm7B0$U>ANWkh`a9!CI}9U#OWA}MN-Qhk)g(k4cL$cRh`hJ3Qxlz}WD_Z&b^ zFIT$7_|paw>o+mzGLQR-TwVjZ{jv_<$i$F7Q;ZWiB83gGTW}1USQ zs1=}J9O4g3facKQu-bw^(G<}7dg3L$`Eh&QB#T9v+|b`ZfJ>7Y=rzWS#x zn@#^P|MF%NWT9bL0jL5o`ANkv%`#wsDZg)@tVeRy09DF>rZNqyGBhpfP_GN%4!yAc z%w|+5CH!WPQ7A%YsNc-%V;vyoDdsR)JieO*Zafa^3T~7ol9MpdL4JxK2EzS*z99x8 ziM0_37JcY2pEND4_W-k7+Q5{_qy!dsDpt)(y?p3Zs7u-WJYsGHjUIyx2SCGRqG;kq z%!!bMn^#1E*>Vt?f?`2;J=Q6iSCE4cJr<)yEX3#BaVkq8B)e5K_Q<7)U$l0M3qxP!$qJW!*be^=rCxbS46vWf{v6U*!23VU%aEg-g&FB zme$U4AeBkAvgfw9CyOF8!>t!)rUojgv;0KrI$E!MRg`wc>9V5NCX6#y!{nV42dz?Z zu;O4bu?O}3^-jF^NBP4umT~H1G_T6Ns+yN5Q2%^?FoYEw|fNF@3>>n2ae!s$86PtWWLfRdc;Z;BAO{}Kh z9;JS>`fIA2ta>4*eYkD3%FG4Jx#(YAFHE(N$p`r8wf~N^ueXr%J^SRx; ze(Y>MKh0ii?Lu!mXZh9$H*YNQtgD)l;J`iEmmd(Xw~gAkF7s- z{v-E0@n?NR_bQbX9UXL4<>oKw}`|v|m zhL(W~bhmZJ_>szv%HiT*$zW~*%}ng2X09kR>(b%t%)wZ%7@w=!k{b&aFlLl_ud~Oxjh$7S^xy+o!X`49eGxTfr z#Hw9y_p8^~wP|jdzstFRLnzB6K{nz=Fo}ulkvrtqirV=f z-SgQ;Pt~0ND^Fc}qFelI?-Vspv31lhh<_z3anxVjxicI8%sGY5{|LJ7*Fe-ett3{h z{R7*IK2o9I&emMsu{<2wYu^jnS+B1PuK%3sNPX1fDe(R$a}#q_rO%} zh+15{2dv{?*HE8NP&4_LsF`&$09vh&P*0)R@LUpl3;(PR|BOG-TeErssFL4xc3fjz z)Y`4R7rS1JPg^VC*E3#X+oZKT*J~Pt3D#Nqv6fKd5w9 zftNzDH_hcj6zt`(!%olnx*w32+GAZt3q-*$9=}s5du^@qRLy4-ZO!NZ!uLM3n(wO{ zj(1UmeMoLC8sX=N?~=698Qi8k!ms{)7=70Iiykxww^<*~PO5Xc+w5IR!GW5Z%X@^! z?aE&JbnAQ6NANp%fqHX&Z+oW{yDC)(0n+g}doIu4Q*)~4V=Mf!;zZ91s`tJ<%Ji|+ z2EMl?kMErz3-<0uy+3$!eJS~?MC@&2QR?@j>y+ja9D|dQt-C`q30{Gak zlC747c%_HTJjh>nZ9|Pb)fEM$7xjLmoFtW2w2+pAFt>~BSNwgJX^1#{y?iz^esC(@ z79Z$ydFCvQLzN;Qf6j~&NBAR3yuh=X1d{Aj{8eV2DC9jO%5ivq8hu4XpX13-_$OQw z=-Gu%Yv05AVGn^@*N~!aVU4rjj5ZW7}QH$~bBjCoHqB@k0ydvdC&nS7&*& z!Mxg4LF_m8iLTcxJJI++{5d7oe9{B{adWS@39tEuP9&f+2XWi zf*)6>;$Mml=m_6LDw8~f8J0TFYO&W-Xhx^t6M>i6MyQDPlI6w+(q)|L_`|NzN(8ar zw54rmu#q<$+|uiU&=5p?nxYV%Pf6442RP80fsH#!PFpUEr(9D^n>n#sECYcO1YhAE zg3<$UCLFY{@)BFlmy`EFY7JQG^MrmR`iTdmp33NF2@6#FLZUxnNC2ICR-7jwcLE*z z;*0-W*m!O7mN8u6GDzAco|NYakm5_197{qlO=QJ|S5b!B+-gy7MDI!{CWo0>zEt!V zbb<>30W#&PPaHIeY|tgo@nlG?GV&jQjP?|I!X;f|AS*I3!pItwE5Ro@#3<4+6bh@*b0vVm(=U>Hj<{KH3k_i%8I!7K8AKtu`Y?-PwM@~p*F-Pj3|p0Atl0h zbyh?x$stWaCuqtHD0+TADn}@7Sd1MN1e*WH=#S@oD|7xgdY^(>F9@~5wy#fCwphfnepbC8`A3e*yCG*Cez8+}GY%Fp(mJ^7u7ozm6Qv)`t6pBgEC0p)h!!3v;k zoHKAAqjQGZb3`vHCDU!*YCo}hdt~&Q<*keR{cnxlnDU@3919^rI&S;5(Fs!@gb57~ z?dQ+eJ<|ZrbaUBjcPf>`&$sh!HRnH`T7TgZ`-k>R?B}iQI59;vABSkFrHm|wtPT=D zjj?qK{h|E}nDHX^MB%#ceWYF)IKp;t6MNdZiGcDr8_3(<`!B0o;1}&%etC^PISMD^O{cbuIDp94IL*xv*Vm?(PQudD$Jm9|o&px#zM zUGTIuZfk3(>7B^)_il%DZ@SMqz!|jCzaOoDa>+P!*@ZQ>v0FXX4UWY;l*B6;`%zCN z_3qT&np&P+>^B)+Bw}aJzkUgIB<9GooN5LZt=r*ZXCdN$BBNrj4bIaIItbREP&sBH~4_9 z-~+bL; zFTXslztvZQ-yod|CV}oS@bYFE0AFm-aops;Pgw*v0<0DGy9A(r8tEJ(S6ct?mSKX2=@&9g*#CdaaNK&H z{_p?yUmza=hoq>qbODBGLlmWGL+}U#b!{EssbSNGR@%S0@~HkFFaA0GG<|ff$E4LW zxL>a|a2A!9RUMehP8!1yC}rRrn~MuK&Lb5^zq#wd^fQn(@f`RFq=-NosBL=kK-=q+ z2fi9VFg*|QOHD5EGt0s&FOPRbKXvUTKLstDr!1ho#5R@J`yVaeH8u3)*1xpC?}n@E z8sjJLiH=>|U6zIPkmi=oEi-mwV{S)(GT=+#r^oaPQ z=*i8|S^w4T?qAGr{Zr5de>S+TC?~g$Ux}V1#utVP$lk5nq9>iFzq+Syv+@;q-R1Mu zC(Y&8Ua7RCu9n~Yv(Z9ZCl{|a_jhI z@#+wKC~Cf*=mT*kZ|4&W&;DZXa~rl^i@v<~=i4jx_W9nM6DwWkJ_UHo11HjYN-v4? z&CiIiP~h4MYa#a(?)FUEuUZ~CebV#Zr=Gd72dqK(Qy!f697#P;ZUM)8JE%AH?b`Ym z%bewrJNgLnBhOspHp`>r>+L}hTxxtE-NygAb;9z*)@cZnE_<$~g_-8~e~I>0$4>F{ zU$2FWcwrO+&+T>l!=zs)w$SeRmAaM=Px$rZvC$Klpp0C-OQg z-y4}@-x}&8peL?ug?z%5baz-Do*tSXcZnjM4)tE7%kcb zp$GFsa4MG82I4OV!Srs0DA7RG&x2ai{AQvq`LZhyDi#z##Q(A<7ec$SRiO7c?=dj* zxxZ$Dj}k;Xf7y~O=08J|o_|xu3Q1*rV4fIgKw)K96EU#cl@F)GPg!O?bD|ry#bLoM zzm*3;`*=yTG(YG%xPWr`=^}t3xf)Oxe?Quf`dsPv&BY2Vp_NkUz;B{#M~UnHSRbe@ z*}o$CN9(M0Q?1l`Jp$Wm; z^JH3VY5d?`;$bJ+OT_#5=-(mHG-&`YZJ;gID$Y9%!mheliSjakgx?kogXGmd@WRq> z&qHWAKTgy|OJc?GDT}{AIR@$Ao9}L^G^6T1w98)yOB>MG@&R)I-u0y4o%6XZ5b2VA zL#gZEI{J6@!CPGnWEeUnfV>8{D=z!`EmPZpx3*y|EkZ#UT`kRv>{0n?63yO8ak$9NcVDb{HLvW1r=2IOv z_Lv6t>2DLi{`>EK^XW?N57^DEAF7pUL$tP-$5;wN(HpPoo<^7-FyPt{hY8DgK-$;B zDS?fcfP*)OMjaSbXbU=k1tw8o`{BhPWX%I8gv)SJst7P>twF$>LoB5+DmqBBV~PL= z;4wzZ2&uq#;Y=7w>u|!6A?pE+pEj`>p(;JLC4?;l6RE*L=MPS05fILJjYEa6P&tOw z!qo^cuMe9*Z*1ke@vqnZ=av8YGkh++Wzwv$SUDpDs5)4ugn&xW$qB?_Dg;Ya2#UX{ zVNN)vU*;*uFeo-CN4XU3&1WWSSRWsuV)=-Uh6MpEgo|VDGn3Vz{^8KjikjcTYdFUu zDwZo`AY2h)HRC}+5;*_9bEqc_yc|rBmkUOm$RwA5wE23;78=%jnPM^t@cm{tBS)DI zK)hi~IW4DJk>tz>QDWSb;0--dlhj5HxB;VyF^u1eV*xO#n68w>e2j(CHj-AJ$+BSy zgGvj;1m3UmcW_?dR<*3A6^ABb>9;nw5Ds9Oph;SxG#gL@`(wlWZ4J<%=?&OPFzHNA?M>eVMje*Pjo$pqp7f}OBfzf~*ahh?gs}@2RpOv`(=JAO%)^q= zvJ@Oz!LB|0_Mu;_{MefQC)f;A%z$06^36op^%4=!mO9_XlR1ny$2dWdv=n1M4c4T- z%f5$BwXY0>C$`){<_J^llkaB+7J|;h?Cx&P&xt&H(*dcvJ06_4JY8C8=GtCoK@TSw*K z(r$qWttqwRVePORAaA%dO1+3f5n4*p1IQ(`gm2+Y0NB|kY$mb2vPx(xrbXVt4ygc# zQtq$lCeB<^IBuzsrM@CBt$Vm6Y~;!?;>0aa-+Mzf$y@UNGsQh96d-avcAzwcXNcW|+W(+U>_Ykhhqy?Fg}v@}4v`|B zu~A)=RE1=%Inc?;jq+MkFbDp$!`-MNtFR1l$!FN`tBjWVJ^7uOtn26)49jY!+(;bA(mx`p5=pJj!B&R+ZFNhdi}FodX4^!O#iC z4JFj3TEzYbi42!etwuP-78*T@4FDTXUPN``i*jHZ5UTZ9KM6MAbP1(L$y$6=plD+i z$5JdY+J(D8=D86U3bznn{P4`Rf1J71L}FOL>v^7QL3&Ekh2o&N(eTp{ej8AIgg?t# zAhYr^F34a?z$DZn=Q2*@mK8564reL6z0|BVUB=b3M^z@#QXq!J_3DF3ZcJIK1XNlw zi5b#^^tj5YfIr2CWjJ!%KO<;8scH_7cScIyu?J-sK$Zk~` zR|6qXOeWuiN1qG^NFe=y6vxuq^OjFX74a{1z6cPj~D6o2#517)b zz#1eARwA=S1@(|JYPXQ^h9qJuXdT!nQ-6q)yXOrNg~z0?>wkCY*DEc@ZVeUY`Biwh zb)X=}5o{nDb$Lh4mcc?=9d*Qe5tCaTJ6|fkt4uPGX=|e<1FeY( zk~;Uj7JoRqvz4DFo^2#uLUFRu9jezfSX@l9;KI-G2z8p9WJ$(X;DxGV(LH7+e0i_j zruJ`$F#B4}XnP&WelPh8x)QHXu09v6;bPsJnWf4rwEyRz#0_?7-0Ll8d)MYE1$#HK z+yt5IHcWDtzX{5NX6m}n_x zHa#icDVB?@{xW@ut#gUwANaYgn^oUFq17@-5ATl#3=ecL7ZlJ8qa z_ol}D^F^*bjrb!jg>iQiO#SEecR4p*%$NJadt+ijaogLg*Z=c^{?(hYK89UGlsULt@m|^q(~mUxd$#d_1cF~` zm9H*kPBb?bWp5wNlT3r5mV*+TNFL)npg&mD)t zetm+h$20PYOQ-$&$X+^34?7O-BZEC;G!`Z&?^$Nh^i|6er}L^zL#b((Xr*>IYv+pMwTh2_`&V~-^Vu)2RR8VffX<|Pux9mHS~O%(MPiEWF~BkKW2zCy zlloM#_gpdC3kF$6Ze5YI$4x8_p7Gu8TO|RGM4^IiB6+l0wh%2v6o=O||JemK(jjU!TkddDKv#$oUUCq!G`xq}HC}JoT`uY;Rx=K3_Bvud>rt+GpfN zZE2fF+NVZrRbjm4gfRJD(vsh~M>LX9`OY|+%su>!*hDcI=Vc$M*K#2>A?n5dqD8W$ z&rifgMJRm3Pu5Jnq7cD`UDd2XxCB+K?!uF+08Zv_!x(+s2<=*=Qsw1ed z8v7Pe%wJN)jd!S>sRODI|F$ZdMQ&_=zSts^0{SV~XjAV1_^C}P;H<32P2c?p-cvgI zsQ2D4ezx)I?(g4hNsWSA-Mqp{x|j)ifs=tEt3-0-nzT!8K?KTXa+649jV|3WPQ(+T z3C<&ie*Z1towSf4p+98M36UJpK8OT8xD$hdNarGVw2bW#<`}>nxr29rcHt?%|lD zSq0cIr_nF+WR%o^Jxqe79W|lTqTl2-T_mSi3<5i6e4g!eV?27pqzQ@VR57G^8l3)R zhNwwgB9r_IhK33@vDg+Wiwz6)BEfxq?q~madT#CQx8_NOR7RcbMJ_Y)hFQfxr-o8< zROuk8SXp2+@6D0XB48c$Go@$=AsYp+W0|4|Qu5(lR3-h4tm8~0s-IxowC0h1M23aY zxIqkvIsHl^Br~2zD8ccVs#2+>Nl}A6d8*m@+yQSVdrz;{yX zWQggd{%A2zZ4o5VfY_>CqP$2^*xHd%K?|j;*u{PZy+Cn%wSxrcdBR{HiYvO{?} z9ITd@LB-VswU0KiY1a-{G4Fk#EFt?D+C*ur0ycOd8|rWYLz#uJ&kYInL<3)qyP-l% zv#MA{%&s~ble8k<$qrj_uSwZ!%m@LVE~S>y52iNCH8fbvES_SQQWE9wBz$36)00NE zZy@y`EwjAX(O*i{$9f2DDMj4ViziJ?OF-hmn{_3GVb)z`tWq7drKdevLjN&b(S@3o zGs}5NYdCUc5g!-cA|)fG}CXOBqotXWx7HDp>$pCE4|LxZNtW3rH&>if-; zUli-VAdlW`5^YElj**rYZBprF>nXK=O!+M?ot8L{Qf;EASo%0QsF-}+wB#+XiikVI zY^%tLE!~37MYSJL1V!OCLDE^`gP~LnJ1Z}PRNdqBK(btebCEF^Ln$xf%?+LL3mqh*2;EGsi*fBw}EzhLN@-sQKGFuLuF!s0YqQ@+? z!O+lZROamDt2?=eIA`^Q*xfI5Mq;((O4K+d%(GM-s#oa@uA?@yjGkk9`RCar5Eqol zyYV7vIcpRj@0nAiFlo$G;$f#S5tcpRcaTZBktIwMpc+QLuIy*?nemv|n!gBF@3De9 z&1h}(B87j#2%jqP`$T0i3G=3YMURDi<6Ub@35>{Z-fFtozM|~UH(T;HyvKk~LJ|N^ zFbj*we01J4nz$ED*`v)KdCg7MtQP30!q(MVEKAvxpn6 zgOr#cme7KeUqM?Z2cYa}W@1Mk?&j&iyjrWV>UM6Y>HnkYZQ!D~uEzgiU35jFJHr6O ziZFL}7G{^XKsIKzHrcrhIIIX^rH0rv23!qVn-oecvH7Jt!z>Jl5XdGW=0$gfWU(d| z)HLb;`8}1D*p1E0PioRUX+P-$VuB`Z%8RL*#Qe|3bQ0EKX4u_1=gz(NoO{mqOslPZ zl5;oN*UgcOyj5vu^a(DE10pGYT1HwFy}>LGDA!%@?xG4 z5@Puoco#>T`B*tD{M69Ao2YfmQ@~1qJ`wvAf!?TTxLh@;+}%>xtv5U%y?hEHND>+F z2DN*L6)${yL;Kh4;eXw{UxON_yWk^$m5~V}rJJP$)&MIgLIMy_R3fPYR#sFdD2byjRO2B+!;4iO zO91s1jXJ9t*<@}h*db%pp}$|Txxc;t5M2M=Ryh_m13?DPp*Tq|AxBd+uqXyIDLG!h zBjM*d!M8!Ivze#^Q{&%Vfs;zY-7@e=h)H=YKfm5jECPA`;-_C8z4hC_J@DP_5Q&!Y3UU!|;(i10 z>C0*(Ys?X15cLc~PK5PZxF|uVH3Oc-F6QQHsgP)WEIR`gtKl3Z%g zQ)K834gRn>Ck;p|T2xou;2=!^{K_c-)CBiul+6e)mQ6tLF>}adgSr8Us-Xb-qa+UK zNCxO;55W^@aFgICg-m8-(y6-%Xy^j=0#Mg2DdHfe@Ae8TDN+Pd2!pnvM1KJIJAM8H zMlQ!tqYa2h91N{di88~C)XXq#2E>|DpxUF%B!Ls$i|vrEj0mrmHm>){{v{~r=l|CJ zE%~pnpLXB8zXT6|4uxE1PBk*R#F;}n?qs+maES1=>y%(2Pniu57&JRaEK!9+OAu%v zP=P67oCWtgu)Jj*73TtTQ5!%k62vW8ltWR&;Ac?OrE0E{$jSY-QbEKM9N`Oih)6z? z6$6byI5M%Ed7hSDdK>)uD?}9`c*BVZk%$$;t(`b*=HLcU&Hc2S2It1dyw|Vh z7)zBBsM)rJcdJSWrvSSM6ZTXh2l|^eH0KshY6u=Po&f$AU|o1F8CtsFw-P)ywSiyF zZDhgqO(gU1gp=50)fFniU1R3pF*j7glkqmg%8LaQW=ogzjCq>mj2xoC{j8d|yPN8W zMHEjM+(sm_{Gi~6MRtl$P*bqcfeh>kc!&wvfUzf4gn^>}ZnWaA{omI8{45K{VKZUX zOc^v5I^%ee3mw=RnY*$oX>m6PFH5kx)L=`qs&F;Rq`j5hIbo1iI@&s+|jcij6wW+Q=T zh7F=QfrF*`xNZqTNTdiRNnT1BMAl=J#vqFwRu)X0LLXv`r3?iN03eoxTL}W8Xm3W=h%iUF395ut{7a!sI}P1#8I=eaG3Z}IiW?pz zmdJtn=`e+fk4cbq%n)Pl70;00TtBs7`EC47VUyM#xo=(AU4L}yNMPS2x49~RWo&I- zSlFg4Dam1+`=x9KpGKNT`Ml!1tc+5IF5fd%!nl;$-;gVRP`Q9srcs4MX^gs@URAeS z3RhZ3Mt!-1RpHL^!mE5{$g}JA8cFH8{&~A7UBW`+lU?XU&E%Om`%g#~&*ePD2?Fh7 zaZr6}>~)+HtIR)>3dJ_|xeAN3Np3m!4tD^*j~`Qcs^lf!S@`yo%#)5x!CN2{SRLD1 z^jcf=&kf`t-q6pb)zea#Zp-J^+?iWGt~Xiv%I1bmU1Q^KTN|`$$}>f~Ah3~i5p8S@=KpKtYlCw_v6y+T^7haCk28F6myTzYWt;QKMcg%O zL8fAr`tzJeDksEYYdG|W$H-&3!)H)y`R~-3-@K0R>v7(p-KaWH|AmkeE7dDzxzBj3 zIEA+qXx2t<(-|Sy_51`-u9^cO(%pLRkzB`4RlWsnR-{Qf%=SlnIpNLSOlbd>Rh%^x z`A9_IqGdjhEmumFP@%)4KZav+nlzzJiQX;D)0NsN`BYJ+Wg*pGd>q&2dUAMsf1!Ac z)FKVLnT#plI{BUap5oidvGo2^`F;77Gh)->$et#roeJOc>?*2_G;H*0l`WpvyLMI4 zWS1oUcO4Q&<*Vbz5CSslE1nKsevYb^>!yIbl3h>LW~%uK`+%`In5$K4BlM-Q3%Jj} z+d@agw<4`l&Ybr4fq%dH-a`6{iO;{+#O6%?%D&4#dfdCrd;f=jITFjRO$q<5^Z>3a zbZy;5ht_+T`kab|(^-18OZ_}>f|-(^VO^F`Q`;Hoh&HkI==03spRGN6xHv~TAT01U zamQU@scp1vRGHOadD|i1@x@CMb8TJ7yLtEZkeZ8&UZc(xPE|J6L9%!@U)q-ewQ;6O z!(ElLRy%i_$F{etg=<`!vRvDjHswCuK|5TnY}JaUyj zITM(0oe)OPf9fl@JTi8rp+l8Be7)(oiGMYHq)JOcb9|naez3N%v6|b}Z&UtfW+L^I zlG#s+w7M1?P7kjP_6lT808eqV0S~{UNEhZpFY;CN!*#BC72mNv`-F^@iItyZZc1A{ z`{vucXR`VD%Hn-w*ZULo%)IwE4NuIw4&7AQ@+}7=p6j@%mR}gI?)H3z^SppVdfhXR ze*in$Rp}UQJ?UJ~AJ#mxc;%*za`m8R*0Yk_*a$l#p;={P+bTo7L0~3PwuQdx z0?#>dmb)heJAh5%HizbFkJSdQI5v;W)#lZ0^#*>r6?skuu0-zFw<3H+*t+GZtAYKf zz`ND+)b;oGqav>j#6E19^WFt}M>h_??ES%}dyf7L{>}E@J~y~=I2U$O=LUZk%JE(a zZFQ7ir*iNW1$LUaX}kbCc#euIPXQs%!=4RrH-kmR)+_kOVzq$3vJ`EOAJU5YxGN1S z>){?QZF%4JS{hVVe80eZPv~L0XEy#3d#SneMYZS(ic{S_om*gUkpH^bLRR3~0dS>j z%uKnV6szM^VDR)Y%C$ z%QQ$d>y!AibqONW^^;+lL2e+oLDp$AmD8+g2?4ROlB1T1wyq~N~)ZRJ>^3BmM!Lzx=QET0M_6i{WHu9jr*(R6&P zN{Q1D?9Bm)ISfYG1nJ9XRQQl|ZJ5;PB(?99F&%sN+x*MrbWOOgoR(T_eR7$cuu6FN z*G1pl_1iBS?*6`4jL;YX6kBxnNA8xvLra)cmSA8iMY9T#a6mMnE)fnd4ZFr3n3+X%LJm$1V-7GI%wm|zL(#4fL}n8S>>!YON!g!(0g2*-6kjUB zA~4O78DK{Vyjw#Y1m&bI75wtjNXEEcfc)dU!h#8lI1)T3c#vjNd14s2_ioa5Vf=UhXGJ(}8N@fA zrET2P_6V@(D!a0VDhd^9Ql-YaB|`E!>X#3iU-}8^dPL?&0UBpfmSyB?Nu|p_XWLCX zDTPneF5$k%gLY-6ocz3$N$3(MjviLz;*}@zvVL#^t<-X%bT9_|XrEk~8AzX#?CEpm zRzz{W2Nl(v$nR@dL#*3gG_Lxte7xX)H66LSGj9O7W;h&EY#VRBW{#P2JaR zNENzWqJV6HR$NQk6kocQCL%7WEmKtz{*3!yhdg>U<@E*>lN^eqLVDFh!s)8PKWf}P z8s}vpgwgd@bq*j!MhOs-W!*B*4!+IFQwy&oz*K_`vQ^FLUw#YjEBfEJb^P zR;78(>ax^m2Z}wKGK$mZD^UtnlD;1KQ6z-x6hj|Jx6e59zPP9DLdI8;i>v(tsTt>VUEl0XZoTUfareWoJo(kTw|*>rFL7wp<&o^N=hcPMQ)w6U zEJ*1U$U>%iGnqJPghab(vTJ8e*UqR~sw&N=BSqANk9SNaHK;F{Um_oHx+^R9R=2>J@t@m6%4Jupcugu|#zrvddl08->SBaud5>`W*}C-O4Sm1LN9mU$+ShQhg z&{C1a6BGS>$lvT8W}$QX?H~h@GNW=b&41VxQE0tK8_WUccAsoJJG774gc~b^P^cWB zbx>Y#87W)kp-yrXmpCkB5+$L8Cz@%suZz4zKx;E#nM(zHwd+MWmG4Tf>)*gT>Ke5+ z+!9DsV-;nCwa|q@1se(+tKo7@sU@McVwHh+&Exfz&GdG@O3P8e^%N3TF;xh= zWpKCnasrWbuO45wu>{tYdiuB=&1pqTP`;u0y}7y= z>5>Fu9K73AC5W7+R#3{g&`ENGObEPP;^0%N2_El=gE26N2Emg)m?gLYy^*g;5WPmq z00BgGN0>1o2fAw47G9cx^cZbIHgYTZBXzTO8A0@Q8|G>Q3RU9YMUg@p>Q@}pErOCF zG-C09*x*j8@m54aP;IgQWe?dcICJn|YB=T|qzg3NtoFAlPF;XH4~=q=gCrl&82}4^ z%n#`bNU^KGfik}?K&C3{gk;HpY)hhcSrpEf3qqXp>Y_O82mqm?kKJ`2P^}P9pDY0K z3qZ*EoCZUhQ|P6UjLxnHIWkCTM^l=jEDlItUvt-~M4W{d_;)7ct!}r95tC~9V5i=5OkLF!0;?XC8In7aj4pMJthaS?3 z%BgEudS_@~#(hUWB0r4IagazLctWX#7wq#&;fZ6O_b1D*YFkf2z3BUgA4c|>^_!mw z6cu_x2OS^3e6Zx*&mh6%r_UE^`zy?xwCg6Jb&O1*gH3ur`WcA zQ?Y(9Z?=oMA$ApC#|MK$b2@}^(R2F8-mL|)Z&hV>@cdrywGHqNS4;PE?`eR0`nw=c zymK74Zv3~c06q7YB9C~@w%(Ta+!`)B^zd=n?1fcrpgLst}kw%Mxh5o zMZpuZd6h%6zxb1S5Iy^~cFb1v)GNYg;;{@5By@PGf!ti+JvG1oQQho@XNMmlzaBqk zLw^Pc@Lz;E$Zyz+sY}w(UAi{1{-c4B|NHUGD0AcDG2T8m)S(^Yn8Q$&+L9G4EdQpv zI`iDz`Cj_u$1z}jjK9o1qaAa2hO$d4FQECM>J#WnfrUD!{FRxNx5jHve<*zW_FpXz zT=6_{vsEmw%G4fOUAnMK9;#Jc54leFL<8!i219cm#Q?;mS3<95OT|6?UE8BfnVNr2 z6&jhg=wkHht?fzm>Px*Y=@v#vAAcxlO}&H%GP}u{?fojl)}3{o%Q@V({z7@{#m~xH z(9HUh>@Gafm?*E{UhJ4Jxa@LOJENg}v=z0KkkoBzs(`!|uNT{0%T_Bzu9;Rb$MB%d zB0AM{^rtc9orN~-co+TTEBUwKn%-^rC5k?h$RErNsY2(A2QH!f# zrLw=ILYT*j zmb>OH-SL2d8GJjQ7N%yc z4s_7Nw5+)?sq|u|e_wrt7tv8?t=2kw;y^11|-2aM~%iKOW50s)8`1^9^Kabu6=#Zu|4m!)>2lC{I1S z9%pwolvn~SOmYsIv(Nm>HS;TGBW-Q>HRP7&swpMS!V<+_ywe4`ppM5@xMmhL)TMBS zbd4#^{907rnU3qBZ-Z;7lp5unw(!w(P8bI@0LMvyvAl=hM;8b`rgO*XGUbwp2HHW~ zePha7Lfvyt+y291c93fouWrwQ!wuQ0YugL;JjCv);}J*F(Cv8`-!yruYRpPK%DKnTKYb)zMg`cj*VS;bgJNncZzw)KVEZ#4T) zmflnX0U@G`ae^F*Y|_G_Ktl(w#Yz#Z%7)B*d?l1hu!xAzRF!}UmD>ihQX=LiZlPpW zNe#QlN|BS*8_c!-HL~3iaFt?8B3l8D)JVakwIX6NkIG3FC?-P?#Tzw6hnmMGlI0RP zJxK)5?^4Kt=|hyrmx%__4*@zrfMiogF&`7tZET53W%9vxyDDmZq6#-t^+o}7saXN>#Wznv2>*1( zm(H`TU!M24fXw*Gto+lz6>3Eq)~~+Vz!obT@!Jir{a44jU)!Rqv+rmKSxe+S4GX8A zM(@WOR-*Uj2jPBX+mQ&`mwm#HSE`BJF4tyYgxxQ1M zV}7&y7{Uv?j|uRM=a%PA0fLn)p25=%3)bF-AADIK$UGUdy!K%Y^bP#p!5Yb&~bc~f!3^tQ;l%1F_AA$msxsP4j1*F$UH0U!M5%w*Tq zm#HFM|Ew?H?+p?PU?44(prCWk6 zJh%g9emRh}|A$(rqF<+Z0gQ##d8-E-IR8Ysd?Bb~N z{vS>Ua7lV;K^4rWTn*V=*1ui*&=>PMbzy*-&vMYK1?u6d`nMmF9*?Fvzi4fuXI%Xv z*U7;kSCqL8tkSNr9gjpL6AAbd6$>dAbZ=3dM!E&lpKuzJ1d1*RF-QM}*caqX=A#&G=F8w&7kLe+l)Ijv!M?`!H*5VZ3|inX3$K0ra@(8pS=Q3|EU9yWaxw5AjRIe0$PPUcK%ISXg9&SY0LeU3I&{rMTPYW@H-sy?|vD9UVb7BSnL zIsDt}hh7A&ot3Kwvq^1Kon-op@&kM=wH~LHwKff7K#f2?|1&Wl9L$2!id@|xcQXe@ z!*_PDI0=Lj=kxWWXXfdG;xFoPV^!uR(YI&YjOfeMng)U$8}we(_1f7vrpSAC1XJhK z)wwTcYOOV~D(S8>%!2iWPaxmb`(gjSpY;eHaK3WYmeZiTcM7|~AifaTdE<1a(9WDG zDwaasYe0E7GI#91r1`R|9`$9vd#_jfAtvCQM(`2)UVIT2S+qtk?ajUA9_@t zwqM6tLY4PLi1T|DX9OW5cPOu`l81RTq$fkx?=+gjS@voi1TIss5O_#MNS?M0Y((iw z-m_Ihxr2pNiv3Z1l8&G6p`IJKhdu`LYXBCt2d>GLw!PlaQx&avL8ux8b7knDrp&(0!{OMRj9~=ugTRsq-qyXklq_C z^!5(g`U|NSwU>kNMzc5*?E?5xbfs8Gbq_9RLN-vK)X+f<_4nXZvA#;)0C~T5+emQ6 zyBCLgd3$3;?RA_j$Y5B>rFa@j9WW>2Y0HDR=fRbz6;BFt-fV;?(JZb;K$?~&o}ISM zda7)>DDTO12AGxqWz-^DEwldi+{>A zdJ7gerfnmEi2(p|X@hh4Akejhje{N9Q4GQrssOJ4Qt%?0^ne6CKcPV?9`8tSqGB(6 zg;9H)t92cxYam>}_{!5ma2?eS-yIUE-}}jnhrYUp{ryWK&C_TqxUA0I>Wu+YDFT!zx)Y*y z&|L5c^FE)bUh<3#g)6nVWgqyDWq(D0dB&tC)C-H|QXORY;qh>W<04dIoq(w0WP2aQ zt6)>(ERS2fDB!aeCZyZBS&tc-|9Wf@_rhYPEaeY|{81)XrYeOcnA)s$Vqi4cYhfle zqVZsKn-^=H4Q5H76o3b{jM<0^k({d7_(=>lzApziJgZN6HNi4r8EV&HWpnXRY&|v) zW)40A*$}E))8l<6Zsfye4;0NAD_&L`!OUzd|L1M$8CPLx_M@6|CDT3o$NV7t zXvJCk?aI#zxOQ?vs0YN*;oe`AAMRydx>Pl*-hbjVHLLqBaD}d)kP-a{OJ*m|%$7D^9(!YtHeomqvtc1|!?>>XeO z@}a7%8{I{gv6<)|`^Pih-hVP6P#AU4X$x~97;2l1y_@;v8Q)#+-V2P(Pha5n;{d>Q z=LXNZ=Af@!=ee`b^WVN4-dCvpaO*+uPgayn-+WMr>B$*!`hxGS@}p<(*b80og`>=c zqoBrrz_jGEr!DH&pT_n0{Nw`Y5kB%KsHfpQLCx>8Dp!YveJ`+kU`8T+Sz-n~T6-To zv!Y2;X8#Ct3g#DYZBVlIqqJXw5;MNns(1XE-Gj6!W7KYK!Kn|80!j)#r!qr6kg#M)@R~{Pufuv{kdz?yZ`PI zj;sChUF%Ns$3cZV)=7_*9*t{I_$Siyi^Cl;s#<{gNo(;Xsil5+58A#ODop)_S3k&K z;Tj*s$3cnw8{Wq1r@cFvb}@42>hAgnGtq2jHJ}^lKT|yDuXM8fA&as*ZoPNvQ$Kxv zpYWTyA0rA_I4tLUrFK7Pd z4Bqh|243jCt=7j^{1^U@{z`qT>wW3Fx(_dGsXXlJSv%IV_ibjfo0{zU=1i8B_a>-M zd_L4t{BA09p#U`acbCfdbMGCX^lQT$JcZ3IBs_+nxWFLT*$$841G61>1`CU$Ja_X~IwnfA%}W9Yo3T>WE#maTb5856hi(b)g-#(qWLmCB9$@sTM& zb*r}(RJNn@ahu?aU+8mS7{J7mUcP3SbQUlvc={7~0MU3Z`R*TscRu(me_TDT9am>7 zZ^NTg&fGKkQcFX(_I4!(_9Xz7HBjG5x`5w=TbhP{sooj<`3%*NrTowvpQMbOJ&uoe zAII{wGOqe*yaW6zS!(W@?)nRj(Ut3}>+w8Oy#`aEZP>R*oDm;dEl&OFLt)O|IRhpE z=3E1it>#&ESIBS3~}~CRzZ`-guFtGPIxD<#K)Z=)n>k&eB$O{9&MF zMO*9ls)H^Yf=hh4LS=}#*qFrkIV^Hx#mI6Qe6znrF|vpHEiWwP__v^zLSn^5gr=ZO z1aJ@j3nKo7mDH(LFE|A9lNAgG@cTZs35PoAmtaXqNh#MM^9c3(uW&Nb?@irg#Xh!< z1#2Qz#?7f8LEqu^Po*X?GT&z&^!sv9vGji2-~hkE3GCz!Ien5xTr6o?6zIwrBCK1f z&u|OkQ4{zQ&hv|?Wy}Vit9)vSjg4?rX1><&JQDZ!RogMe7$i4BO)_rCi1fc&>$iZX zz>YXmVC6K@7#M?wd5ekU%Io5Y=ob5%hYl$F!CfyHw1JcY9*zMd3z0C_A~w5Wsj8D6 zbPpd!PWKG{_MI=z-@g3h12?6Y;QsDkSk8ql!B5=W`R+Fel7mAmtWH4)BSAP>c+ugS z1O@iujHq~QXZ&vnh^r(x2)rar?)Xs-4#LkNI0&!bT@b&Lh?7Ft?{?rt{m%|$U=i_n z&iH$(Uv8=XUB(LZ#(z#Ou7kL8S;vgQjf^~$s;n{V<1B_$1$V6*Lh~LGJh0U5hhma` zVhIw;1)D+liy}3k`L#q&Gq{b6`xX>Z#ZIgW$S6QqagAjb!6WBx&q#_WBG=%&z2^>e7MOx)Vp|N^f*|o|;(@*{99*bGnGl=jC za#jhWUjTLrBrJgVrSD$hU0^f1<7)U$6(B8RTrc3{fD@oH2(rJq!5IRAXmx`^`(gL{ z!v;5jjS$Tm-^BP!G_G0v1?!7UhB5&j|KOb}40IidH$-oJLQ$a^{dD{KHeI(f_s ze)?V-SW>_;5*{wFPhY(L!He?N4e~z_z@+-0d$j80vF6}s-fNz9!dIRw`$nkku=Qxg zbYNlV)$@Q(`o~W%uDQG={`1$e!_^~!so-4jzddo9RNyKwjQ#*Lsa-&m8Y-FyUdHo) zmwoT#&{X%_(|_3FjlWDROcwV4?j}y^pZJ^ls*6R%0Ff#>@yeHUk+1=HQ}AMc*i&+8 z!`|jgrPp>=yXa%CqT`=gJ_^JJU{F-gL!LJt#a< zFgN(J_wPHsbI6b@6^I)HO z-~vEO!Hai6dv)TOp;tfnLrU=amX2cJO2GwdJo8(&+Tp4{cs9jfsJ-4-em7L~LGi5p zy&?NK{-X-G$lf*Y`AhKFcTB0LkJLQwz4p^|P{&;qeZ$)e%PZdA+w-Ti`MSRZdkfcI zZTEj$^Tf^8vjS{4Ce#JdH{o2I7QBkn20t1YOb>QdEFcG1ki)%{HU%Y7-~@dg)?{ab z5Z2=mr%{|L6*=0XT6BGbWNk}Md!7ymcgZHA^0k)mSN0NdZ>X2_R_> zW_z&GJfVzem+=TNj02)G7i_a4n{afMy-RHNf&ldqZE6dY071emoXW-Uw;(yNwb4x( zz6Ay;ij2VL`K(|7!X?3Ww4faUDaNY6uFV50XCM6Z@?eY3dE=sb6|QpJ+mO|s16<&h zaIS}hSytRuoui)STk%B*SP7*8i7E~5DFmlzU^t`UsbI_I`N!N>_MW<_T_Qnpf4>*+ zh+)_0HuxpN;5G_w2tV?R@h(Xt41V2chAtKMbvTf~xnU2wuml!*DFkslgpuS)-cQ6g zBf9yo0s18POX#Cm;56ObNnl|ZA#VO5)*z)#CE(D_jWYVb+cB_TQNKR_kL7>(*UjUE zYDz(bDkD-JXXA$y7?iXad{O95+~P^@?(FniyrZ=!xU0NbK&6?*D0 z&U5*bgA)A4ge}%Zqzv~=I*?+*U8tTqiwNahcRxwNf{i%fCW`$=(IcE|Cn9C&^@jT% zpzK}?CYRB9NMs4Jh2zw^T?pZR+P#Q}EFi-+(dZXv$_%2LQtX^b;T49BkozRTUBY!{ z4&-y%eHNZn4YwLuLz}LKnRdyEO59Cp)J;%& zB323b5G`MVVqo5Cyz2V!6IY$?xL80955W8Zl8cPMmV#J%0y68E#U+qo5Ks0X2of5Z z%Z~_yJ3hhC;&T$h#F8*wfl|(KJs8b`Dj0M)9Un@e9kOtY12ur6AZ?u0AeADnl(;Gt zgTEUx;1LV4`hKX#93M>K)1hH3#_&GS1k8y-JRbnQhzvOy@KL7-VtK>X;n5XeTH)9D z*6(L#P%S@%6Q!=*dWSA-#O{-#JkP`AyybanM>*FuvV%}7l+D5j%Q(9U!#xqKnNF^!?x z^*(dRqZgA(mQ)@acX0IwQW_5m;rbqCCKc)tw~)7xso}o!9eTbxt~nfG^C!yaG+HM; zG0)}OfcxCXbqePNJupgo-BGDbYHpZdf>v!hf1+JmyPs+wS2$UIQ)fA4%)``?y&vzr zdY}9Hs^8ueaIzfhSNCB#N1bjAYdxGGSFK6kClq&&K|X%CbbKs>Gic?IkIs!Z=$WOG zjQGS#;Po)76s6l_X@}ll(I9AzTwrV)bA)pa6y-Y|Es9z(>&rWEG&~MDu#4~0rgXiu zw$1x2f-IS0i*GQh!F@H)iMl)%-O!Sp=W2?e{X#2s6$A5BDZt!|e3iAMEUy2r$pA)D~zLV5UtV)b~#t|Cq(hOE5 zQRCu`UCEKrSU^hT+k9p_{GpP}aOzUvkQsj{VAL)=DTscr8M<~OB-UGXBehCoWqA>l z;`tweC(bcin+fZ;LGL_{nZ@3CWlhLn&#{Y}_IZ!y+b2v~vdDZIs+b zY)b)1qf&RmO++QL3zurQKoHm`SR=bR!XQ&B&864TZUtyJ@MFWp){I1n+Rg=NnBwAE z)>JO5)Hvm*CdmrMN{$`E%uMZpXK;wd`rMCemIAKo;Rk{_{Sees0@r$Om zW`{gqmp^K03+C&~uwMe|ftt`xF`PVJagUUw7yI1CO1>uG!coCZbPur_$)hn=GnLZu zq_F_>GT4Y@w?;D%iU<*a>wxK{MP3(wvfziS}ImfjYd6jD;_&23PJF1+8n zfppKRbO*Ydmzryg()wD9Am=xl^L#Zt3a5(dc`*rfw;WikNA9VkDsTdePuoHw7u_bs z%y^VCA*&L~?XC=;9Q2`lsV|>CAL%@=$JB1k=U?sK&TNpn^@o%;*WxDO^eVX^6?cqh zen>5<3!&Y7Ol8GzPkDBU_iAfE2ZTHN?W8MA#=W|vKhyYpN-?aGkg;8)wzRG#n|5xO z>LGV8m?e1d*?nLhTk1O;wHHv-KbR(s{fw9Gd=#RhyD6ec$LE<5CMaZa)GEQ<*eR3D zB#KlpG4fQ2T-InDv`Oa$?j5CF>+2TkQtD>8k~Aio$K=BUeop*nqdKE1<1=@)3DO?9 zK{%Y%wJlcwtVY&(k;r6TbWQMs}`b`31}*_h`dE#E%Q#btA<#n^LhrA=t>k7Sj zH8Y77i`QY(n0r?_PndFeNg87j7_Q?o^xRMvl8|Ml=2U~3T&&k1y&RHFjnJY?xwn*h zJ(Giqi}Hssvi)d{o}jP>cq%iph(`Aq-J$!(*qA${`R5w9UM^noLVQ?`Z%uV#$?i4U z5ieIU@~6(D@*IDky0G0L*9m;)@I@urD_wmvOUw6lK{!z`yh_^~Tu=ip{UzBWwyui3 z+?ONQN!m+wv!vyzHLGdPc&2`=Qn=E_)UceiODedKCMShov;PktWOjt)^ZW5=Y-f)y zR1H=7YEd^IQW#OrfI+WbKN^13?X1_gR>=MN`Oju4=+-xOpDFM>Kkln+-&4cX^81iQ zdh!T&)$UxWb}P+oj;8#V99;NJ@N1#evAGj_Q#U`#%r;c)6UNgWmAH>j-F^WD|99d1 zMrV)Zp}Jo3+*fKDb@u<%9-_BLLod9Pg8k(zP7?|=BrSgxS43Cv^b`rA~ciJRH2 zVdkj4EW{Ml_TD!h&a_U7lRLvyCN-)`ACDiX@zBmmU1ep7NXtxT~mC+ zJ#v;-nbOQWxh`XqL_#>UTECyKd~mG#H)pPo9{Re&{hizi(|tN)NCRIdjh=EayUjJy z+LTx~rSTFG6&@I}2Ie(O1jj|tPo?W zXmD{bFhZg}o|dM%TJ)W1irOMb8CV|i(lc9>-RVyEnEa4HsxOY0Yl5>~AJaLje12vT zH!59u>LBh}PO&%Tm=G3uUbTf1QRpD0t4*4Eo{n+dl}REUbL%GkiDVRG`>l8p1ym^i zX^%7k6BGwU&8vP*`+yM^hm^3CQXcK6%{m8l%Q$fk=^Xu(6bo>PA^kkB*U5dQ8Z%Bp z0LLHc9^sVMZhYW_QuRXw@P;reaYSXrshj`AGa2A@UPcQk%9KzEb8(qzC|8(}THe*C zmFuFfP4$Vtr&|DUSE5(fC|J{-EHiYNHgf)3Br2ba7V)Gpvn!btBkoWpfPW%C8bngF zOeZM*u_1IxYf6jr6G@ULQ{)*nN4mt`V$2cdtSmOF|z@wloAFr>byYs4(m_GGjcsp z-p3?&KSiA`$KVkpHIf)(A*}zt4SElN81Gk2Yz zu;D$r;Q%>~=~Pq+v;a;WW4SuFGoMSnFevItd@^Z#nQ@9oT~}vr+&I+w;rB9`i9nI$ zL9J02+P#-GvN1y%-iYhc#7S8;Y3z8aY|2#LJf-R9+jO+33-AB}10)6-NgFD7+xjr+ z_L`Z-4ZLorXNHoLVk8x>8VZfTLTHI2DSu}xe=zFYe}gc|gyji*{YrUIM4 zwi9quZ|3s;AL)JIXr64^Al1>7=AoC6v<)qm&hn9nTtUT@yOaBa9&u0)kZl_b(sh@T*8-C;=ECX5>xx6nq^V!(^Jam26I>nj<56Vg)+Z#$#>7$jaN`6)rbMV=6TE7gQ457kP;)kVX!++((w3U=U`tE2t z3ci zW#js%GuBS%%QhDU)jl>^5JrWOK4DU1YM9iNgm&yzd#cIGOjSFmnj^|5a1um;wQ%OmV)&B@`6NaIzKMIXr}8%5pe=g((o<7~;% z!3H^Ws_%(r-gxV^j9))Ewc`EzZpxDI>X5L}C$lnXd;$V5^)a?DCeVO8Fc)iV^f{Uh zTgEzZ9W2lxiRw0VLi6MVHCC0%42SXg(j>Kef>vM03FV?FJxSj&#>&8d`+t-XTiXbA zhE}8}(WyEqr#{k)OTuagzaM%ZB}R+gVjmke@1UVSDx(-l;BJZhmKig1(gaQ&b9a2| zP4q?z82UCGN$1W>Sq%F*9rn~|38_LdUM#q>G}c%t03mH!&?B-wO-lkQo!_fL}#NX#N30;()%R@&*o~Y&8iU zA9WF+X8=WFqBInB4|kFR#r3Pe^hnm1@>GI9qzQ#hX3i)GoB(Nwlv)h6UKE&@p66iI zh%xc*aZQiCz$)O zpU{6d)||28o%P?}sfkSzR4Ku)$Gkd#w{ArmA&$}joM<=rlOS6|V@1xW=RoAIiU@FW zE`_;rv>XvWGl9X5lxQNEF{#+k8PXJ!+XNhpBn_kj%Pa(NGT;SPTHbbR;8#-OFsG$V zl1Qn^Dq*1AMg$hGvRE()X7vBl_5N{fRrllnO`6gaYstM_=pjwv-phsF00o2S(%Nb6 zK2Ta|tMmIx+kO7~-bb5++?$)+bIyI8^ZNCCjXkJCy?1soxR4A4m(PiY z1w3qKj#2@%VvaI|+o@Q<=BSuh!DhgDO$y6c)R@5h>*zl_&u8@f=HD0e2G1@L&5QuL zx>YX1ux?NH%O;0LXyY8?wwYyyFiSRsx6=UIkgbTJWAHOh8F}m@}Qtv0$>kSAbedi?;&_=H<>OG3o@aD3>JZvHk2x`QqF)d zCNO}mItdQe6c(A5Im4p~kg1x$u=-0G+z4+v3l~g))z_z{gKLY%Ko2tWln0d5pP!!l z{@qtr->~#pAgd=x_vsZXp?928FjPbVTPRpuEv$_=q9=sxOf6m1D4>a1>i9y#>bp&p zbmCqJ0U97}6Lwz67skaOP&~%6#+j<68fVg}kXGiES_tUL%u-q z4gt&%*=^jS`I-67kZ3It7iZy#u+;{*q;#gI@U>c07bp-0O>74aZm)>k6`KTvmFkqtw zs+O%SMJzJCu@N!cB!w*uA&q$jNRFJrWSUOIx2K91({W%8JMWX z0)V;E(hw&Q0AI&2)XcW1Ts1}zLpeOSt0^|2s7N>K#XMu-v-LC) zd@P1Hha)UZxq%p~6wWd(fA#ofobmZf*Do~nn7c&h5J2-IF|Rqjd0$j0+(B z0ci!sa}6wF4Lk$cq?BkX5x~UG5`%&Vd@lf;Ypfk42%(cpFi}P~V8DyKDQtj%g0f0L zVFQbQ+!jP=F|b_6c$?LR&8i@$IAFvivUr9(7R^w$6r_Ys`Vh8+I7^e4k^(R{2>|+m zQqwll>X8;GfUGj2w&>k(?-Rs0BgigWO6;2$xGQef)^D_Zc?SdMgl#eVvd*ibrNgjf z(pL~+?vO#S`eI{ zHEg2@x;tGlGm^lYgi>Twkp#d7HB0SygK7fN4E~NZ%_{3adW&{L_aU1O06vdZgzF;| zE0S-c;pUYmXmFV*U*`WO`Gc9R8v}JQf|WS{)55&z2m_^1Vx9$9gkg~;?y~{t9O+is zj@_Omn%_>gLW_e4g9)$M!&+<_lq0EwD`PcKEzPU}phqrUF?HB=UeZLXCvLOe0w_g8 zvoJhRqA1RcJgo?PeVSnsjg&<7SU4vQFe7m62qTD~_Z3JQU zZcek7OpcxuSb`FYAxw_KFd+-qe1$4U>v8Z8 z-mdL32=EPo+leCxlfLI>O0}rQYgKfTfxj6mt_5>0fqX#)nkt<)8=X-Jz?38*v4D76 zNXPYVp@mW^;YZ5p;NodwC?g#bd4ZetAk3c7@QW4jzrv?2um}ZpD4jEP%a-b*uU~xp zZ%=0wmk`&t{*qcZ%46RYCpAgL zF7i9>oJ^13;_nUxhD%PDJI~7bO+Sj?ziV8{Wf88sT^q`*ICh_qYu`_Pk~J8{Ck8)- zcqh{MPL5YN+&NXf%AV3fMCPwdB51ZZ8?$ z8>SmPEqTv7>Yxl}`Lps+EUUTbSP?l|@nal#mg?_8r8+J!lwTS5?ADPCykci_F7-m& z_ru!bvA7WPiVIz{-P)_mdmgg0$RB~==fU59#OUQkSeq~!N-5)U!`)EZr>}|B{rH;~ z{_m%oZ}dRU68^NSU5Fn^l>P37!rx?{5Bkm*I@o9}*Fd$e)yKW^Wv9KQwfo`Q#AJ;S zXxK-ZbA928!CZ2E=9+|ikEm_e2A2&yCRT*l-$%jM+JRo(@6*4f>@f7-cJI4&Am}<# zbhi0mLiU%93z;{I(&K*~?cdSa3-x?16_gfa^AjB}uaJ%RxW~usq}^St97vWw7wJGD zY8VWKj@A}2RyVxC5q2IFn(9{F(%fJl9?B7BP$8>~7oeBWCJHy-<&^OZNk-U`Np93Q zBOfe(PJOG28~Xqy&a_VO@t={s{EFt%iqZzV_>+M}IS;Rb(lQU7SvR4l^}pQRvNzJ8 z&56Z1r6@=SB9+2RvHL#JvV~S-t|};9+*aj33pI1xodbO_P#l*;OOLkCuFVx-~xFN+BQYVvtj-OjLi*^B&f@^$EP}mvYJoRC&*!_zB##ytLFRc zC%5lPoj|+y*h}~RARZBY&%IV&_G)LVG^tI>vwrmbDu3OPT<(dmcD7-*phlA$L(k2| zpQd@E?FcupQV#aViuNbUf_H}Qwcm5X2vqyaUusZx4D@of`!6&_XlemDo!=Lf-Ma#x zjU5qA7~DDgd2kHBsq`cw1NtIz{gC#a)bsN@epMzJZRq|Id6Sntp-fAY@!z;7s@w%~ zU+1C0Rg~Rm-xUpWpHl9hWWQ3`^Pw_l?--aBUdb9RLita)uz0k3aGE-0Fh{H6BTxBL7Rz<#JjcPOOLzqY+_W zZ_6@wxV>*PzCG*6pgYfvqTsxp)%9L^mH)-Tfmx)i7fa(Wv_8fcDskk!wcm4+epCOe zmiyR?fBi7y;Mk2DXVd!OSG4l#_-{77lgV%EiM{$Wh7cxQoiNg8Kc^ zp}~tS*ItYiU2J)PYn+c3+atctS+=%&RJbVI2hT@@!_N(_*io$a+)J(4abUdUu*RO+ z^p*61zYm^A`I)#~oA>=fTDRY>UGe4fPetRohk+TjzefMeH^R3^sXsN0RJ4$*p3C!B zoYttz{^gZmk}Q2LqR@$7=w*GwqhM=4!o41IRgb{auxs5&v0nUqX5+p2`7`jl@69hx zJx%E4FJ^154MH*XVs@YF`@*W|i(!lZV*T_;q&9 z3u!*<`K|N?e{j8j(|E%Se*d_Kr^F?K zY^s;20fQ2XxgGeH1yX~4dlWP@mV<>GT7{7#MwtPMgvJ!T7=e1+NR8i}#wtqy{&a*N z!NH`ck?Sa1sqYv^R*?EPs0ftFyQ3aY+4udt} z2y1O}Dg}NId|Ui6N(IMSAxTND0$rEr1^F?JDc5X+HrgeJ$!)d_%#L6~hA4y`P^Sm7 zdHar44QL&ZoXVL!;&wivnrbCu0oxBX74y>}_jjnmW~C@j%O*)sv(YHoQUkE3oAk^u zkp?+74M1V-#Fokew<6al<>cdo?ysMC_W*>Q{2Ue;2fI(zs#Hi~fpdywjVJ`(cGCpa2sAF1%A}xi3;}!vcO$?%$G}D^X*$BRZto;b zMTlhymj~8(tO_bVP@aZtIYhq(Zb++bfkuElfJG)KoE~c?hMzU15$U28r6`_8xotM{ z51;-=#=3t`k?|ruU7Ht_cb$s1jn8gUC?+FFCcRKE`rEH$lP_nXV1I}ecxn-A*H@RX z6zyB)^Pk@<2CW7CCSaeqNkrPUBV;@as-h3z%y37pp7p$Q*bpuq@VT9$V%xz=L6uQP@DK{xe=XU& z{A+SDW0y3RU9fAsOk9i61dl%A7YtDq4>iS$E0Law=31X0ODu2g7G6Sv4N7#wW!hXj z2itO{#`?R9AlK*w)}qQXZ8M#ZHCLYE&Pck!wKT^X3S>of;O>(B%n>M>@zv{pqW_kLq)ngEjBp@=bcI?bx`SlOjJN4eia4tll3BfUTkw26KEe9Vh44_w%R7ei#v8t z-NuH*U_-dcnwJyQX2jg+N`rCb>UUu>ZW~{1h^jtnZ{f07dm$DH0@!Y``(~>MeOD) zdJqi3^dqL;L?)WvG74?%GIo$P!l2essZCUCuA(gJY$ZEj)Ftvbj84#Kxz_iIY{n=Z2TgAlN*L9WGi823RjvHZL7;-BnE z^+aD%+J$q@=lgb#uV8z`VKm3?$PrgH`B<-PCDc;BsG8~K9Ei`=!*wRKTu zK8EXq=)$G4M|NeLKXRitASJj+Mo9A2c1}oG=4=TtKha;!p0y# zqtBM!e*{FJ^p0rA4%Y}?IH1iace`H0F>1MHsJN<0H&){KdbFJ9-}Q;h_!9hQnT7JU zYHYwMXxc%ka8e@Kc%dX2trJ%9>d_@R=?mzb^&A=P< zIe14wB1f1MZsG;fpHICb=S%D{opn$ohi8?s#1*d zcVcFWP2?1H3KIi;`FMeSQX$9l(e^l=Vr93c2t~*(2jzD>0lFTST>R;a_pQiy_768& zbR3diq&6%z;C$S)f!$-Sfz%oYj&r4wqcgclwAjW44vLQGmld1TT!x+FOoB9IC=QXP zot2wwSo_!C2W6$#u-jYlZV!6rO^@z z=C)JH3`8@rsj)6i7%@P4DKQ>=LFGX~pYV@*-OKp`G~B6GFwGofg)*ya3@8uG=i`H3 z@uDDiKql1CD_G{(Mx5!>;mzTJd`Zxj;(Se!u1Ffh+C!s8AxYlpqz+@Dotl&cbCv?Z z+#-TDVq==Z)kU3j0@q`R5cqoaUH|A>^xpry-mn=m1<~I34?*UEvzj}#Vs5l-_(0PJ z=edVY*ry6EvO=$P$Mfso-7wtye|Eo>H+I`sC(AH9HPTWmKKq)qIpDxw)!aJt`g2h6 zc<15wA1@>?eGiac&UHBcJ)_RIY=2#}Y}0f9BezGd-V=#F7dm!dXTEbP`eScrOI^8r z#b?q*XKuwK19`V?d%bh^$yEhA*UgJNhi9LAjfx0pa2fgjXsPdSLxHok7pf0nJi9Rh z*&vmtPR$ROb$h=S*1gg_y)iN>%sI=3l*>}_?K=#JTmRTU#a%fO!BVUJa?85I|7hwV zBRkz~(JR%F(cwc%Xaao*ci*uWF*N|4)xPN)u_vdesmE21omV$GgilVLK(l}TLdsiZ z|GfI7^mW}j$WMr06gJ=;x56P8+WsQ!DCu!`c7M5Q6&sP-PUepkwn5qk#Km*&Ck)8i zC~%%Mp4|UZXAT_Ue6f0BF1AcrDHi{{o*q3%&MdFjop=1@Sl6Zp+TOpnp8IRV0bkox zZ2v3Om%Zz@)(;C8bGk%o*%+jHf4O5{^zg?(vhhth-|;H*$0|FoOhl+KK(OT8YuJi&x|}C9h{3h>GteD`A4GvYU*Y4j=Edfx#0s_rOsA7>D-r>Vm{T4 zI%zlnUzyoa5DB5}-@p2z`i=j=>x04a1-4hl%k*gFJb9d($o-V=KgaiRHB?%DvToe2 z&u2QuzG|p@%T}gSa9Tx2UI#y`EbgEJ4|R-`OB~zgo@qB8FBsSvA-jK_ zm_{lJu+E@2H8zqoVzE%o;nrJ)6gPef84eIh`Wd% z33ixk4er!{(Gb?3pwF@FZy?>gqDNRy_Zq6Q)X3GH-5~b#+%pE( z@ny@8ORJAdt;R=uLzwgDKfGWF;G@;?QZe;|weEKDIdw!DG%~d~TzeaudlRH0iUM;h z+U<>c(TQLTg08x>x?Nme(#?L=p|$~ms1?TyWjXCV*r!A{gzx0*u zo=AhBD07Z6K@o#u$^{ibJ$guVDJtx@sw}t%UWQ5rpap?Hjv~RkHW-#gl&tLZ&q@RTnpq6TB$o3zgEUjYW4S+(>Yy=x8!;(uWKwvp9_n09DT{R;% z)Ij%x3jv%dIvUqA0p2c}G)dLmvaUQcrLo`=1$S{y7SUZ!(d+fD+($nC`)`Zk!crTk zXOIs>oW>1>f>~sEgK#N(g#f9ry}oC70&+f2KrPl-&Xi__0BoQu5#8GX1eI)n$TxAR zMCzI+O)0;72__2~4VkfPpbEycI>BQJ;(p**6-^4Gbb=HzasNw_Z4nmqT*ZT83|K<0tDnWY62Jys`ULlN73K{MCCrfTWDlUl^)y2vT4%r0Bcls zo~A)Vgs2pI03sY%qu;2+gQAg*c`a6=fTjrAqC_85&^WJqVjVtXOxDp}u%qix8G|{dD_&ZMup4YF+(4A&}J` zU7vHDo6U^p(5H{*zAI;%ok@ffbL2mt82DrHRd+}5*^Z7w?jy1Gz;?FX z_Z1yZeib&}a{P8}xSMOk^Tc6+zGAI_!@8@nSv z83;BUXjR&K{z;rpWfD3oiESk>^R!9(x?ny;45ptl4=i{wv@M z=jy8--lgkbmUI_gDh)ehM~&D&Y2R6W=DTZ+TO&p66RZCr^@p55S6JTuE?OnjWUl@x z-LY#wn+M3}uU^1UiHTBahgj1%=|70u@nr9##~zc9xaB`9U-muz+nNm5uIrsgOmRl7 z+_Y=UywfPKe;RLi?U5wtrIWld^>DIYt2o~}m+#n{>bv)!2njIx)%W*JOZVJ~^H+Z{ z<8z#C=-u)h`gFBhS&_p4ta8`N#O?YKa{9t{^84UF6To{1N;4y{^~ZzZIR^0?^-1dKQJ; zv#2NcQJ~^Kim1=={iVl$$bLlvm*DNx1hyk{0~=vKi+$jFELPPqc|e)$eK@7WmOTWr zve5{(_MD;ndt2WWWB<+0T{3TyS|9X4|7w3BEk>-C?zxU+VD)3(BZr?*p+ivvQ`2eW5kK%bD zyyeKi(aaEZ+!v2%9K-*xDOu;+1{&`DZ%%n@A#*i&i`?`$#J!{%k|#3^az_ggfBQE;W55h+F-nPe3emJn~!F}BrvSfj&PSjF&kc^{=1%q6=T>q- zHt_AnZsj9?a3bf7<52e*M@26EJ?OwsKam08IlFvAq9^}&q7{ExlIp-o9Q%we&R(a% z+5v*Q3&~@TuS3TkW#>x5?4^=kA>?S$KcF`Pab^Ni`(J!nXmkE+Y)4bi*04C|j5J{P zD(54h693eZzwE5eel@Fpbs@|RIk<74KJ;%8*9+^6y0!lZ*H!#|elOk-jC?0}yK)6ipTU{JU%z=(Ov(YZRi|;dbwo@Fc)+W}J1H-|fqzhbIP3a`Vy+!c)OY zEIbfnTf8~bQoDE3c?2*AQ?W_!1PXv$J?DGMH|hLXgx%+)#Pj5-s#)ACZH~U`+^uXJ zn(((tuSe&75wC==__}bZ)Qex{0q%rXIpMt&T}hbhEQ{ig{cXMz{45;u8kILxFiQuW z(_ToJEjX)8pmxx%HpC(d75gt9kV(`PA7t9*%zN`#FA$u(RRhtoD16maC*<;`zUqwg zN%meyQ=XRq9(%?)>8%KRM}~kcH$0<2eFDCWg1p`TQbPV&X$4HiyXiuDuAr1&<=idR z13%066g2_~$`0=ceZ*OF(Ya3A#9w5KpI-;+;YJMPC8%jG-xEt+_0~Em!UGw&SK1xz zG^PqpRHaB#skV(CpzswbqDWIIl$4-cgvNcvd6CUeL7>=GQ3xQCmgm$CLl63vbIWjX zP5n?oBHQV%2kO=EBwTeMkA^mjlqbJl$$S{RaSM;{nU>^07*;{!T-e$0ngm65KznZl zyU0mCxw*4cBCBcfjI*Ef0tO*jAJr@+!}BRVw1WlIMU6Is0UtTzZ47DCzQ%0rW5}`m zu>=eRQz`wjorfY?f%}Kk*nor8Mxvm}dq=d>Fpgx9vGcyL$sP^>JJe-y7wi zKUQ_ZJ#hbZg?_>hr|O5~v~mfpm;%#Pl!=P*|@VNon>|o$b`LG+qk3 zI)!VheM864AY6M)-6n_ZQh=@$&ZJcPXb;L|H?SeUpOWh6LN=Z)HRneMBCIeD%Dn>0 zeKqF$itTbryAP}w0s@~7Z%;WBx}bBf_@4Lc$)A``_wqJTQTbw#QEzJwZx12&pzlFYd z1VmxHkK0OdpRbIUMO%|~U2ET-+qn7dEc(pqhPsXKy1DfNx2|O^zPuH%i$Rc|^G&<| znFRtAsh1YG@AVwX2RXgU1O!kpVU8p8>FZ^B~34&TJ}T71e)D_Z0(P~zjr+N8aeq| z%9^_}KeEx-x#Nf3%AxLmI6lnz!~q1T`gXDT;VsjZ58sK4i)$0J%il|Mq58Jtt$4QN zUAj284z16vt)7D5}v8OGrW?EoOhRlX7~}B z6H*d2;sm|$k2^>7)lUz!XP-^D@;(6NWH!8Ijty^#MnrrN{|f%kgzHBCiSW7iZ|=qQ zA@}9K{q5`Z8SJ4Ow^d#9oryL2yfd7C$^kvw`^eZA+q$X3KCbK|=+)>ZeXh;%=KRLG zRL_1JdREuhyO|&A$@-noPD@9~FZw`r|98Ls7h<4amnAl%GJ5!IVqVPta637D;`xx6 z$Q82&VqLq6(3(4=sa{o*)en&mJ61|hz_MnwvJyHKZ5BR*jy-_cmhXn4V?PNeo)W@y zuE;0Gl<JCvwfD^=;^ z|1|7VgRUKc4)jG}Zv=9TVSN+1t#B-YK2`3&GyEg1^weXig-syuE%ycYdu)#zCdZuf zd+XWH_m214FLq46X1=|t&3#cZ9{^#fPWC$K1;+yD#HAAT||0#LXJvVUjE z)bx0vq%wSoe;>ND9!VopHs;@QQTMwu z;;HEjL-_j9vSXo)(8?R#IUP#_b-%;${vAfRsWtTJ@7$$DV{o4qe*RFrY-hu?H2uUm zc5cgGKgpseQ{DM7;spqyhx-(kJ7swFxsiEs_h)dQI$@Q1LeD_KMWIx87EJ2f$*B{t zRQ=<}sA)y4SIi?ru}%YS)5quoXlU9ykS(4PAO2RWo?DpB9^BovI+?G166$+Eh#g^D zHirxGPle-UU2f^*nk(*zIPi@d?oe)T@Y#K^R6VP=2fm8->UlNFH2Z|(s;i6qAO?3S znG|Lmy=&h%cbxZ^dJ8(BLwi5XM_=TFdV#dlsJ&2m`eEg1>TIw*^K4fjuM2L_DtBxL zI6@}Sg`LM7Gr61A`U_vpoIKD>RjiXD4FkbTO1IMg`_>Jv-@Ddfdv2;L_fAXDm3I__ z`ETGP6s8Ku?)SNCy*P>Q^oo#A5HGD>G1%3#Vo-xyQ@>aeG-B6!^37>rSlwx?%n#R= z@AkrdYos7jctrm{aBHrv8_3^zHbH*XujRetKDtW6tCd#h#VFYNko+>-lheKOsU)Zw z7rP>b`!gzkd!rMH0P3REn`52S!+NhE!ZP&*s<6P=UNpWE5FNR`ST#go@h2M04m_~i zPdQ>J@QmKQP+ugSRAX#*H=DeB$Pp7|u)}u@VP(=wx|B{OKxHWlM!^M|mDm&8)3Qll zO4-WQQINT45)j*LOt1l4L`ttUEcx`u0}UZ^5e@qEKF_4GNDJh%Q!)1Cre4XG$e{%v zQ!aODMF-P5+5Z^gNC?!|w}Q=U6Lb?9%N~}SIVvlOho0$=pV-Vw>+PKy59J-$_;Ma> z4_arl+skVse2b)jxuLTX%-qjwy^@o!fWUiwLl)fu7-i#b1C91UqPCFerrhKDrhc;J znNv_}$A(VIv^9sGg1kJ9l)d`Qtv^!s%-(-Pz5aI_rfLfP|81$jIM@qEkPz>e+Dz)T zClUfPuW$eF!w>{_4V?gw*LRwk)ZeMcYuo?(#I?V!e=Os&@5;CTe)UItt{-AW$ivlu zL4}8t;dDEM!CN`6Y+)c=N;T77PPeGzIY|r5d@3S-?(Ve#irz!jE~H8N#A?w0>Qu^jd6Y5(W=vrbK}l z@(*zkUoyn$!tccd+YC=~>OG5x zfQZ9u+x5myHQcr4GTR_(o&|tPI!e{MgpC$cj}~|>LXaRlUh5^CI)%yb5D;w%yJ3TH z?rX=u$t7Aet0oU65p16ac0t=f(Q4RFn>{8@ZSfFe47_VCEBfs>Yo59Ar(eP`uw_u$ zzbKP}z#xjAnaxf;M0dQp^DQ7oRXBk#gC8AHSpE-~$E4Q^Rwy(g^?+eg4SdT~~ZP zr|M(E)lHk79~^#la9#f&?7oQ?&cx=%O8fI_ePiH-(Y0Y zd1)ka#yNL5;;aQQqAst0;MHqKb^drR>%dCz860Q*?Vu_e9{~Xrv%#u5$=vija840mN|xJKZ?M^ zHDFX{8Tev`F9EJ=;6LqWoQHQ_rRse8wBe%0HY}?H|y4XK|!rxLujux!e1{Ry{KGfVAmrw%)tx>-F^;qgT9<%II%| zSp_=d!lv&)fxw!%;Z@GxRLu>SPW)bZ;N(cEH$L!1uTby09}tp z8yRAofWIr1zviWTVP`HNk-&|PC;YDi7^(nvvd#9FqsMUto3=GT0%d;C=%3dqe4 zfkLqn_6NR$nWv<&I^SkooRiyGh6^SX;4Q&G4zd&WIp>{%0&u7??~3MPRJQ}XcoPcn zn|S#2+{h}nS9-BV%J9N3K72CuyU$H*XDhmV*Y~s6_Io9Fz2p;Oz5Fjw{VHxdyItAN z1~wE#B7Ba^4sj>OW8c-N9CZDh($XDowR%5KvMQoPR=@LE@_{ z{UXbHfge@SER!SbGpM!xgMZ%*!Mzag~<0a{(ZECmuw`+MBS7UOyB}wASVe9Ah?tevyu`6uT3fs z!cBu$Ahta4bp0EyzhQxl1y4%kSr`dQ0W0vatC`;&w#~nELz)pL_^d@Gc@{DZbOOrt z5jXRY2?sL=AzYKX@TK5SfOnKhc0aZ)VN)WLOcyOGL|+j_DKH|j1VdWFH4u~qvO7{A zGcjQ7XCT`Xs843PYLGB_uSIfMD{<<#!o_l$3I{;wIbpRChUs|4gG zq|ReDSg`eTDH)!y5npxv;rk64fPA{XA)O>L*d!qi@bZID8vC@_MoCQ=iWy-8opuq& z?U6q?={EGp>sozBNl3`oY z?6NIT`omkq#S-vK?p2r}t_ZVMz@!>paldHWs)&_tQkJ0rc0;I1->*~a5M%0OIUM&O zG?>dmT8&0Sfkj)K(fOg}O)9ILz-~>D1RZrsZWVwbp16?ZxHxivF3NcEy&G);Ga>Xp zbhwFucOYmUa!TNZs4YqLDZmx2lWI{#n2Mp_ z2?7#r_!K9HFfmZ1T4Z5hq_)L|kU9f_tR9O>$crIn5Aam*c?OmW7=8w^AjOJ=Bx79_ zctM9W2ly#-Z~eON?s>bKIvD7el9_&`WifwZz?z>WB7AB+F+FJcB@yTi8m00s4t0!@ zrXM!XX5BB+Vv{XK*PvK!h0uuZ2NK!4$fMz1a?Lb0#E)w@2`C9Z`Cjx86fk$c+#V0( z#^d4DgQ5*`+tT$`Z9*seu-ZpoZox7|cC`5ktC1$m8U0f2M*@LU6@ResLvPBuGK%S!qh1Rs!nj zszKdGY5b0KIV-%6X&1R>whN>3pwS+l5|;4dG3l1KqOwY|kWZRrj8L+_v77gj!AFH| zg&ks^8jsU!(Ncpd5z<%=cwa7*tpkn1aryg^iph+f?_X~_f=x=bSb7$#F?vIkp<%^? z)1Mbjg6(GbdO|y>d`hJi8uEa85R0n;9E1XI5E5`uJc0&w-5J8{*^c<;0rD{^OnMt{ zG1v~=m$;7;Dpw+Tk_B~%ZN9A^;?u`hQ66jc{x>nJqJsh-xB0=7>CdIA_$H5Ep9C`N zIwNqXog~(de+^{Fh z9gMkEP6wo{-ndHA-q3*-pmrtKv$k?!I0RWHdX_HpKoeYuj7K^3v|xQ>TNZ@47V7QE zW+!Si>^iZCRW&p;#{6sZfe-o?ZS`DlACnSRl46mRPAL}kWM-nkXMn6`xg{_*ITOt& zW{;KfYM1c$fHRriSNgLIYB`uVy`RY^B zSPkU%!mt(ikoHkXyg!=B@!K_vF&Gv=L9q<-La9?wL;Z?}5X8mV2%=2odu;w%+*nJY z#YoRzoWa~iMta~ED&ioFi4Hali7_p5wcA{M z7E+#gxdLrMi-9BMK|*qm!5w2>UQc;6>GyA5s(a>4|BV51(y-dBB!eOI(IE9}x4GG4 z=}0Y`&4SI$4uTMnTOdCPII4t2k)xl+g$EwgCLl{(b7hD1hiOY~k5N z4|<^${L#Ec1pxr(SwKT(ltA<;hKJWGiBws5L+x#>L*y+Wzf%$dCoo`Z6>AY9lZ?n~ zgxFLdo8O>CkO=?-5TLS;YPMAeK;$TrX7NA(El4KtbEg4+mjCKkfB4a^jKuvnE^0ez zEy>y*4boa$=6PdAR~?Bi-Q&hbabRWRadJC*Gv_&>9oM|gCq$)9PI$8yK^h@PBx|VS zPotxZHWn4{Zb8eN5Rx5KUU*aB2=fb=mZKpgxtxHZ*WCTNkxDW8;gWwfqu5;Exv%7TK^W4xwfNks1gqav&4tE zZX`b2E0<;Bp`YEF1Lb1r&hpV}e`l~|ow5r*)%~z$yh2Svz+bFHoW-%iEl}Yg7e^@E zl()80KV4`65QvjKy1c2hGT6L8UW8GksbDG8DWI1&$b3gZcJC|(QcrG$0NCN1o#+-o%1^fA&Apv45O zcq}D|SU?iuQk`j*i-73Nh|@A@enU1t!?zUc5cmDF5NiUSj6mR7R-MMCVI;DBDk)Cu zf>T1q^q6V2K#YL8&KBrz21!gbp=TNl2uq9Sagt>R3Gvt@YvkEENU={KPoD;fs-%Ym zeUg)vZGl@!!83vbxJTn`>6nLsm?mq)U`?Qz<{4US-$V%c$qlH4BgW;id?V|$w%ABx zKW$5c%)K_!S{QwfUWq-r*)}2zS4RGp`|AwHu^WR9Ak3pY*bo43h|QJKQb&b{lMM+k z;g)XnSOvRgW-JnaORzuOq!3mIwx||QKW1=(Gn8AgN!cTF$>SW>C6|#Bzav;qsuo2U zGpaabwIf87+W@UGuA(7TAhcMes7xv?l~gjH!o(6;Lz)h^8dJ`gRI5!|?9>IFYStjH zkZ4jL>N7Zv3Sv5w8;7t!6ZV+YA#B)+4!f4hB>;|D%*URirI-qJ(HJUCI$GhC;GXLj zPB0zRateVY$*Ooslf+US5=5&|%Yw|*0<{pz$}=+5B13E&PUqWnK22{Ap62MvG zy?QKnE1$%Q$HerrNk!f3*(WA2qQ-&D= zL&pjeR?VxQ7!Yej|9n=kS$qGKZCU_;cVBl)LgqoNhzc2zDk!0OO+&N#)>;xSSs%qU z3w4mICCWmQRrrRU6&M>-NEWfUG(^`LLBm(8?PS1lRWUA48Py_AgQCRQZi~Pqp?mpE z){;&3cc86xS;nJTf4lOpcOU#_i<)XANRmY&%$NdX(%PV|X`Q6PD&8Q>c6O;3;&}u* z`Jm2@Ym{ePX0WtSkxUAYnHEX4cJsirL$-tpMi?`_Lx6z=(6s`9P&8weAjC#(4;o~e zREuFFnl;@v&H$U%qQc51n;-yr@P-LyE*eV&UhJ?kB@{6^IBJ*~h|s}HNH?Pq?RcEx zCDLZmIt>d2!vgdi4;vsGZHZb?Is&4NH3|3`3xZ5!$)l470XJeNk-_b_{qNuW@j>F+ zX2Cjk(BnC*NCrfZH^Tu#YH_S*_wR}6Sbj(zV~%A4)j;T%0LAu9zZ)_EQ_1PLqRC*x}&#;~?4z>vyC0g??ZZPEb8ynmq^prjnYyqumA8y{ncl7TXTB478prfSZ zJ<=Z)1#*5x%JlNDp4E%?9@`K~c5_v)>sZ1l__R(>8twRG_LL-!@2LV1joMhGR4}cM z%8K3i$+70gM272Z9KbEKD0&D9%O<)^92$4ZiGm4O(imW@s})-Xh^JVXL+}uMWr13- z2_)Tr)P0Lket^#6ck1i72U`aRVInZwTBsUYuMD1`BvF#|7;~~fC#92vS_LjU>Yra{ zn>**Z-dv065QJd)!&y-k2qgC~HP%gBnr`mV-vA9SQ_c?RqE$k`YQSibZb#|0v>_;i zs6$e$;{bH9+C&{Nosw?ySg=Zon(jI>u0R=gVu?P=YvA_CIj#YU%%W=IKIWvpUwlJL z@5T}&WV1_rLbHu{Q9*Mk}%*!P>Y`RAp?x(7KO2RoN#xHKVNMLcUTlBNSZ#Lk$_M@kQ^`a zl}mV#Z;>NyemoI;C_+-WpSKPQ<_}LtS z`78%c3#uMm#867C)yfup3vE?}K0&jYIlhFHwIwV;bm#IjhLn@r*a$#i66B)a{ zzHvpVCyR%$Fhu_w6ujm{cvws?;UOc;q%JW)?yQ$6>`8;Q1p%TWwQ&+Ffj9zz1iua> zVM-VhxMj7D;y7-Y?XPBoKl@FYKrXABtu3$3l|JW zctENO1To}+-XJcqd4Flocw%E}&$Z2331}kP3$H1YA}VjBz8$_zkrIXnYoLLfB_+3a3V7pF0zIfbVM19aGs&l;l4Z+^-z zNGPB3iuRUaOV&~bl707({dx`El*299NmRRQW!KLQ*NVNJH3E6MrBzzg=fr#*=q$+s zl21TcG+rIwWBG*ltBpGIb?BSbv5cC3XDbl^5yOiFPfew%1VN_?4rwvJn5QkgC!tkz z7^nXu4Oj5hxE)c|7B*{qA#RXmn~xrIC;$dvlQ8y_B;#e{vaTQ{M{2YY6f5zBv|nUv z6j*=~$`U~`i3nx!8q8IaNK5Fb4+8%k(FI8R9fB-SRs_Z^(rok)oh#WCiza zz)q>M=GIh@^z9^jl%Y|&wp6#7<+9syrm`|FS6&}1gm(dNIxamaB=fP*6n4_)YOP!e z;(Q29`U4c5_uK9T7rHU{9VJkS7G$T4JzyR*%9qO?Qf{vz*d={BF_x(($UW{_gEkB} zEvy}2{lJYFi*zrG^obIPe1^NttZs)zpL@H9RSjtji*B<;i!Qi=U2GDlM?LFUZ{|!-$V(Axb7t)g(Zpdb<=~P z3Y{$B`Pwu+W97Fm{q*nOZ1mh{pk}_XQZ}q$_7w=f4tb)&0@CCW6L9wp0)d}P*0MMA zn5J#mO3@){={Q^|l}RoZH1bW5H8M_dJ)r@>+RMpl31V6VtCJ#FA$!%fK(;7}wem?p zg1lh~3KNvj=DU#uj2VK?U^NO1TXayj(hr&*G%x2oDY+WAS-{3-0xOHfCbP0+G6WDA zEj4sYC0I0|@!6wb69>Z)QGryYjXX_)aXA677}&>(s2%xq2hhDi`&$DmQhvu@BWKp! z{K5?hxIh}q*S%?q*$Xmir){H58B!R*kc@dq3%^2B=-u2D`SfJ&m1g?eFD{ ze72&p=Kmw^?c?G&&vW6~)grCFcZLByAdu!61{e@RhOAwjy3NePfQA*448h9UabmM3 z>G~r{ttfq!)OouzJfH!A@M7#@$BDgUW#hz-gCnOpZQ6*<#vzH5R77@^)AlryiA(yX zJrzbivK`0gnq4W$`}>{u?|1z3f_9hPnR~A1zOVb^xo=H6ulZWCld`$7K&>ZdhTH+o zy=ZflC`U3SQvW05vpnNTDb2HIskPsVH|Uw^8ru|ttS-4Qe=HhVw%o+DGLM{nd2ZP~ z+OnM6!VFUo9Csy~TCM%m>wN2H{sS`f57)+83yzv==^D+f5bPe08DUvR43OuX+Z)h> zCu?8~G!t3}ZSk-z0AwMhg($FD#8fSWyh~(@%}Y)yR~?Ncm0sOS3Be~#xrCIo3!r1VvCz%~##v&|G?CySLqURt zR&HS>)SzpjlryiA$Po(s+8ay_r3W#KZMLfPl38>Dqfs@rPFI37(kDMCP)*oSmNVRt zDBa9ldfSuI*IEr|Cb+yzVsK?T6^@hvbDrLmAgn*wPyMQbs;tFPeku95BG(P#5S#& z?A8ACbZ&NVc2Qp)xM}iSgVPg{w~D2-59oSm#?1H4mvrSS(1 z$y7h-{ni{=u*px&d5?Gj%s=T784WY@hm;8s&57m;)ITCDA`Ids_0iU(A(P3-K?H;VZx zX>nRy@rL5Rn(6tPTf1PcW(Kfv+HKi8qn^MB_Zwc?!Wm}B*UXWNcRe)d$W5+vZmO?& ze7NhP);Biu-7!1=Xwcb1QC;#@$ z*8hC2C~zQYOO;`6l0Mjt)=B~0xQBEzF>UmkBi=(*Q*aQ_NPLqMN|_g^=GuBZl~?j# zrh?O!A`9(QSK;Y~TzPMYmN5kK%e<2`G7+@Y<;NV*;Jm70laea1&D`ui8CAPLVG)UGW-sTb#@KV{Y>l?&06FI-jI>nOso>?sW3Mp9kdO{mynKO(eBes|} zyEUN?l@{SDQCOnT=mOh7#6C7Gf)Kh^G@7EDcpu;#eo5D8SUxGgOtjjTH8gNwMjSOK ztNEEWM-kx4&hPo&y}wLveS7|@DBuBDUt?v^?{1jTJFBo*M&ZMan$A?W_(J*mruB5a z2g;UE)rV131noG#cgVID%AFt~%AfFv+3Ff4jdy8{>bcIX{gx|lzR?!t4o7Hl=@8Vd zwr0$n-n(dlD${><)vi$bh|fvcsQiA-5f~eXSgzs9-L~hKH*%~MCU`|ghJVV#et#2Ou4Of2im~vGTKDEq7ax}HxTCdrl zfil{82~#FDVw9mxT_B|j(C`V{0Jl^oQ$UW=BxLroNVMu0Xz+DHST?la%>onbe1(jE zFtKD|D)lfLr?PZ=x2CO0hPabTr;ryLk;7sqTgT9Tl!jAYo0r-wmU|M3MpOZ5j0Gdm zL4xz79CL>7ZM#_)X){yEhthznh?}gC6-gLcpqt1oVAG24l$ZgfHrol$BJ62;9qm;` zMQ6dzgtRWZ=bZe%-bt-IdS~%U*E+&F+vu~|n6$ZlSf2M}SIIQ-5`C)61Lql$v~acd zxV;EEZ}QjKWYfrGn=(%$=QMRHnM(66!WWE%k4OhZ-Xw0)nA_8Ne>?4s^hMg5`rDeV z6o-cFi41SDsB3NNlmboST6yiTP`X}vP5*8chR9AnhP`etIJo0z1nLSo6gD68?j*mK z(5C3N21^KZSUD#Wy{&0OkNojLMxz7$yiAV|wxjUtqAsGbr5is{Uxw%hlkG`O?Y7gZ zrSFiM#Ioi7P*-%+nL%R?C}jJb#bp7xRA+}Hd7v7SDdj|^w@NgfASdFKNvf>rQMRnT z_4Wtuj&A+x@vC4cU4!8}C4{1fo2IGeyd8sOSxZ~FIcy4PH5DkAc(-6$o4AR^-`|vD zd6{Wd?V_4L!4%DDLOobyKo+gKj1|m%lp0pJqjaGohb;Wds^yMPyR!6Uy@Z#_Xp{Dm zRH3RFqiK`$?=5D)6^Csm-0h6bELJi7sAXRE^2|^xoU<9bPZ3lNu8}r`bzfq;cg4gF zRMu?!{wWgc8%Q~pGb2ZWQg8P>pG}30`zZ^eEuoiYLuw+@-dm*$FKDKa8{|jbv$7e& zKDJ;dC%II~Gc-6xat$PRS2V*a$V&AS&;9A<$HB%52YZVLZna%rr?Dfgh+SSGz?CYnnns#wP4K69TpStSxoscX>z&S4l~CFXl?9 z<_0xn*XmBrv{a+? zNv${KARC3D3ilfbm4KRJHWUgSZZ`-GMz%T!M$uI{%q;Z2G=;k05T}rdU<%BY6Rg4(gn$wr(EL0hNVpKZIlv?FR%TFlgPBj2 za4(eVH)xg6Bx0JGAcP1t1bm0E7MWx;F!it@){jNt1=$hL1XB<}j}>|CpWeOg!GC%d zALt+Jqug9{YUG?VV%dSzDJR_3w^o8a6b-0I*PK<>SZ=?9^KxqYjeHqZ`9K7{6$7fJ zI7f97?xceGqAmvNI9KQM4`#)vU4t`^CL`ZUKFlIq4AklbwA?a>+R_LKNjk@@N@Kn& zAuQ^XPue3b;t(R%9X;GA8Rg+rA{F%_ZNo$+P@eZFft08pGPo0nL^)4EA|tbox!&2T zaiPQx-mLdl>UqOPuUWYx@8n`=mrQS|K=<4}!*d*YmelB$C!CdAoH}hi4>wHyulGOs z*3T5->cJrO2%p)cz80U*=uSb#dhHWrZXj*pnTB*REneT zkr0Aj32-FMpX?5&1S{a2P?eP3bm zLy3wwj^i2B+MJm9?YmpQx7+_R94)eJ#0|lrg31N5xyLx^q-!DijFBe`fQXsCiZqj=>ubAc!O%l6HphZ~VvxGsLoRzFMh zgfX5GF=)7Wsliy>^+r1oj4)3UgR zk?vZlWrPeI6U&nJ)@PgF{F7g-w*CTF?jI0JWDU7r>++-$F3hX47>Q|XqEmLn?Ici> z28vl}%jqw>!#0IT(Hog+4%$QuWLe`ajuLN1OgxTw3XrclT%c?8@(@?`+GO5T1lJ5; zNyadO4fEkb+`9`oUI|@Dk)djfaVe0p=M`Pp_zyW9zNz?qok4;e2iqKMF&ZGW;rUaA)yIRP+6LcC~ zwjBcH=|G6G6*k+U7_6XEVfWKWkD}^<4%-W<1}bX|=66mFM~I$PBf7OoEOFU9Q-m<% zDd)HUxqa8yFaPjK|BI1}6o&+N39(vDTS(bLPsACO*V8FJ?DBwXgsXMUXsaWkF0}Iv zBS3og`t@=^rKurPrnm4vpGCW|YMqZu{^*sEZ5sH}g#iz0#YCwed;!rjKCX-eYbrx2 z*=v}++1E(5YNrq!ofmq!PP9fbgg+HBwz8^?p@c6C10>W2D_M!#i(Du4v#uo-!6BY7 ztU9tttxR{5Cq#`PbIh3KP8#-FA|uc%6V1P#n^NLP$kkFooo*wM8}BIWkOC&Ebu+(^jGG{^xICYJUlt2DXaH5I{Zp-ff+Xi5)K zg-ngkKx^z?1F>%sP_TyE9BblR-+u4PpI>?ONt}p(Bpn5*jHD}=3p5o<0ec8ui_-wR z00kW|M!0YK0@j0JOxzF~+hKZ{6hiX`A(&bqdl@&FAk7;jTc^Xq3wp>jZbawgO*RX5 zw*(bSK(-~EvO{(xKV%9}xN6XjY@iLH2-F}Hj*~VJzegqIR3`~)hWvso*w{8Atf}=a zIbY+>!zp#qTU-$aT#!GGEDB7g2c>U?v=lxJE1;n{)2nd-W6P_t$GZKN=o1XPlQSJ6?}%IaD^bC9&;&oR7CoT2rq}C=C3u%(vUk z@;_VOnoba`l{-2O$GzTn9NrmHrQTi?H( zk75kt_VOFZCNDEN`D5|mjkQPQSK3!bEKT~9Mn3ul`Jir-UQ+K4&$Y2m>fYb*tMlS+ z^WWLV`EKTN8ut%iQ_Z1?yhvSbK-7 z%121NxsUAB<@m4FS7yAIo{|q^8`0QMI@9dvH8Y!cO{nq(Yjexcz*kSOZtJO?L-r~0 z>DnlINR=+v4^F#Xr}Z`KCIr$$BR0-xVI-{jP9{CsnQeaTaPHE=ln ztX|zRo*X+jH+E$D-_i;52NzMr-8SC)a_%PNQx^_<99cR^+c|eN9$KSVKzV(Y!6O*0d$}LV^{D?DuY}&4K zmOIw!Q=JX+J<7*EQnB6LuQkalw|{C_j<4L1laEY&Fh7i@La66(7plqVbn6{r{O+=) z`TFJTA-#W?cCCC+u7333hYnxvyz}xdC`td=*z=*ZHP*NK@c)?kOKG=x&;4)Qve*5h zSMPLLm#TJTcPGoHs=o5+s_4u1Yi560=PElfBmS+H{bK3 it^tKn$no8|YY2)2Gv zen2+AQbmrqb-zMWj5n+Uk}8+?#=a@X-JQL)tMNaxKVG+*sm?USzq7Z$YH(G*g)G{$ z10U_D2i>Z3Uh`S@gYU6-W&X9n2keXX2mkd8@w4t5y~o+;{JF^^suP_+9DcC(0crk3 zb49G@SmsByCuFZ9v;3V^ap8~UI`e4lFS-4h%EOJ%cG7>JS?s)VJb7^GXz#NXlh4ai zyrSW6_FP`5^IE=Oe~{cSz5WRQ@y8nHmglAYu^sO9Htl-*z_5CMTgwl%2JH)7(q|eb z8`vrNK#6$hY3%^niLGCc@%eqnzv~UIo|~A}(xszE%$6bV?U(MhPwOq69oCBbM4Nmm z*)?#bX~=s)96rsC41VNie0gHPS^VfHD(x4Jzn%U5o*8!R(o5c#wY}c=Yknim?zm~2 z{fW%DI=17DhS)>5Kb_nr?_wufdAs{)S;zYZyI%1wImu(zS7_@#d*aoOx0;{4{Dqr~ zH*V`~Q}k`=c}ZK!%v4%n62&npg}T6N5c^vL0sjC#IYm_tMzpyY}Q%qx&!W8}6!{e6gwFUOZ|I%jwLXUX9{MbFaF?tCU_r2Oc-O zyEk&)wO*l{$C~`d@#n1ly*FR!d$#YDll01=uk^lh68(YM0up2AN|?Cx{2I~)984msI7{9ncoefVR$8ydVf|S~$L!(EoBUIne#A@e)Y;$atM>573g0y|VT~o9 zXed1K>d4~c-!-h-hw$?aHqkkhtZvvN>z9*#Lyuiz6TK(bO+D@ZXsMsd9RKhKrOz|+ z;*0l7mk+$R`aice6etJo=u$1%S>te!?Wa@s>466MloW2o&cKnufYw10sQYT`q^D7c z)*rdTD&z%NTH6_Gi#clgj2BeT1lTr5$y2MtO55F>l*v7wv4*7)rU^F4Y}zp;Gcxar zHS#%smS$FIIqr#wclQ zq+@>syamh{a`zPt)>)p5pu29oLBte-ftoRa^p$(Jcq!>9<9WrB(bUnN8l>e{{@dr@ zzV3}rUNs;>&_qxYH59%JEx4@;C@)Bf5UTL29sF@%V8Q`B0fs+ipsWDTg396-?0FR7 zUxAt7W`&7IL4Ny>-?@-_AmKlaLz?4r93!`BIXYBCY}#`I`+7)f zj$`jND*OEHQ^^0LSIQEK>Dsxxh}K|XbuTODM;RjF2@Kz4@@5Q(M?=IlG`W}Sk%Z(w z86Ltra~KsS;>ku-^=-kE-hw%ZjXaBlBmdk;lEzx(br!mLU_TwFW6ag0%rUMwh*0v~ zJhGV%;fr&qJvhpoObX@Y=P^8p;JHN-X2_TbJ%}c)SGaP7Uf_qxGV_+`R_gt;F6Sb1V>8FliJvBOJMvm5 z^?F|J#EEYt-Tg-s7+J}UqnwGRvNa6*wRU*cFk455b4c$klJojpJ}2%X^X2&r)7)*Ha(BY(8UBA? z`H`ArQ~Lr^bn;J0zLdLBzdP+w#Nl@mv-bqP#J}!ye|X(Tb6foDE~#4TFI@M2deGTB zckjl(G>QWM|L<|#J3qN{@7A98es=~?m{?$N0s=~L-ACXtVwGaq3w|Yx|0>7Ah5}H0 zx7dFb3)Nqtc-6*_!mB|8Ygh4=$W;a5J0W-#zD){$YQP1-pB7$K1^DLzoMt~fhgX9s z{HY-zZ6XV&K6LT=t^e^l-r*l@2~{*DWxc+JYO5kO5G9&6CFbzSQW_YU3L)%T>7oFD zgd~PxkWvPFo|%X^pi+@BT1_Ra%+g5Jq=U|;S+F?t{hmzC(g$VyMzpY_$U)-dSQC{r z60CF)@@qj=bvuH49&}U-!L~{?bE5%e()0g1KpdNn*g;bfWDjGfENpcXwe_;i%5x0o zX&Quy0cs?~PKloH#(4$B$a<~?40?qbn*VNL+H5Sv`tZaOIPDQ>6PMs+seu}9zSTN@ z?9P9<+rMla~pvP(VJ37V3|hb^ROlQS-FcDw#IgA4|!? z^EQCN_z#L@VY1Q3wIv57pYbbcvZ7ouxV&OJg%j>wfB}DCTSCwJ;&BY%;bR z&zNpxRyA6UursQ)mXV-?f?dsNsnDG(3^~EpQdEVIiT5wl zpx%YFUk`tT4p2HWr42-Ug!D!H6Odx&729l-WEn4(b9^Ml_{&mF5?nS*MfzP5*RYo( zQ8{R5MgSD_3da3z6fs^ruE>+4BDRLKR?)a>rl>0P8gn9wFb5Q*g|SN#Bj5QfMV}tU z4(pvGr;!Wx+H8dfO~qyDm>a(Ch%LJa!5EI6KzRYCSs5@(BvFQHUTc`90h!ZdePxau zaz)9QHr8kM#gfkGX9a zLZN+Ptt4-8Nu|mma?M#JUDTDxqwTEI2hSDOPPKFqJq6J;OE(tbvke<1AoMTD`d$Y7 z)S-c)SZ@O_nxZ8LcbzUB#qFU%Z4oAzB(S3(u1uK_kSw)UBedeDU{!maYK}leo>~hO zd4{KDT%hPQnkZ24$Om26pnI4%wPGXu%tZ1$4O!5YKc9*HQ*5@6ghieQtl2h`c znca>!tt(GDuH4SD6>_jb&NP~*$Hb^;a!AbXLV0>y7lpYMwMknWHA^8hfQfcvGqew; zqp*o?XAw;)RV=YLF1+`(A8lQ@sUSD3IF-tMlCp^g)dMD)VS4xu-dc*y6B0Dg)LIiG z`|#m$G%yxZi(*u*z&*i8rhFZ1l{zqPr-_O4Ub_}=6mjG6&XR6dV(vK?I{J92(#j4>bPW z*65AbBx=zfBndJ+LRD!=l%pqdqLHoODmY{hpQ>XLeUx>Y0e1)2I^48XK%l;F!BlUQ zO(0NAT2&MXS1w?0yD9}e7(TJK+l*@s`YKnU()6_5ghg9{`{7E-hjE8JXl-lqgmhii zQRWL%FC#4g1ooGpCqErhRT8JVegMsfxn7ei<;!gH7*#dykw){v$h3&k*M&j8!sOBd z3JH^^%TynRPHU7{dpXt1od7glnH)5`XpaxNA`G|Lj`%*FlZUAog#d-NZc2}60c=9) zW>Ct$i3ACkfJE6becNppu}Df#=w~gGHwWuq_{XxRUJa2*f>eZA#c#$DjG8L`sU$19(a%~-lRv??{AMr->TRdJ@lZKK2DxvoZQlt|E zTzP;hI#B67RJh#|oje9XqCLDkIR-&OpTL3+xe(=HOxbedI_c6cs8&Iki@KC4LQ``G zHIfE2#}jJ3=+w9_pKo-tF;bHwrT9py*5W=?_m44%wAwExjvq(kf&MjQpw`87cTT&U zC7`n|@06N(Z7*+Tc2TV0pe4ZO!@y>#uMq`#j%G`t5Z?!I+H|s%o$|qn1tpa;F}h3d z((QbM3i)Cg0-tUI-w$*XC@yk=LnYOqVkp&DO(WLi#B1NW{N+D?b@D1a5zPnh(j%nE z!%WX%%Cw>BlS3q1N;jG#Q`j=^VmDaY5c(Aucvvgb7@!hR>04O?TujI}y6H&Y_w{%k z?MY=T2PL@3%o77$NhvU5g&k_bgappO#6nwdFlT9lEU$q98P(Ke1ZPG|+%&dsp<@7V z6;pB#x)l5G5vO6LN6latOLyp8_N2je)(E&(pVWozH(b8RXxan;@XQF8VSD5mwPH*J z8w+<)jZa&nrtDi<%wy-15O-vaPs!kdVI-k}dV(hW6JM@6K{!O;eD7rBz1k;>QVDc2 z`g2*m0bgVZc&uTxX%q`a7F9c4dK@d*hP*ELDC4_anAu=ANM=H+ zbu-X)V40zvJ7@pqi7l6}(GxjX?U?2M&cy;{i^Fov_$xJNR~P)|0lEulLM7J=-Uin~ z-wE2Uz;1Q88QM|gZ4lX2Na=($vDpSu>iZEE;yiWHVyi_3bsGbpn*vFZ0)rO!u8QnC zthKsk2zcjNiP8>jqlgg-nB)wmhY>QE4C`VW9(qD5uy8gp7SNVgYiwIy zOR0DcRZk1>lXJu}i)e#raO#7A8){_}GGlgxZ`LXSHdOzD)p>>l6$Zq`TC>|ds5+U1 zJ4}s-AU`ND=xlMLZr38hAlia-LB*4)(=^Z^ujP47>ZB@I7gj0OEZ_O-|Lg68H^1@T z*RRQOMio0u)Dwj}UClSGp3^PTX;#k_s4uQ=FsDt;uFz3HZa?!pXwgWA`HDRmyN3bzaYLD^bPc)R-JCwaAiBQ_9?^ zCDu_jjZn%OaiG9bpv(GGnM#nWnw$vSNv(1!z2AfxaJ=$4-da5}BCoJA^kuK(Q@e=O zXv))~uC?3ged=}DGThX2>N>|W4`?b#N`dBi9gYSRa=fF`Jng}@!p3@CIDHrbn}aq< zN*wBj>r{hl z0}&oYcRl$vUrOL7>-Ael&5O(zV_m;fAbp+8XMGXhz^c{Utb-_-wP;3ni`_Jdnpto` zShoyFU!}Rs9+I&8B(9Qr-e|(p3O7N`5wJeU4P1Fu`y_+;{6l#{@%1>MeGQ0_sn-N* z#&?ms^(|m4D8H}CRYLzw4yhmjsdRULzL`vqvwKN9?MlPAF8b8(D7z}xR*Bre@JBDy zY+=4&m$Y@xsEMmqVPbt<2>u5JuK}RpF8Ldjp7vmIfdnk^ZM=p|W0A{~liOH_8CSj9 z1)sxs()=#s3-~n6nbaHxN-Jd;usIRIzw_7{4>AAexBkaxwv0Skkg?#i%`(|aHWKWG zq|Lqq@-ppi!BPfL!C{67SRU5MRMf}nmLdy;ghhRS-c&^kE3KTLm2=xb5X2oQOzt!F z?F48o=trQnNdkXqG-t2Jc0=12vCjlyS0LhO0v)Q|v;i&{HGg7*3@+;NU9wfdKqcEu z^SD^f$eRVHq&!$D&NkU?2o(&%-!0G=yNFwF8eufHd&H+N6!uTGrvO02Sx?hm#fo~R zU_4-jyP1+C1~g}N8X*ygmd8civ^-z3S!^^c^-YPlA0EOfQe6FjtrVt+vr05jSL47l z$Qfx9UIMBayp3WhFYv<6^u$-I-}=STYwldSTgyVA1zNa7EuT~$wUH!#P5Qk|r#XmW zL8eJ~R!}kn^*%Ec7U8XAtMfi^b8=llgK6_D{c3+D95^l$>nw)PE7@Ph$zjB=(mrtNq90&v(!i?-3ljX7FF#I!Xoiq z;&x(e=2Z|B`Q=}|J$mM?H-1q>aUcO}hUJZkc&+8UrG|$+a@{oCLTYr;BQ)8eJ9gga zcZmaS85pKL5&9b&wjJ{h7R*xCW7oS1*bwVAVaCy*r$=@cYS?@&IR&n#II_tH=%i)X z8PU-Xz`?lcHa4w6NE?y)$#JGa_v&H?xe-!#_rlk~YRRkRjIR-0`MkME&PlBi0r9-Y z+$c3GJh@dIXKoFXuxWCk8yyiWc~K0TQkUw4+lSqR*p9$BH%Y#R2B5wX=@l`gi8~<9 zp-M9<*)b0SB_NQj22I9j7?;6bE(ZCgOEDYKXjR2Bck^(E>l+tUj8c2gv-{s^{>6Kb zq^=6>lbRpvLuP{T2dLds!#6n2cgTL7@(FJ(G!t@X4{r3bomOarW2-wO1aGlp<$PH+Qi7j z8zSHug$NF860e1S5t`pmA$uwvP`l)~w~usdi3+)O8-`b+ie+0a0=bfGWX{jVI3hLq zV`j9RW0U3*MIOi9!Qr&SipVijjB3q!IMpc9M6Pa!hUK&-u|zA7l1KnNFl4TT-Gy*1 zR%*Va-T9mPYZR(nKq!o>V>E;=+hPsIj7J7H`wCU*!M7Fgn1)AXMeg^IjJPUSF^EXA zFPBNMabVeW7utjRO2 z)zAeP@p(?As$GI63l%;tJ6RBcT-*J13p%Szb`e34lB1{&3x@>hA(c}N1G*&&xzNY`+R@Kv(I&h5PM3*UNo>(BnS2vi|m zaJ3;5-Q0jbvd_Ufj9$YkA{7WQW-E|Rqa!jDP`?jXY%iOotiJ}W4wt~XzUt%Q_HNUocDS4XFrhm`Ib*Vc~vib z92*h+xKdbwlbt+ZR+6JOhOG=zU!x+>R!#@BF$Q-&59evrTmaUgV??xB#HPdFAk+=A zk=wy4U8oGuSVYssorLkucw+_J|Fx_~9_pSPWb+OBIRq&q4(LF>6X(@ej8gV03*ZoT zH%-W0O$8!b$}(fP0?=`QsH*x4#D zd3e@{8?nXGWDN4fP4)fWZZ_-3Gx5p|2p(SIYSplm@w2QTY;Q=|H#z2$GN};K3=^zz zE7)QA+;(c4_InL{O~eREp(Q*zelj&(5V3>q{|Q!GFmFW>CvC`h4yV%toko*T!=onF zStlI#uJpb-aYzR*!2QYT=>4Q*cxPT-k>DxAe}7$bY(I0}Nzcl-9B64jg0qcD=#gX> z1ifsF5+v4@-KqJKo=q+F&+&eD82TAKCi+pI#HWALQJN1h~10CAAY~DMbFPXtx$PF7EE*Y(P7~DyE=2%%aGl zYJ7<&s_AtcfL1HXqU<*;1+CZQZCFH*cQcdw)ky?AYegG@fMXmjfG{)y0VY3<14w_I zRp4ZNs{1fGr*497_d$ID>iNg^UKq2%BB~p~SoMMq0jQbUat!|A7()bJhZ5--DX8gB zOKLo|2?Pszx>K9un?~9WYBX04D!1Fl?ZVm13;UT5Y;T(cxLP)*QXzL?*U?La)g&?= zGLf)-xCvpS)z{RPi7PK?>Mr}gfrTZj{9vdmy#QGsV5G)p6Lo54oTiF;+K^Ze=k*1{ z*NyT7K-)sY`A1$~dGqV<^j!_J1n$$YH{KU@E`qOJJ zL(mHdZ_=Qxf#a(ZuNsc47)Ako{l+zY?T1Ir3 z1x>Q3qlfH|Xi;&yLz+1Un2#mY`$-hWGT#hEvcxkedhlVsND5-#+z^6uvOMq)i+JNHw>Njv`l>X!)oQD1w*DS3cu5dAf%2PhQAW9Et_0qNY= z-i25VmVd!aE>YJvlYly?r4RKNeBC|B{BS8NVjTo}nE~upH~rTa-#PJ%H-GkzSCw~6 z%~q$8h|@7O#4j=N>4$#<=z2e>FTiI8|L`rPjm;|990e;WB+4a?zU*g1dW-@e?)F1n zpdQ;)pAhF{41E!ywB4h?C6P8Dgla`BC~VvEz(#zpPI9ti1%<7NMLKtkHu`=-fzRQt zJY^gu2=&1M*KLVVn8qHEIg!}wb=EMu%R-#cTgOBsGQo-c@G0Qj*tXV!YDF_JsVjl! z+74)14Q@;;F3Hy(k&VPeKlg7?cEyH4Y%@&$0%A9_sVqTx%N6E_114b&y*!06T(S{I z!Mem;DOHkD9%M7n)dqY)YCw|=_qXr-)u*br-qLqfY54J5L|Km_`atsaaGc@q!3gHS zkA2U$LcbMbr8HlZ4pg;Yh=JHn4Jh(jKNJRO`h>&R8VkNp2{%r5k=U%m06;Ku+>U$` z=Fyb%wh=w5qfsn^uIUcn3=*xcgV+u{54T~4ZOEt<(Zs-$Lw!^>CLSzw_X)++Sd0w0BVsY4~uOb9-=#bI?pG{=I?=uDzYum zLe?7QK)C%X=&?_eQTyyO4?ngeWecJ`tVx+iA?QZ;EL5^j=783y9vg&HVpaK6xx<)B`4g$J9i0|XR67Cs-R{|mVFh)YlC(c>(cf=V{ z2w&20Oc3LF%IF#9`Xnr9kvd-!b%1+vIn7KRWFXE3-=soch4PIyLERUHoo{Sgmv{D4 zjGw0#z$|+)lIv!3e4yS1;2m$T3pL^M=GH2xiFv3AlLO2endM`kE+|Rk8fbH)UO;n& zFYdfgn|)1j)#+R3o1aV(&R>9Cg6j2!?p}HAJs#is4^`Iy?PoF0gWDB!Z+4qo&X>ft zc0c&@9SJFYtQfE!tVN$}z=5_14E1?y9fzt$@m;Bwt;$QmaT)`oE)ZuJND89SXdZ%t z_XXGpkgO%6k! ztDw@d?+3z5Z$U|r?YxRG>&U`B>t1jSKT?c{k{>CC&PK+}_rf={S@(5;Pgel;MMAy7 zoI@Bfzen_;UT|D|(_W+*PEm7TmHPqElBwgKk-ygm?-9Tqo^-BkA+KBmdr|Z|G=#g8 z7D_G)B%`Qaa;O*WnYj4*_x|a@E9A~=>LnKg(ys+Mi<~+-mIKbqeD$)q7&eCNAN9i= zzVmSv=b_!SHD6A^3W@F}a-xu1dR!>hn7|=D@m7s(q~coVtXhefDvzMFH3$_hvH2 zm%ynv7bD1+;ze-@`B6yzsyf&G+JY6y_jN<#3AAl7F$189z2y_@HVmG%x-Hvy0juZg-Kpq|IT@ zwp`^dSCzfL1QyBbpMSSAb@_)67H>x3(XS&FgrI@{Wiu5(YcEA89zhdNqQVk8*#c(D ze-54dQSln|YfS}`#Si>??T`gzto^s(2&94r-h#ugOU3^}A+5hXUuUF1JwUz(Me-6hcQ65mRFYtQBCNLE!2_Mk9saRR!&L|A+$-H%v z5gc!*^;-01X3S|C0zT6}(oEM;J}2cO0fz4f z%qaaT(#h;`in2uX(J&gZn>C=ElGgArL6%6O!)P?1Wkttq=cujh!LCa^t{EEZ8L>h} znKe22G*={*A#>vy*lR8Z(0y4ADcTfE1$sIvoJp<9ZcU*%7rHS9ZeApg6f{$;&k)MO zZWEQ`H8yu8ydp9;KvQpi|5IN&y486aS6?_N9)k)Vc;PXOZSdHsD+nPMcaEx|6y(W- zOe%g^_$`>iTZph0tp;!;4fpcrcJ|0yJFYdb!~mJwet7GrUeqqdFhJ(W z$(2W*-+lMzdKZ7bbaFL~(J`wJre{OY&u-e2u|x8Wdf@~6Z6 z{xk6L+t3im&fb<=`k_s!d)0M!@qsVpB~fe53;=exo=_&4aWJbOnp1{>dMqV z^!`h1&s20ZgBdfI{ZT!y4B%b0&%N6AnejKXCm*}~+$S)pXXkCbZ^aJAq-A!w;f|B~ z<-734mEM0%kj4ENuG0`(#blt^$tPlyzlvQxxo1kd)Q?d;hhKbq@fdreA+~ty>nGow zdcL=Og<}TKZLj(tg?T=gy6$-H?o0bV^6Ug{Se+WDPq5qYVQlKv$4>5y`Gb0%+rv-J z4ZVHntCe>=lU$J|VzI^eYVE{5w=L0E|Muh)y@%ep?T+4;-nnhRd{9eb-s-E*?f&bb zJ+%!>wQpvhUzAtpo|mRBH+<^UbC>nv&7evDj@+~I>R)FIBY##;J^zw_Hy**;4!-!@ zD;lJz4XsRJe$dL)-|zmLlY5%(#y!hE|LUpLq2fKuo}A-vSN^0HZ+Kp=#anmZdkJ@K z-_ps?v3KwNP-f2~r*LPpwU_jRC!5~5?Um6tZo?hspg^7GeYXwtj?_Nm-YwhrCyg9^tf>GW9UMD16r4pRL{KkDoHA7G2qOZ}g< zKfb+Vmva6BiXd~x~+{VZEy?@O#q4QGzV5`W67A30#|9Lg5P?27!S(<`x3 zw({yu##Z=K8J`?wKRWz;j$G;82MaP0^;V`QYBM+Op$&&~D^tz4ZOb=LeyQ`L!_Rj9 znq|I7Psrm9KcNlxv&D*2(B&7NIof(-H(QP^H7+MEb?vX2(D>r%73r_#-7ORBrJuSN zMlSL9V+ih**ug_{%k4*a&(JL^=?SWTnql%GJ9IR6K|h*Hp6I=UXD{5loV#RygpSu% z@4`D)uixGOy@o%VQYYdp^JD1=uFajga;VI_b%=i2UTK}!C#^&$)Tvd(LosA_&c(D@ z_TkEdW2*QWd!RJ7(mR}4DZ|L6)l{^J$% zOaTgo2Uw`E;jmx|I!Xv|1?n&r@)SXvS5&+qXN?t`yB2hq5OmR*Tu=ikSI~ngbk7MY z1Y0SBDcf1gf`}LT8qX2DLR(W!#|MN`Hnvm*(W=O_f?5i_v3ynPAL!^JLxZY81IjQV zng-tNq+kMZ_>UCoQxLAyAH3;jTbr&84s>$ulH3}B;ziT2yyBKvLRd?w*bOcS3x?)k z=LTLA;C~4rgz5e7+Qbo83Z=x5BB9|fK2kunSPF{Q-(J22S8OJ*x56YUHc8M>%5pdP z&6V*ssBzC9*G2@?4F?TNfF(fjGG0&w%#`pqp=)|5C4?md?+!(nDf&7*?6+ct11@AD z!Yob6TXUcJ=jXSUUfb7#$~aR#p&y{4fhwNqjw&-q^pppK$Xld4=TJGcS0 z;K%v<5ho+knrSs`YD11NV4&xSQ-x4-Uvrxgd~os%Bl%Q#HyyW>p53-;cr=0Boh=Mr zl;mecJ>u8{*9Ero{Z^$+dcDX7d4rrZgwg@t#W9rID;M2vQI_ahk)IyuE4M?ne7Hw4 z;^wkEC|XBrQT>;Q%!p$=ryO;&PPc^Ky3C?di`!(OzNQItXm<|1*Y@P)f$Jc_-yL}) zpy1}@75^6W`nLkTmGIb!|AnMuVB-%u`#}8Y*RP^=7uvTF+W>qc#TzF?%4%D z2}fvcBfK?#u>HW+T`Psx1VOZ4DP0>?(bZ3tI@4WtGBTxMf4-d3l2-HMp6xy^u8NV# z4qZM{)!U%6i?-bANv7yVJ|mv>todDKRXcl6l>S5-b3be+M$M18``KeH@nhPA+P);^ zT=fxtsmnko9o`sv*baBJF4@Tw*$#JR*n2bT9K20Gay)lEGG+2>@$cOzg=^D&&smM) zPoo#iL)s@|cfgHYCh47KYvxNg)!QE+O;d;LMSTsC?U!mPnwgbgR%N+%scXDnu8})0 ziG826%oB@yG;fpb^*uC}-aon!iSOPn-m@TI7&z>j?F-edo!T4X!U< zyXFcjT&v^vR9dI_DYLh%bLc}|Vz`zjhxGKGZQM%Mpvy|S`CET0e`Q4N8ql|~lp8%L zYlAZnS>kg3o}Bgru)1E-^SDT7j}dR;_VZWd2j70}Oi{|4C0=Uh9lNaDan_TT!E_+L zj~sWMVRve0x_f)t-X?Oj%voBnHGVJI-W>M&BlbBfVlHj}pnEqwZ4Xh}om> zB2BH6MyYOH5QTJkM_Wa|g`LKZ(5tEK=i)U{=HBnr zV{$j^7^dYf$oEvC3wFYz`ps_i*~NIL-l}H~3_ERg_QJne+rFhbsZ&UX40!gww#1P1 zl4H!?q#y2kS-p>4{{y!*J7}Tb=_b98k2~y9-aw{PKeq$6hmj5yv_$_6RswGtj7A%@ zh4n$6tUMw5ex+!E`iNalbx=vpmJ`r*vdz+uYGzp%Ti z91U&Kg!>G#xk}Z_hv%>7iPVU^tfL3u`C0LvgP#z8^~TnR6IW&EGM62doif>8NX^u4 zldXhkZ0gOLsfW*)n`F7f3b+O=-k~woW9A0F0fs3T(OBD%6=I4TO0ARC7!kI}#FA6t zCUeZ);DmTYwSPL5P{O*UFzfrqyy@L3n;8DO-YY>*KzY4-o)PIO%17ZjXfLDYhn%%a zO+jrb)u-hjPWPo+4dE7}@1F8jFVZJrz9J**&5|DSn$DL@v`>%6$D zx=*6zUrh4n-_EAi$g?(j>4lEXDxEn@{gH(+@o^JCcL_Qew#IB#;aJ{O}zEE z?w+VEb$e?k*??EpTSAVAH_VhWV(07iuVBBElo`_WO1D>Pzb%e!zL*YOD+XG+Fdi{p zjtN{X_1zY2ue;D>ntgxXKjJ-L24mttq?4{+7EhzkX==`GKj-!zkRNfkT6&2$Np_pa ze6zD1&OqYnQu`Q}I@>d4W}V-+cS+5SYD|+{$q6`f6T=hl?E0^Fp8m(8EH@L`SZ6C? zscN3uTo>kDMYYygn`x@YQ*;oGHG1IAFzU;5;5PX8lk*0u4+*kxA-z;@3@Zbv71_u> z=sUl;NWY1$!6tVDuHpsj&<1V6xFP0J*6aQe#V$a>#Kux0BqFF~8g_*qWus&yV^Y^S zbFHQcL=T)1ouDy;-a(-g@^H&!_kbijrftqEG}KKozN~F*h6K>LIizGT=W$pFR@qvK zj{Y+k@Ia`OVk+g04ifohR+&zr6exO~fW+-uAK4o=j8eJMxGb)4$+U(iZ!}))G!@uH>xA1)%T^o`;n9(7+ft?C(~n8m1nrj zI0e!2$!j?G-{?PV=(d_>d(U|9Wx91$`b9;R~% zkBfQBd^l@!{*`Qd_hXFIUyiebBM=_&fJ=Szy;C^~n?-i1;g^_`>GwTSD#nOfGczrp z$fuaFKYMbTA89u9*q!R0SInMMwXxUA0!=H|pX~jp~yK^~{evK0bM_`eZ9-$*yulh%vwtz1h+PyT;A2`o35Bq%lxCc z&WJ@Ed7!aliXT_K8DcK?d$JE!a1-=NA|oEosaFja;Sn`QIfIH<74Hp~nMd*)dX!Rr zva?y8R_JD>cOThZf$rkdaEo}(Q_@Y)qjjP2{hgv${snX-dRVtXW2e+fd3C4QQ$uy) z!Hx!4)u+43P>fvdmv>|{%!rlV6e_n;tO8C{E3r0hkQ?nJm63yHMPAos@HM-MooY!c zRsZ5>W&Y{LVO8u;k?4#0NrhbES6pVk^nq}qbBrnQcDT;8)(R7zBrM(K(%aimnS?yA z+vMH7J^MP-Xn@G*J!H*AGWx{hv;XTy|7x<@sMmw4=RgaRA=O$QVp8lYI7*7kLsT%RCm(EYz;b~_!hFE+rG1l(PQL}zB8<$pCmt34 z>jrV>QeMn0wkL>P9MML1{jODo-dMy!!YL-yi4(_!uzpzS^Xjv zX9;6=idN|079kT8b~5er1lpVR**7;fymNhr?{>>dq-7GAgANEK-|9oUTz(FrQgVt+ z1IyM2gi|2XNpc_IQ#Zm)jendUbBN61v^w#% zm>R`uQ7{>3j>E(tbwH?MLL>+9NWE0X>P&onyI10OnZXdI)kHrPbd~~q(#3mKhgUzB zV-kIug$%edpo!u+LNNo#c*dsZMDUOR5aWl!?zBHA^=-d>`kec#rjC6??F7Y$iG1Z^aQsObP5Ou&zaUd1@R{%{v ze%{Nn^nrF@OW7lN7nBcgI%5F}-cA8WP0i;TtOSQ;maeM(=4ZI+OKHDIC%Z=2jnx5!ADMWuM! zX~1qamBOXNVqcYfc+3&rU4H#3`nRR@1Hy z?8`{6?V%xjK2)X1nh^ubvJ;T9P#qC-(YsX$<}+g%K_*oMCtQmZN~hEbsxD-PoP2f% zyBtLGA~m%CbgNi8x9@x9hc}npbG~u7&V&(*_~jVW{zzwifBpB&a6f0)Bl6ju6bups9 zSco)qQ*6Mi2B=0JNv4Paqf+O{%N68NY{ESN)Z%Bdw*Tanq-8(7(-b3)5z`_;r86nN z+9GHlQNd74piTMb1jR4n1QQ&P&d0Ee8L>Gsx1CLZgOO#OPba)~&{EZJ;x*g+d;(|l zHHp($(wR!i-Ce1IzK4BJC8>FJh+fQxOnAPGdBLYEr%bxWHQ+cjFbqqq#}57sGDvd% zTz0V<)Uy*J(wY#b9n<4tXrE?k4y!c-a1@A8p7b)!ICU2d?kTpRy;KAFt)_%r2%Z)k zOoBt_;2TVWra=O&7G&bIsCR`ck}Zp!66osi8mY&pA&Px65(%x9LY9jipT3mDetxF_ zG<1V+0Rl-$NS_Ba)SyKW9{$IV!0|C^&Ans*LWK0RN?l}cm zXTXOx#-sJ5W&yQd&nB95CV-rB;OUe#xru3tRzRi>SoQ+eKXAa|Xmm^mJY_YBCVcG= zHGdP6zJ3v2y|p3m1}8YQzIjej1M(A^#2!159kAGIsne-+U^sP;7FnuX4WQ-aVq<9A$awA1cj(X9G^6CUI zrsR4`1yN)^F_%viUL|}Qbpd#d7chyos}cp1te2I&;_gsN}d662!afED1-#*XB4Q58|;empr&79Q^Xcx z0i&V*C?;B|lT{i|4#9~5PSZyQ9SuG|rz`h6nBsi8j*!^LCAOa|mbRr+TGJw4Wqm}h z7J4DKk6!?&jX}rPT$CXAoJ<8fSDddX<#`a4N;qT~ z*euPoOas#!1j!P~Y!HhFgtOg<;kW0Sy^XaU7{DN>6)Hi}<32(qAn1eMr1+Yp#B^c` z1KPkO3WK(3z;~;ZfEZn^z|)kUO6-wqSyR|#1(HM3_@NtDUjFC4$M2l{6q373Xq6))!*(m{A9*bHb9u0xFSlc)?Up;Fqw0ju8-? zu#6fL*~^PmSvpL`bX5#@uSw?!lVC*R z1!O?f1SKP_(S$K+;8-mwuj431vk>IaWEOP#kj_Da&X7;2)dw_M(T5kXBPQry5_}6G zwV8M5NK{%P0=%kf9t(nLatX}KAf18hL%=eE=mQOOj@mMOj>aQ(h%^zn1$Il6Ghw`A z6BR#}@FE_9CTP7^uUU+1c~r~_4(MTu9iW&7LQD`bpi-#}tSDWx9(p}=H`LtiEdhGR zA*?}yB5Je;BwhSNcMA@hR1tMAk(J#pm!{B4I z6(YfCon(TMg=$)0TL4o>onBLVcV~_H^+nz9+&;&J%>1+tiqwQ9^}&Q{MX#$fvAJal zkX2d*ef#<IDyabpvs2z`qIZxHfatEKN_m0spgqWD$^o%fmkJrb4|BTUn3?s;xsv}kJqt2 zQs;x06A8&jgkaF);(fnX`4IwXEtPHs3b!mYus;_;e=Ju9r85mE%f*MD#0FJgp>;?a zkWW&uBndi)M&<0Piyc+;i4^05m8iQE>1CLujUyd)XhsmBaGj(K0~2&T4!Kd%vnl6z zSm?S-l#of&XVRK91aVPp)N5oo2rsab;9`bB1*|*9kx&JwOL1{L)`16QLj z!6^wawBw3}q?q8Nwe9R;C<9pEL@>f=D2nyq3dn>rrWI zf7-ekF{jdc?;mAXy}2<4?vAn><*Vf(qFFFT@;%KJC*|TYD!L>8g(&}Cdw-!sh9AlQ zmfwe7frK8>gHQtJ^A2w7fiRRL^E#y;${7B-WNHxLb}@ik+NjX#gzIO+cvuLVoutl zOn%k2r6@-G{A-cnynQ3lmWjVd;g^ZiTQT}%;MHB@!}mHaAqwOoi zNwWBO?wToLJ!q?ei|vAIq;Q~vkGb2>KE8uHITcwM@uNS{w(?CM5f%oMGZ}^Za~gKa zQHFGEsw93nkn{r0AL-6z8is=@{H&cZaMl*4{-M9T)0A%5lAJ#A$h*g-G-9F^^7fO} zL89kb@7rvqJ0Q-mc|>=ppA3CaU|VI_Q1KquN4`YV*1JnfCd?;nqeti@m+(lXk$Q}| zKW&rr2?;ItR1VX1zKm%~8@3OvBAO3OsPZH_{e(Qu_Z<7E87QyC;kMw}hX3|&7$IX- zRii`BbLwRK)=Y?ky#%RYJ?-R#XIv?*x3$2xP$lorE)ICF9C<3!vo$vlS-4?utlhrXn)ixQC}uJ)$=l_rCiF4& zCr9?y8_EW!Pxkg?WqP0fu{DqEqVG{oZKG=QEY)39QGpP>nEqlC8jWo_ojf=eIf4(a zV!qiswQfm$r?|7R`LRK|wkkL-Pbq^P*CjtywnLoy-6zcNDC-rxBxc-8(3|AhHSZ1m zCz-??+nBehTC7QzqjZ*)VlKK`r0~Avny0tb-A~n6xo-n)JsCKqY}!q{*2xX$qnNmg z`)o`cXJ$)Q(Hrt#!#BDf{G1t&cAV{lFNVP z-0xec9XT;IgX&1Nzd?7ATQ{%GzM1_z|E6*-($Z1;(8kRC%wy}Qsa)Hkj*OpXA9)|| zG)C19Ps=Yuzanew{msf`$3&npg^NY@lFLxJxmr1!@nS>SN&9CMgu9uIpX1%=-Zr_L z>$g2j{6^*u5o!IF_k+FUabFWc9+o?-?~4^ zjpU+FT?2*W3+U7JC)ubqiz7Z=|64YC^wyI>Had{WWrcGG**(vDm-Ka!IY-N-yguNb zH-$WTLvO>Q@`&|+MVT@*e)>;KPOuFb?7p5C+*>l(H+l|wwq&unf654K$+G1Bvez&e zb07WHyuqvPqx+8CjWOQUC)x(+m`USm>Z`G#wso8XER?vIvnCb&1) zO{T;jMt+rrrM+J4;`sI&|TNwLTq{Xl|%4IZQU@;oltG1 zzxxiq=*hy=Zt^=|hf7mvH+jRo&z*@=dyYTP!OCX}gwu7p(VA|FyNpon-blAQ)R%em zfeo`seO-4dwjmA3;A){#@9@InI4mh>%TQu5SAc-zP04I+hqK

    @_bKG+E`tuRf1 zs^?K!r3Og!POs!gElN!A>5{=<5>B#%RO^_ihiGmMq!perYnbps<|w4Y0W^L@P9q1Y zCSn1>EO(rg&|JB;Q`9o50aXRW1zc~f$Xms^ie?^ae1ZknDI+=)5UZ}hIzUZ=myYbC z^>{I{MZZv%c#p~E&znRcE`m>+c<|-ZK@)vT})iouO{=~;T**!*QJ&Pc#BQyKxk-vTT^Ch&%d+vTe*6} z2uQI)mJQ^Wx~KX7W9YLQ2K#lU`9vtY2iwk`a8iFZE?7$Se1XXdvV_USo|hM1qCaKN z0U?YE@-AvTWkNyc_!dG!buzt*1ki!elU7XF(UM?_ba7*nf;MHzs7~d?Jo;mVsS5`@ zsdz{7Ql{9z6cfPRU{v&!YvM?@)DjSrQSTBWg>TMqxAA}EsGfajWFvB!TrcBGa;v9eX zl9}sa(+^kmx62!rh~qw$9|){xxTe$cQzUGJRynmB9XC=dHM=k?XZ0*8iJLyc_7RZL-#JPzU;%GLi!z60Y z$HB9ps7n48hs|J~Z=ynx23%;1MdDlLX`a88!C9tN-!s!*?3I zW+2`oUK88VJ# zcm7RoM$LPQQ!TF&(mqzLy!SYvMDOiJ%3yhHyuJv%LTsyRZM$&sn6dE+s;%P34WmRp z*TcMSFeu^1R`Y0Cc(jy#KZX6oBfVMOQ)&FX%v(uiD-DGJ&dIOBe+VVL5_)$Dv~upf zGIo4T3vNmN=VYps8O%mA_!Jt>f7c32`)BQ+*>Ug_Ow4Ad_-7kSDx|VmCWX3dV+FVW zv-+~E>!By4x_kX(Bk1jz(X+?Kxm|FpeQL|Z@mxG#{kK80H%*!_Gj)F%Z7vwC$bbGU z`cS+q54J^Bw5%dKvTX<|>8rrzZ{b3`Zrz5iTPw2dHFm|m@%dQ%=^;KSdU)>%K$BYub~Z;qLUuOaka(m8=Tyi}R9pyQHw}#KL?F zTe_}46_t|#dcqpq2mvMh(p*wRU*ftj2_H%^juq|-ft?-tz!mQGTW8QL7m@D;`5Y5=J|u$Rn8IKOy_|pud{SdMndOLl^{2 z*>MjSpoVZ@P$@A*u@I0K;!)pgrWtD$k|pS-O?6b81ZhCP5rkA3s}NKuD?6@A6huFE z!^=%r7+@7v9}{T3THz=qlg-0k2+)8{or9MoqRmfq)cpq#= zv&Y}A+n412`cARpla$V^T%_gmjJ-5{JpF{imc)s44UZ~S6w^f22G)hF><(8gzYA9|BuCkDa4GKvN=uUHmeWV`_-YOu?%B!*PRqD_m*U$) z0NuFFe35P&qMY2DFr4^ zqBym`C_DDtm89UcJ0;#k9(|is{zgR8Y9d^W`|F1_M~+`Jeq-&rmi7(dx>m5=?f$JY z@x+%RB&USA=<*l&HuTvC;;j3#hyMa|ZTCk#$K7lHfr>(9mz~q6qZ|MINVnnn@8z!S z^iwgMwN;1#|K}^N2pgJ$vv_-1^7Lrk18<0f&wm|=WR7iUu+AtSZ^0+1tNmR}CjD6d z@%42det6)~|9qXUIlg{8ZMOcnIc+A;cH*_P=!vfSL$>u_ub)9RM_#!h{H&l5W_}Ot zLoZcTmEAm2^c4QjP;5B=((0ni+%;6B49wy}Zm*#RiB}C>uIjuZ8SgCqCs#iF^s9*&8acum>e_p;HKhXNeXYh^6 z4V3b&Gh<^7YrR^$fx}nvPnxaE{!#Lj_3gFUGnOpM{8I+X)zBYXot4smo%w}p!xmR_ z(LatIIRLlxYvCeH-cVPPKTfY)vMPMY@cYm&oFAWY?<%<7{)O^kOAXyIW8L5i-VirD zGIU=J^;T^B5Y>6X9&>hY$1(SzEq{qA#~TjBdTa23*zy|Xm20n;%Qf_g*o7CDZ1AF( zJ4;O7fu;g2t`pR`%&Vv}<(px420c9dWAbOqw+@&4vE@Hr(ag%7<@n;E!sMUWB?iI(p5%)+CQnCfB$+;P$_!lCVPl`mzNbo#D%C5{ zx?o-ElP}MreK{Q4Ptb?5rG6hq9jIn^+a_ZZ~Qi7TkOvnlWwXva8_L!MtsW5N&(f6E?H!UvDhj{}bj-QK9ET_#a z#OwsQ#19<{51pzpcowy%`LD{4anb{=gy(@5&Iz+TRjsUW2KSL;)}_}dwELNo2Pm>6 zi@Il%g~!cAGkc!f)qglpE?FTSn)3-pf2OItV_Gjd*E| zp?0h?C0*V@%o6^zi!^=x{tPeT&Rk%eVkk69~2IE)51}6>35jLJ5uYr&5)*AFSW4)+tK<~LrmP98pVyR zJW+)kjMghCJz~i{&~Au!NKbPncAm1CwGgAslIXki5A}S7agn_M@`s;eNDpIR`b&x? zRs4reD!7dp2jIj=K$=i&=@L{7xnu+^Cn`9aQG5pVWOCgcIvkK@!?qv)lG-YTYLw+6 zwV=42+rPEZYPd>SB%7H(SMJThlm+}_?OZdfWM0p&-|jgrdREX;RSz9wn)Hk@)aLwX zG{yK9N&TiX>{skoD*EMpa&+VUs)0Y1Gy^s?uWjd~SB z;sg()VX0xiv%04u<Fw0WXKYi8NGJY!MQ zAi#vCo2WU!LH96~q}~RAYTc4PpG|}$99FXHZ6+P52P&FY%mGw9ZBhw~rhx>XzP!T~ z;$g_^fz?|CNWNb5G6htAokNpAF&SZLZ>&$6-oFMl`j z&YcoL2`-q210Xu+iXi2e*IZU~d~NFIxTgu{%L zi0T)YbIFoI<5nIFfnAw!2_HJe#rOwap=)bakC9iG z1<3FRO!y1y%23X7dz8eE$z&wP!o>rbe^yEAqUyT{iLQ-WsRzZ<~ih-?R@a;|BYxw5L`)q*yls z_J3vM-|-)0d(Fh~`TTE-&XTK;W2nmRDv5FTQI?2Gx%|?c!kN)q`!yhA)*CXY_sj$-2g!woMNx%j-JXXR11C zkGt^>*LdVjT=J}vQ}raWN^cUvO#Nqvx$FEgoAfb5jb}FX#i%^mYknmEN3V2Kt%n1Fn7rL+ z`9WsP9CPzh&5J$XtVsIrnLBOX+*nemEZOpbcZNF~mOfss)_#)<);7xSnz3Xmd265o z`OiM5pUt@F9jC6XXy@R@gw^|uO+91fc}sD#?Q8rDF@0&#=1w$2x4Z4RkJ!!0V01et zPT4X)auQcI)^_rzR`6E`PScZ}{;2%$uZ!r?ST#x+XhzR@R`0`Y0JR%_>^fb;KNhT{ zo>lm#Efa<|QGhJe%FIa0(y!^E^zS)oJKENhWAu49)_cn@DLJ*q(HT_z)*+tyez{+% zy`=2;C??-4Ulo=rP3Gyt#VbED;X9)sRBJ)%6Kwe}b}aO?}MxWVg%EY+Kgm%oIH)8L*U{hxZz{ zaOc{t$~p3O5X+ivkaJ#X%lh??|0njr&u>?sjs>ZK2b`Vf>FZqkKxWh)$hxts?3k}cy`j~ zxw?9(_dxG8eGedajY>6372RuLSD%sw;yzFAd4kgsR z6SyoTZ|@#0d0_Ww{6btKPrU#1J+`Np@u@BEal1MrmdtEUoBQYo>9kbeU$9UMnTm_imdQc(_p-GyUA%+jOubZEitk!Ttq43wZr%t*h$=OJi*Q44an7SNa>G- z-oQl^8t)~4+YiVWS0UNaHv_FT^exWdI*3`TkFSLvBApGs^3pa=e5sbhqJ5YYlcsafY?awSnr7 zxl_Y=X{GD-z>ZEUlw2Fm;#`-}(O03E-M}dzTjAWxF{G~_39Q=<0L2;>MY`}IB@jH0 z$tYfhx?SXbH7qw{DT*Tk+LYrsv~{+e}F!2)41Eb~kqDTV_r$xEvMO=rIspnX-aU=ZFm6DGLu-2YjaM z5d=~y%A^wRBGqi=OEGSLv#S)z5FYo0T2TWuiecq4cpl1JJ&#!(jTXsUWf{$P4P>A~ ztlaWEBw58lq$^W)K{9lBOvog=G4-h@EKB9oaSDks1)0(45qW^C0sq4bD%lCtED`(< zCSuD&N}<9QVe0Nc0Vx)sxc=rO)S4`%9l&&k&s(N3)<;?Mgpwk188} zABnbZfXPi(m!~eP)gI&b;qDw@CH=#4yk5C=H&{0Lmy(|rK-cOqjE;UCF+Oz#jTe7! z55P?-0zKj)G#xHe2J6}FD^4}r&TQwY?^>XczFQ9He&Y0?vSSQ7*P0a@#TN6GhCSvh zhewM}{eHA)@>nFf1H7Zh3;gpv%V0fcW$RAo^ym2IPNnGm6`zzuGKjNi?~1>2HT0Ff z_x!V8-(&u1tZa5;=*jx5ol3C#9woT9m9Y-%mErYTRYPYN}qw|p~j-j zp+{1kPzKM~KaaxOt@L&4s^!ksOTG53S!J!!?zN-MHt(~}%&ICBT7}vnMR}{ehWO}B zrN#1{QbgxmZDoqOyv!c)Ph+v|j<5gluM2G~K5+6-!Pj%oM)sj^7&TtgD(QtvCa;wm zmH6~0XUG3;YvVs_rGwq8FT(UEALc#5Tw~LlWADOL%$;?{{*3MWNh6v{hPub8}D#i>sQ_}NR{78pIpTcC#TJ=%Dy zf(^01!X&6wXpIorpZ8orWmixPy*?c%zHVxw5k3*+6DT z9aJs8xnp1QDiko*?lr!|)!4t8RFi+Yzr{TJcj`%SFvwY}p>~UJ)$Y0v*$0-7w&3Wf z(-|E#Y`n)%-%PAMgLicvkUrisTKloREUM&DfT50d-EuS>p2jeM=0FACg!ybS1r=!c zM3z30?d4w;b`}}>n$a`%$-cGSPugqudMCOYsB<6}yWA;9yP*kR+x8^Pg&uMm-jAeJ z$+jBe)R1D&wYTJ4RSM{zon)A&8(I_uxd9S0L2 z^KyPkKHXDD7uCUZXc%tI#O#hXbf}F^x~I3c#(fd$6uJs&T5j483mdkt8=9A2dG~Jf zK{RFkg0gcvWm_>K&BV>iL}qmgY zQD4)v{sIN5h9B|ImN^>h4WK?b5-~=C#}U{i*J)|HZ8~n3NZu`E_R}lMcG*Us=9k<6 ztk_qZ2bFV17$4}PX78#MC4s|JXpeacF4ew+qj{T0)3Qf0&^O30?UrE9vQoj-9YVpo zt?1^CRmr9FIdBcU`GNQSuHWT*vO4|64|Ms-#-{ z$_6qc;G4(=?I{|vFaSL7w+6rz_ci=n-pBP-kZE&BZKf+|R2K5JN~#EwLgDt5>q@qA zR7wpv7=(e;cG)ZsfvcjLapGbJ^s2l zRG?n~SNh%kUS|j{XKiV*#DO9H8Zi83$^Lv;OOYJ+`tGBAv+I+QDD>**sxZdLL-?dZ zOomvF`^U)W(1VL+YvF@O%#yV4#U|@4bQ| z{9gXSU^_*LJ?2i)!U$U;ptK4>1(0?KnN`J)dRbMrD09X0Wql;8j70YV`m zLO8x^4sXR5?g5MjE3FV^2rt3QTI7Id@s~7O6Wsm(Y!bZXh`$c`1Mw$1lhy(MFfu`I zL;RCkY|?0o_3&-*p62#z@cf6NkDuyF`s@AhG`>m24vkFI`aBTl&1oGwIzg~v0Y%S+ za9FKV(Fn{!kjN1n{i1_Hb)o}8Y+XzOq~1#jjO5d3c&%vxhJeY1JcKqb6nXezL5s7= z+LvK^01GjS?!iVqC-<32L0%+^j9v|yAP6}zCnXyzB`gb?RB{jLlC?b!Xex?NNB$g+ z)Q@?zQ0RB)dLGxtRnAOWM$ZC<1{gVjR>Rs{9*&Nu5d;CSI>NM2)jCvJ7iM@Xqh%Qf z>eXvI5mRz}bUN1b^Y4FUik~QKkfb3wAOaK0DgI}CgVKou>L4e=2k*xNV!1?M1i@eN zB24r(IN+zC@Zz-uTRaa$qcuT^`dCc@JpR88l1<>b7QuZl+_268^>S;|@}>k*uSp} zbK>}T=qKy{&QDlxxFB5SyY=t8er6S~0-(tiyYQ0hX9b%9isoAXPgLVt|K--p%fDU! z@oEaFS*+!1==i+>pm14lVbiu=4#SS)0N47`83%B2z(tD#xHec%fAVPTU^iD84HsFr z0#mHoeIu_1?zUFD4^45`HiOv^2clJ9U4LkEoQfv8;ck6!=GCH5Xiw7(XN@8BZA16k z;cuG&iv}1oxEnrhkJHJPyQ1M5mpkgJSx?@;HL#1b+x`5vtM^$x%X7u>XIt0D4jy+O zh#8N&FTmnPsDI)O*v)Y7x44@;5gXrEe`xFa8TX;BuH98YDBJ8B3`XB53Qb*p<3@E2 z1@N?ARBm{q0%%Z2UUeU;bX`~WHLcou+#QM@-5zR-TDFIdM8yqnSOIDF#@5*CUj*K; z9CEp0!`)6LW;vc5x>is$d<3kb6P9RX15nDwHWcK+D#_`1!MpJF6UW`1u@kSat8oLZ zZi8F?ZvAJ}<(T|7^+ZfLRA8OD9J_GH<(?UYO1V`@Us%6$eby9$JL=W(UtV=>;40%4 z^g#aR87W>to6C=MUl@)quQ~EY{I1}t>rwmh6tEWK-?KV);cb_DkNcln%Z~hWYh@ZN zBMpLK^Vz+Ym0H1H^s?aXa?-85NJ#UVajr1ucw?mO^>HX@iR&c z8zko{3<#A!`dD(ykrLQL+nWl)N-}Q~5RQP*kVX zwtlT@C9J;7FfYdgf~aw8|Z5HW6G}$ zF9mX3YiL+uw9+v*yc?HyDB^I`S`BdC8h3CS$1!MG6k6BKqTL?AH-n_zRcORsf|Hi*a1YRi+ZROMBi8Yn7S) z#f0}nC4B2|0F5L%=mby`2VjVZD3A`-&>{dco?h1RZ$nD_Be3z>blMFOc)a2x#>)A$ zcw&OwUTipHH?gBRj6p^!P#_XAEEFanOr-2Q*GD3ws?v$5OA&rVftSLc=qJY7MstaI zTwg&I%Q%A7^gF?yH0J7}fZJfSMoC5oUU+4!7*S+J27){mnJwGr`QsslAP~qd9!IUHRgXlbzqua(hYIh&<5$OLeGO4ysP2&fF0#!vzfpWb7KdDZc-d?WutE z3M)s0(1|PYM|<>MS3}=Z_dZr3}NcptdT1QXOq= znNe9bn_A0ZGDSMJgzk{fkL=*mTgo7@kttxP94uJshdmdkLZ;g8G@0jjkj-V}Q0X~d zRl0T^ZJjaaF{Wxm1M{9Te+2-F*}GCwu?daHdVwV&nzhOqG`#7 ztLzpDI(%#;?`8pIH!9|eo=$qRBe9Y|OIdzJCtvFzIdM{G7%|d`R30krF>3SuHYJ3uL)&3RX6ihB)wI!01 zCR*s=D0G}i@1+Y!{Sc)FDf2JrhEmRg@FXukAyr%aD&+w{OS`CjoVu*u#rpQEMpjZ< zxJU(|kdtIMzuw0AdiTniBu`e#))ELcB9Y~dWH$1k(z2n%SBBNOvotGv)%{5k?@L>S*p{TNzD)laeIhc4(-@3eIFh zh)k4Wgwk+?DkvIKfI)U)u~u|wf}4vmB$-R>KVPj5|JSKIrwFpwJQmFnvcDS>;bg^| zLH=BtZw{JmfcjGnUL7`8PAUswG1Fi>-2t`ILMUTh{8{9qcZl~EG~$PZ8iuUeU!k6) zT$&bstV|kpis!fkDp!U^0BYwg7mf5JL2@JcOac?&B2LicVj5FFwTi*&Ps_$eyoEHn-xJiA!b?X1J_wHeF99Q0O&oH1t!e+WDh6as9SJOaq6PoeJ89R#IUDH5=K$tOd z#*Sl)5tfNB@d}eH@n)0mrho<^$upWbI96f}MmCOPdy(Zto6Ejpgk|KL0+#)1z1bwf za)Pr-ydY%bOPser7u%7u*>At^{o{L{?gys3s;ldAs_NA5oT@sNcFF*QwfKkNl#-^L zf>fYHydU&=$F*dYVT1$PcXtsx+A9XTde+k$&3^inJUKzNjcpw{DUvn&$23x0_}A*lYWgG&$h5j17mO<`>4c-Ng?egOhRReCe=5#*_(ux-rh^s&95Y-{ms!WQaYFVj$H4IE zM+ML76HmYVVP?VBvyEqIM=(6@+IHM#l97i9)1325U=RyP zGO<^Ithu>O((l)XT;`=bsj?zkbdd8ov8)h?7S~CCC#{VlUrv;uSeHrRn08{ZbvPA` z5p`KsZP`iT(&{X7P`N;5L_B1_F+oHnvM4&4J^>>;(~Wh9!jUtNsCc)mw@InQ#=*Ug zK9w>cAbY}j>JBJtCru<%l#Nq}sOwZ)A5NqwEq$a>8kr!$ymi-H=jQjG{57?7U5Z?e zIu&OS15+i!>msEy_=GwpcL}y!k&5l$4ao@9(h8)Go0(+8f_!9kffn5%*RfXsy;;Nd z+%~e%Ce+_7%6g)=J~99n#cjmyPrlAZ>eRrRLbr*fB`O@R zQVrRDFiwEyjee7D%v?FP?Xx@g{>mXI;akr_Ol(c6X~v-#Q}9oEPg5>{9F`hagEIe*1W(`}8#>p$ppEp-3gSyEB00tY8t z%f%s?6Z{1zp;1cQqjv~0izu=t6WLu+hMSPcAlc(M*md z&|C)|n&1%1Hh{?a;hHI~U=?TtqO6hD;hmLWh7kZtV!athJ* zIgNW;%T48g;s}UDsnMqK0%dD_X61Vmzdkd`QA=04{Cg$i8F7*k6RxoWaRGMqPm)88 z$F(>4#D=6gz|P365*iaCLJ?oz0oveJBrTmrXE+P^Z_GoP#UCk5ckyxRWs&hT+Cj7O7OrR_84)G58VUK=7 zUZHyoawxa@{jsU??+zH-z$rNv%G*6w>s5KjfO()`S{|=$i*|0zZjk#LNAY~_KE<0Y z`nx+<1f@5E?obUV39DmA*m22LJ`MmlS}TOMpzd+0mAwTUZilHYQ`KH|Qx6;&BA)>ectcaT@~t+0Ve9P%QnbnMOKg;8m&(!M)6QK zs#nIEdSK?=zE~vcrrX8V!(wuqN2k?y{=VjczuUI@EM+O8V3P$_P4y6CnfwY*Tx+eC zoix$EX*SLgaS>a71TrY?2Sj=#n~6wvxL9d~CCa=| z0q9S|h-%q&Jn_^6nJ@hATrp>Lm01PKMLB7b$q2L(b+OTBYSRqE&#qBRdK_z1uG{2s zWR;A!8rM|?qJxsnls$U78Vm1)TD%tTM+UTehRNpj4pwD;45&vpz|F{3t=nD8!B7{w zN8dhS0LebsFHWn&l2ab2iu@qk{cVNw-60sngz}Ai2D+}?&4{5K6-mw<*%j4}*V%Y| zCW{;gOg18ciEK|$80YJBWG|Ba6W#R#cGoi;F`_bs&{lA}x_RH;Fxo3N_8?xoU+Iiwy)YL(c*;?yWu zG?(C%1`Vynb-(}uWwyCicr`QIKsH9^IeWE1IaC&M_C7v|T+Zj~)jTF0q=@9?4FI@w zKFU!&G@uxLRCP*ZS0OR)`>-6AIVZ6PN(`Y>jx7)?W{6=F&c;h*?VK{ijcHW6K`f^e z%PuH`81rC<)r5-*up&AB35MX9EF~qu>l%}&4Ari06-k>g?ogdtU06$lHkZ=uNc)<(pfz+72?vxwi04+EZt03s0=v~*N(HcF0q;zM;K@;hwcEe4$|t- zGK>UM2-CMsDNYgg(fXvW#L9JJq)=34r`%0!oY_SBMx5O$^0lJiu#PHZ6Rx3% zd1M#wMPNYa(bzrbVHh6{`!eYJACaKg3kt`8p z{6Hq62kGOa*78#NS(!DuW|hY9#^r&tgdl1ROmrW^G)D~%aTGkhm~%3g@JRu?1_WEN zC=K>!Av;GUH#XBWz`=s0(gh-@6?KIgg+(Na+s6CtHPSLmgp*tAPuzdHP-%$HA| z`{MlV!k6btu|(MOFF$!-%KIn1{<_O{Xz|@5y*^4|(P!z(yz{-&Kl;xPZr^;){kLxa zjg&tnmBm;u{_>LrWU1N9ZVtbldDr}>$!KlbLYJpPpg zPM750@NVXsFI@O)kywiyV=4TSgSHJ4_|I!fu6a+tm<=wzT#A^qK=Q>Bg_l13WNO6g(^%idq&}PMV%U$O zI-kT+yo*09_4W-nyPwM3*?-Q9jERe_pPWlV#aD3FRa_oE+IAk?>Fg=>lcr zC}2ZmsFTSfqY^Z|7`u(LOO!*RDApj)l$~R2L^*A%e7xiJif*qbJAItQo_!>n*jpOPGZGrp)J<&n^2_Bmm6a6_4oGIy zC?Zn6qYU!{$kv0Rs(|z?lw>8?TmmBG02MKrXHelnmf*iqc9bg@-1A&J4y;V^QOlSCaW5ko9}R>QCecdu7}@uE6Fna2p-NhVn5&)>WbhAM)Ul2D-s4BvWU&Ry-)4FlNPGOpeGtD98)w@i^b3MEB($ z5RvvhZjeMK{O|N>03n7!Lpkm`{>s2VcZ@ zq608&?BE{-k=3YqJ0VE4p^)qar4P{lLfENH;cbA>ruZyve)C_@W+kD`kXw1@8-I@7 zlUde$u7Z{T+2WW(I_l!hV>{$o`w`NySf#}(El6zP`ST*tc`3wVl^^j)3srtX!nIJPg(@vn zX`xC>WA-<-e-^8>Sf#}(EmmojZ@TuZZTmeR>mI$265OX*}Oo&Nfw1B+E! zN+)Z{inZS6{2C=|)rYm}1HhuqGF*$pve2!J1sKCKt$9V6Eb|Ot^EF{rOLr z@VD$${?$1KOVMg6S}jGZrD(Mjt(KzIQnW5g5Y{~G$H0OG4=i|K!2=5(Sn$At2NpcA z;K6z0tmmd&C*p`BkTn}Vd*L@T_qVP(_)nttF&09#VRdUX|YPp62L71+=2(6rmnXHaBBwqEKnrB|HVp)zj$jkoBw|_ z8|trKHjTVuKlYlygtHgX)LwL56#AnOAA7YF1)x6W^C$Gyjm>Gl|0s0IVv-jEeDdL3 zs0$&M+~-|q?~8d``p9!G@M4mSugJCNe?Ii0dnv4R&Wl+LAT4@Rl;>&Vo!y&1_>t?Z z^Z)wq$1_C|Tg=M&>kr?a-6y^E_4Ce;?iVQYk=Mmfi-E|T{KYT+2R@m>>+bnVCSUc@ zr++I8pZ@;SeLsrzuRne4%l9htAACGBNdNV~|CisKYbt;`eR$XJ%QN8{|F6?K-}wHg z5oNyf$orq)J6Es$%?SRYxt;9@fAy=6QT<$)i+wKo_{?iJKej3JjhipLuwT@dF3QD) zuopl4KMDI6U$fNd?nhqx^;aJF3;+MQ^P`Hoz-%tCn6t4ig*eORmU`dE80I^hcD%Os zjj#OIsh|CRiOlB$E)wAXlk0ru36FU{xob~v=9-s37O&j}KjvC|JRANzGd=h6<2n0_ z@Jmjz6zt>Pgc8z{`@+Ndw@ZW}FM*AtsAciDlw{^lzIgs6MF|tqlEdkuIv7#F!~qP7 z${^H`$+L(kho7rN`@G5+0>HNR6oP;9^xryFw`Z$#Z1c0qSWl7QUH}AP>2sw)Yu{2ut`Qb zaB6m!gWn`l0Yi1A;iwDScviBz>>AafQ_X^^Q~(ZR+vd2=QKX0{G{D2C`Z+;$#kc_* zmwEN{d#~R6;g9x`TrFL8T_fK*Oqa6{nY2xnBCb<{N~P%zK?b_ZDRQJfFsrP<8YxMe zB<-AZ>d?lyF%sEg zB;^imkzR^Pew=`#5K9F#heljB*W%zv+E*%6Gw8G^m6E60xUj0(`piWZ<8(Q-ag9=c zx$c7-wD~(Z5|~_0WJ;wiJw{3|oWzgNL)@c}Agr@Rbz{1VX4M6?k6y0MsQ1fV*f}T- zJX0-Z7~xWuaXbOG3`Yv#wOuenr)(vnv#UD=*zWaehN;6&q_e#@+@XUbfHx1Zrqj4w z9Pt!@i2ed><){oHqZ+S}Jq8?vG&fEUG>u#bX9PW5ZlDa-xz?>uJ(JF!l4r+PDZRoY zP!Y=foSq?$V4-_wLg$*LX5pm9r~z&0UNF9ama4~9*W{`FOsX&1)>3|0857hf*sSOd zj&dl1AV|m|Pi&r6J;#|;CR?U68TSl`7757dO2>(A0VHJ2w+e8o=;!gSr=NYt*t^h8 zXtqS5awAq@NVXD1PtuHLIyr1&kdF0E6U8ydIwk4_&Bzd)rle3QIO1vx4}c8TnCeV= zhWQkJN+i9Jx0ga)jtwN7NODT*fC*~t`Z$XXrAx%ZIG2WGC-Nk0GL&E*geF57jM3tj zx1kBy%u;p7VKh0hm>Zjv#@F+t^N-!i+}pzI*Vy|CDVqm63vz?G2vSg0NrRyWB{?_V zEu<4J!ls(3rc=Up4H*idZ+XUT6vU)A3?TLe%~p*KgEoc)NtpZl-~L2eh)9dckd8O8 z1E?-)jP@{116lQ~;?P2D7EUc3%aYjxO-+67>-yy>m1-3?!&b2j(Q-*S9n+S_jn)F6x{8&%_+`8r<1%Q%vy^Bqn>$uZpTOb0 z+0RKz2!uTpfJvdpc7^)W%&`rvMS4sa>(PN-%|e;py!T%|^rwH8mMBFz zZxFC)uVM*rgep`yuYp{Klh-IJT8|io%1~@N?^=$^?T3(~xP@?rjfU$vjqn)W0W=dj zRNY0T$(Lf64k#TsITGzAPieCYW-vBdzCuyPXwL5vZP~1Y))=e=9ZWV4Db*=K8aZ5Y zqKaqqG*wS%m|}D%%hAGeRTVRM(u^ETo{%NLE35iS5_A_kw2G-UZMsC-YrUv4ER~Ag zR6k9bVKJ|Kyi^?DYf@^eNZF;Bf^VqDEB~o2DqM zun2(Jgz3_mc6lN=)+$c)4Gg(P6?WJo`!}?~ihD*%;rpHM}88I1M~W(e#!3px7+(A!LFLbF?~o^YK|y|Uz) zGG=i-Z3r`p4Uo}D#?baA>$z6wmQ#)Prc}7R1!d?>YghnW>X^pUZPhukk*Dk7Jyu$f9hU6+cy%oU!3 z%lk8;DVU17zUXpj?X**xP+f-sBN(FV)T0h=7;uWiH7Xd!SQj5c3a6yNR+l_Z1IT6} z!cPde_>P9s+m<&mlwITNkqy(5Qzistyy{B9(}oR#M@7nJqNCuQsRuvv zYD&@BVpEgJF%iID$6dppr8gpjUyn?L6Lrd%D|x8Cb+w4JR@E=kHK-4G^>TG9*v0d6 zvb-IVJG)6>u8uSONgDRhlusz)nX&8wM`0whl%0xAsjt#?u>m!<6G6o_Ax)sZz%s7m|V;(_b{p&aUJ&&G>8qedz>TS;grnUS~Qy}&FE^|4$K`Ut(0b|uQK;(7%(C2 z7qXEiY;qY1wWM$yvcPbe_u(V*GQMcU$=PdxT@%N8##%w2tY=&ns@8|sFkQ?ElTT47 zYEbC{C3YA6J9fG1kUa|J$OS#rwJs`L5?rn{ml<9KYtHReIgIWSf(9c~nZNYUf9B_B z>h_)^!&rtnoPBbERCUTVY6|DJqVBUtBw~ zlWz;c!_R>fh;-giq62zVxwg*?)#G-3q68?pEK=!EA5h~_(_`AkYMB*4;Dw+_fO<8S z<#$_42gj~R{`5K#T*|JJYZ=Etm0K666jf(+9gP_)1$vh%d!Gkm`V=GUjDinQ4oOTH z25eN!6cxOUrPqbH5G`Q^!67pBEZr*f8YSKv>L>1TM-5lHNU@?L=)qyb#d=0)wM*3b zM2$gL8^~WMChBo&+oWoUxY>15P30W}&B!TUlU|6zJ|<`AVcly~8IHySPrlvY`tS|y zEUoOOL>Vk~1QufF+U0r=jn$BTO;Q`;)R+__LoBUOAa1&VLv%R_{;zkqP|QU+L_ljw zs**uhA7>S$JG;Tlfc_njYnxrMqiRx=H3ug<zyvol4i}(!rix+zyz8xhU0Cri=dhbS7lgH8%r+py za5+r9!l*W!;1(e{Txd?i=L*H>cF3hO%|cC8v#|>bDiLM`-nRV(& zpvt=(kD(e^qwf$j$ADnY31*K#IfJacpWSA*^kL?Qn7O3>`!eh^9?fEGcT}+|2tS8e zwb>jPR)=&G_KVIuHt|gGQorUkgDPAeh!@GpRl^PPI#AzSV?Lrc3hZ&n?<;=jjlw3$ zNwX|1C+k%vz7jyUOh=e_UM`rEJq;c7sWFvFQ-KT?npD1CS@72i6b}zGxlhx)I$lc~ z-DwPr^@CJ#s2{YeohB%T1!A?fh>*!pE;rc1#mmsAuHn7`h zbt%ch0n*<|H{Qsg8mwz~(@}i`T?Zz<1Z*CiW|yB_PFSICc)6!dvx>2aoT?0qVL92B zrB1jMr-4j08%{JJS0NsfoLi7U%kX$JCE8Zg+xZ?{Bzyy9&@lnHB76nAPVrE-Tt(2^ zq%@(mM~RjQ&85&L@)}lCXn24&I!UZ{dzLEc45b8IPH8wt zkTsqmNZUrlvE?GGr~nK~ZTG9JLfKW$?olb02qHX{+N@GUQnzu4Nb2!!BshVt1!1!x zH3>47>?0~Sz!*)M=|K062wH%<#Is80wf*!7*1@~PHlfQ%aNY{0OwB`aplBpTH$jk6 z%&Zpzx|>(*q+`U4LZzvMa4A>B&8Mil#VR8WGHHz9;KTyaA!3PuNo+$|vctT*MbK&N zaLq#QppeQ^PLWC%=-LxxSn_dpQ{sBgpw&PG%?0R&81a zFq}m6)G0FB2@1^%z`+5BL_lUOAPQuiaY`w?O8Pz7VoeE9A1d-=2iGm6uz=c+lemCC z8U`k(0DB+M5#{!Yv}zK5;>^M}7iLV|Ay+AUOEqoR02ty@R2w`pEDq<tN~fI+ zBT@$x-1OGJUVmxv&(9XpRt>x^mGbL7svHK|DkQc+VcGASEpQgE4|*`zi(%u5cgYdMs$jRu-sFjgu- z*#JTpL;1-nafgJXNLuXLeJEAusTRo&1(9{}SyX1S@x$R?zyA_PEnRk3litOQ?S&L# za9UrYcKA4=Iut4actNv^K&VA&ikJ?=9Fu_qE?Kt~7|<>YL-anu$iRHtHG)kaz?gSF z1IDW0U^PwkFm-{=)FsNW8>0+)yc;)Zq)d@InCDbDGlOBX_~mZOCLj>+tBVwjrJ9%r zG3FXF%!L~n)Wl0e{b;m$gZw^m1`bAAqz->Bs42&5_GHi-H@lodAXZ~g5uCr7Rt_CCS4U;23>$5gJOA*%EPDJ zWTcDbT&lPh!oa2iVEejXp#IE!^XXq^4xd*uJM=ETe-=u^0`i$08V8t< zYb^p7*9jvbIt;+rG z`lJ}(_mJ*`oJv83oJxoxf-GHqxZvx~DnzSo*B}>9DQRu883K?5D-wYHiOlRIE|@x9(1Oh3Bh^WQvr zRpw03Qu|;0@k^AmM`yjRkZfYkS0P*v7&~BF3gl<>Aj>W%m0+u5DcV-SXw)F5EccG` z_FPpjVp!lb`p76^aDo^X2F-dH!y2X7X(_-Il=sCWoDPALmMDKNc4jfar0gXC+SUq& zP3Ka9LQ#BdbJI0W!iv9K&aO@8n=uWl0VZ5q<`O|7cmdG{T~2;%JXT6D zMQtBVr4U|c8{m@zI2DVSvNJj?>Z4>Y2dISXVw_xJt?sEd7^F_!b>^G*{%IGtSXzoo z6Lm1o$vk7@3H&2XmfftXjDytzeM$xtsqumPriluXg+A_5$cTo1XpuxCx+1f&Za`F- zJS7laBS)IALfHx+wHy)6A9DG%3?5NlX^ikDu{syGxwkGRM;aG}*>OX26woiLij5O^ z9$(=inoFotFax9@2N7;$C(-KyqDR*4D#HmBjdjW{8OW&x4c%Wy)Tt^%#v+~61?MoN z)JQ5G78D>+6O1d3rQUx3>W)*Hebq4P87gyepnRwFuaDR5?U?okcG9BkjN1lm;&<`%@LL;eG4gBo)VlYuE>+x za&*lmXcI2lnVXFLj7BcsR-lGAk4d&3*uf7*R<&xE2np>tI-vuHW~4IBG9j95IB*pp z!;|eWSYGZXdcEk{ghuhpMt4feMSkNQ3N(rNw);Ejkz%CTC903zV z7v99FC$SNwxk;kwMwDZ726POJ=M7~U00u;cv*#L3uDKnJFHdAvbu7_=qL4rZXhjl) zI1mUwNoT3a3>yUB9nQb;l>lPI>*SlmHJh=Ybmb_%45B?dVeAn|tkQGsq^)*|CZ8o7yj$bbsr zP!1Cj9eA2(yVovjrG2`Skp+qRmV_O}c(+PKA_`{-pq4N_!LDIJXrooZa0T^po`RHJ zCPOh$K$>vr&R;$IUgl>WK37JHZqe+d6KfvwTkCL5FJdb)>P`nP6*LD>B@_XJSTb3X zysQFfnvz2%9G##+D#mL%vkAH$)hI(?(g8ux5hNjsqU>6iO-e_kppt`^s8VN^=#WT5 zcVQ=IE5n-Z)Tl8-3lV`iNi?L$p~X2egiurlB&-^a^CH(QyAbaz(j5|?fZb?Nqex3~ zXyij7-OyF1?9y#2AzB2BSvoURd4(|d*`I$H{56S$Tq)m1@c~aXKRll*&dRs-@TW@V zTQ(F{n+rz8P#0>tIlvBn)>DOBR)rg;d%RT(#;Tr;3@ff_xGFbj#&-Pk?Q9O;l{9`x zx3_^0s(T9Ujn!;Z1$#>ul5do6UeB~t9-bM08Wp`;Bu$~GkYC@0NA_$)HSCTic}t{f zMn0JsdZILETNztY-%f|O*R8qL$nI%+a$DQn^?zt9OS-G4l>dzc@ytV&RRc}+Omc_! zc2HA1p!+L?YlZ2O13x^Wqj&WRL;ha&_sYNPE2NLJ2YvSyne4%mp8dz0^@f~hO(wrd z?(v*t=low1W^3<@wI{yTI+eVlAp3Nrhy8tbnz{{y-!IxI?4ln?)ZowNWr1x#Y->bW zGfkUH+_NP+`C4C~hTY!E6+P8`-CB_wxE#{*EIm`g2j9T-Y|oXRmkP5M zPQIo1yF$ARdhY(p+`8eNPZb8l-`{s;*RMZ(;m}f5QJFsd_c+??dqnW}Ja62W55n_z zRNf$d_FGf@rhfUz6H3M8MrKp!RdKRZ9W|M@pt;AO?0JfxEtP9>Z)YoG;^cRpsn8pFW(s?6GV_N(XTSJ|g78a8X+>Q* zgHE(&4x`wQl!|0nX>1ey8$cm=;mf7Dt7ma5s%ra+h;L}P^43Q^%+Jc&m8RTyEU1n= zI4(3bjBVdl35cQu`Rn7M0b!=C{i?0Un|`dfsFmKrlREpa4~MS~F>N*5zfjBHCO<9i z&ptk}ZKC;EzTsc;_;z`+`P;ef5BdC}RwkJ{I9EFWUr~ReP6`wEqwCu|%2cd#OLAiv zPUOH^Id|g4yqja8d3w*Q>jOD>JMH1atL_4y_x=OFi@ASaaiBr|J~PUO?icSa`x@O| zK2w^>FdHP zqqk)He7n}`bH0L&FSG4*TfvP7N9OSQf)9ja<-1d^I$LAZLe^bG&D6E}{^YOZ_Rgo9 ztA!H`c%b_~=8J2@FX9pYGGXVm+Iiz#)i<+Ao&4DM_Vx+k&hdtps+VqBtyig&c}Ap> zf!iX5r{OVU_<>-EImj$D^{K;E1N`uY-#?%A`K={&PY=#3z5E7#jek=?_z~1Y8>7Bi z;hW=1@~Utd8v)zD8o5eeUGQvT1HIncfe#AzJ;C0bFO0T(cg(0kVSP?V_x!vu-4TBB zFh83Z$~OFK_`&?E=r;I=>c0EquU|g%Nc{dAM)~izRq@~Oy=xo^cZeS(3jJp`)bdS_ zd!9{xL9Xx?w!V$lWPO=k2^;CjliAJtHh!&ka%Q^JduRRk)GTJMsG2DceY4>+SMB!R zvU2{B+qc*1H+#_f(yCc+BQBa`{seQ(tjLvukySD|+&;X`H{bopjt%nBy!VTWJ;jfL z8f9zrkIMeqdkWzn#93T3e!H-`{?_Qe)%#lyj*T*RuWrpBHe-K~Yr1*0vMaVNYe77M zhZ*+EpKI9j1{3{kc78vIt^R5L^~!vynSZKu-EYk-R{x>0aCB3A;kMFyhH~htBU3|( z{5@~J6LEb&P~OtDtmNiL*#-1{rX9>gm+s9Abn%k=1k=GMJ_BzFwU%bJgXSWX$miY7 zMRh0jId}`|7+Ji3Db_L4md`UMm|1j0ZzuQB$J;)icsyE`cavJ9S4M}qo9*!H{C&tLVlgXQ5<_mIk_?WQ^Jw2yaz{iq}C_dLs9;S97u=Eh>6&puF zQ#U*NSah&%0qtQ!cj4PQ zO2)eRh3aCon$7ktph~5%6I@#v=g*+ecULjd%I3n(=BARoGZEs{tO`>7oak{_h9&qBhrv9e6#GQCw?i>14 z(TO_x4MNd7nx4jj3J99(rmQ~;Za+B}h&U`_xVi#{MOShZaa=>Hk zcIIXgY^!@$-4$9lt#7OQle!D7Ys+u>%0l<%Gq2rywx-jdX@{HGnQCBzSYjD6)1w$X zSXM`-*|>rxs1XmdMU$I)>L#(turOT0+UoR?GWJj^>vIn@Yh;#U06FRmr^NcQd*+xv z)lQ46(LeEgpq?L-$V4@zLmh@#jTgPUh_KK(Z7e7<0TmXq!=IsfF3|%g6qlS!Phy!mK(qI|0@6sI$EJ6|)Udch4x-1gtkmPE zx;fqj!;J$6_HW1p-#o|7FywhMPii7vPe`^hW}`}q;#D;W{CXdt#Hb<~d*KO|rJ8hI z(gSj}K2k6&q=@z=UGvH#v@Dm#05#YJ7Z>}HT$M7T-G)QX3i-4)7qbi!-4MA)k@~fMg?Y6m`|`f)thj!9DWG= zAh&#fy=St#JX$A4(O_?zXsk+fvAzk@me<=+0rr7vn*Tl4j}JXm*?f8 zXl>nY`S$%~?r#hhp@o7jID?4-{i&DqZ8*Aty~h{$2S(1RDNefOw+$m_N^1wP!vMK` z4`JWyE&M3t50(t)R2x~ISN!o8+_72@m<1}%jK0I(wc)}pb-qjyY|GpS7FbvG%IJJ z*&3k;q1MaUSJ`y6>BY7hc4sFF)+8EPszucpo11MJ`Dj&nb1POeni%D~#_t-T&| zw%cQR-6y>sa~Yhe4}>23NAeyw2|2Na){k!^z9)hdk{{Y?s&5 z)(0Ls3cj8k;rC$n1-JZKXVZ?LyaRr}HCoL^3+S1i%11XBr?BG%AQO4s5#IF2z63^# z-Zu)WAC0W(uR*Kv=3$l_?ZI=xo!;QHiGrTf?kc_YGbm?#jmqZ>fq`wSW6#$%+>c^`w&O5;0Y2RyUeo+*E&e0KvqR(tt$9W#Pz^s8_|aJ&++ueGAzhd`4ozy8}% zblSLs?eu+h+t=IoxjNRvdH!Y^$DZ$;C|;_He**=P*{Xtq%P((fWwL8$<)iAHdQ@$C zPVwIyR)mu%T(P}vwsezHY!`R5)qw;GZk>d!MOy~5zj&mkV4{jNI^msl z1!xp?`ESnwJhNj!gtuX_EucMRvDwh4eZYP z#Y(wxy50W*d>)Q9UUuEdf#}9SALvX@)I84OJ6>;I{cIt7WF7meZ`z|jT+5WaSzT;q zs$u)udGA;+Z1h#fHnhI!cRzN!`}X^a(9EsA)dtHUJS+U(3&yh!zYf+{<|=eYyD#UN z%GSUzL%w^VWj^=Cg0Z{ka&?%J!Ojo#`aW_qK)Sh=e1 zvTJ7wj*BmR1LZ$begKm;&{XODZM3pvcocPB`v(8@_A@O^J}Qjl1iuhCT7HJD73SQ( z3ZVTJPa)rBcNfjp6v-##$SS1)>#ACCse6Y9ObZ-Ay;7zKPi;ZT}JRsh0w^;QfgLOGii87`dt2BR4Xrf9UFNW$~qOY6EOZ0*1c#6KPyBs%r zP%zmvfF@Q!QJMz2y{`LBl%}VM%b+6f2-OPvksjS36f0#hR0Nd;r0{usyn#`&P&BBw z1PNE9y+p%0%;XCqJ^-fJN%k376=Oz$kgoGYqCce{7dGj;ZUC`Hh`OV7gdqtjXr!d- z6pXCiCvHR_n;FGmKO$&J>I}^yun{pi$aTC(W}n97BUSf(K`1~ckuRrPXuv)CC53(J zY>Bi@?GAq)ccC$*E054#fc$t7Os;|h%nCspg+nz8&lkgT+##NV({KjKuLz4-(20t_ zh@{fn&C$0ptDvhyj*+!Z(Fy>1y5Ch@b*a~5M`$}M#rQrjWwbV8Oy9#O@netuWbO&+ z!`gF{cJK@rl6#opAl{FdSA@1|OooS3g%dJU4D0tbe-DK#Am7MUw68$=HlntMQ;eAC=lyHqBdbKA8WDP*sk-aOOmTcuXwhu-$zYF4&icm0#0j`@9+gVpoTMugJbmC!@kQ@lta%1*yy4&Djdk*ZSu@bMPn;ERdaGRcu>FzHgSRW!KfYyW zOJfL3f9_3ms`y=m9(=lO6c2ge0iW;N`))DLz{W`3Y1r5vdJi>*?tWC=HCi{i@4c^7 z7K&THM&NvfSel#cr5k3##*c>F|NjVA=Hzb$7Wv(Zt;(DYv7r{v0-60@=LK%c>Jm7=2rjeo~b$TVDnSQ;cWk{u;g2#_%-!((@RRF|7Y{K zGP_~lvz}jtrsm@RE3|taGQC|D;AUociXII-T z)vg4d3@V*ywug*Rt@F36#+52PQXKr{BiXkcxxV~mf`a@TJSE_y8Lbv)&>hbi@OSOa z1JAHiWOZV&rH}ay&@10W1xF7R*j@9aV|9U7`DmkWGEA(#eapn1NsZ))|CbQ@+kuz0I&GBd0rOWCmArhh7#Ifo;)MKP{Vbk9n5a4 z6`rc!-AHddD0iaiI)8D|6UomUUNi2ml>Oda)1GhDmItcbbLdXCxcMJIaZPEH_iFbx zIDhvw^LK9#PgKxH#r=<;HY?#EW(Ic&%cG?zuhSG))wP@ z5RDYdZ8<3G;H#{sXTSN5S><`B+}N;s3rZZh)f_97JJuf;H|=RnuD*M6L&IbKG8UG3 zik~SrNAI3U=9dP%-+PjqhDkRZmL_APMrZI{!(BC#q`w*P=I;#1>8f`ZJR+WEpA-F! z>`8OLH#M1eymPLecz$>-Kkg34yZYbuAMQUb$Yr1gm$^3*XkCd& zEy)|-$gcXG?3r92I|i>CHKL0(wbkqqM~dN&H+$us`{0h?-l@ySbD5KDG}v)8`7d$F ztDVYWy)U2xAoQr8;Oq_Vuf^Oy;eD%jZbb`)WKzv7f~S&QS+fSb(&ux_%{kRYM&@lI z^fvfvV1o~BXA-wFGwvRN_ZxJcd;mt;Q2a_`HYW z+k?lNaMPP0b}Ne1H2))86r2raT}GJY7HK|oEI1H53S!$!|84zZ?HHtLx-vb}kt_&X zb4qH}S+!P;{#$*)j(yMKvfRI$RfXOlC`MWAeZ9F2ExiP6Kg%AwXF!-8;5T@_;l5r^ zsJ-%cBi&z&_X!84R@^zg!BZ!UcF%UNH#^%W4(E1?-uyKI<88>b13zyB@hrYFHW}=M z^w-IoU028uXL?@{Uv&?4jX#wbtv=lka~@hJe?y%6@m+&hHT65Y|O@wd$f*$ZDTC zOm7eh*%HRj=^=4DLq@)RCHlCQB9It0i4iShr8)elZm+?GVFI8$n4N_+P(l5y ztuIRE-VQ>Q%q)XT1VgWfDf@&b$gfdl2#O&QayY}Wl4%rCj5mtAVFhLw*8*B8E7@#Z zU-TYn$|2r>aVf23YOQHt5KELOf*%$X4!dZ2aSeD3V!=Q?Pue+ysrW{-K-7xbP#9=R4w1+HjdZ*ObZ_*fv= z^Ilyec;v0;S}S|ne5+shvatrS`-EBXkL}w_x8Tv<({%;CUwt^5Q&WV#+Ws#kk^K7z zcASGxGM>{VKa145PY?VD`^~^h2TyjQgDst*1$xiMyAPgf@$UM(XTIb`&vWYNfcUkY z3+5){S7V*%M8WU7KhVFv@woU)#rsn09ek``+=gav_!{3n6fGz?-gOT@H5SQ#R*2;P zCck~p6o@y#$9!*jlTTefJ>a_<-+fu-cZ0X(V;Y{g{`mS|u=CLuiBS9g9XrHh3rf~( zJ$?0_FWym&i1hwI+ly7(?^Nag=zRUiJ4%^QP&|ret3O+HHHJT;2kBqQfjRo8HJ*2_ zC}iH|AKsIwHb+|vkM5#rvo&Yv+fDg{;MZ~WB%M{m=yg6F0@)2q}`6e|Peo*6VN zug3@34@0{!{mX@>9b3kBYiUXupjHPJJJkVOFGpXG{GLAr-wO^T z=e&=j4PW}h-ACQ0clNnYdk)KO8+w>(dTV}H$qTp3q&7oGZ%pi>2LcjI%={desz zCeYB!_Im=H&*v|QFOsaw^StkKf4=JBf6!b_jWku{U)*Ix2Q2EkkD9ixNIZn}1 zboBA3`BeCVN`KS6QoJ_d$m=#X=JguC{q39o^L%FWOE=~x@z^R)^D56(UoTG8^lqj; zJxt9WKf;tVEHJavCSStSQ`)z}gP(rudHC%t%TJ0oD61R`Z6`|%vU+nc8try(5h*VZxS z>Qko!q0ibH%5VGiU^$8o7PjB>FluA3Oi_6!DwGj=-LSNqe_DkMSnU4lPNsDtr`EfA^-Som@Gso?aiFvhT^0@EPus&tJTu zUX{0BKSC^-6T9DAkNDa7tBvuqv0|a##wYjuft$nicIgV7C6=NGFdljc?F4$Or}HpA zS>W@(+)xh=B{OBe=B=~$>Y|Hi%G)p? zQ8Qk+@x;qHbsZ20Mr-i%;eI?2<3g|aUZicK(j;3L5T8uEovjAr|6{rTy?_ECC$PNU&~im2dg5N@EKttO5r}$ zf`z1+ndCxtN_Bz7*J2&c#=&L_ViGnEn)o2Z;{Ll7>EXDwDg~+ zQx%w4+#7tN3`5}Muy2wR28$RP;t>jqYh?)Eh)Wu3@%rxhE*&Jb?29XFRS03AA|>31 z=R+9Xemb7TOyOmShcJ;5@@+I+GCJp<=0lZCLyvg{Uy2Sfq#w8uvx=ASMP5ky!#&If zHfcW4Av++1;6-94rXdP5ZyWZZSA0PVt^y)A5>)_4%9%z=iax;1*z0&}q=#wX`)FFp zi|~!6h>)aoYiK)lK!&Vd7@ZLU?uiD|frbtSu06Sjmz9e0aAXjI7#=$WF=j?T-m@oj zfBQ|!w^USZZn>NLKb!jtOR-%3AMaCN9sUQ%)RuXfx@|3~O^#30pStU>Z6E#M)K5_T z$`_KBuW~-;N2=mI^fr*F@iS8(RZ-rTuDPbXPv^zzMds|?AX1;;i`he9u_iRX%neWGdBC*4g$7W)321yLyJbz)(N=#CYMs zmckBjT7RhAjq}bk)9CEof2_Fb_1{uz8q4n^Ta8zmP3WU% zK})Wlb|o$AAl~WNf1&rX`AwH%oOuV`V;OxcSH1O;aMk~w(6jwLVF301y3X;Ndh*r}0tY@>3~b_qK^{*>9V;v-j*{>t$WH1O14 z=Y{G$E(M$=;ZCj9H}UqoNNveG>S`E3rUkjj;)B}+_+yN7Re6?m*G`dn{eEznHmn1w z>gjNO*c%;K?d3=5Gx-_3i6gU0jsk;2W9bnB`{%(5p-C>N^ zsDtLDznm>J8Ly>eh}Nwi)qn$DlQP{#x>OPSL2^ z@a1jncpnKt30&HkEtKEa06e`5eY<@?P?MI1dA>$3_m(?)F7z6wYK$}ECUjj}uKtP# zrmnZltIMxjpZgzRY-_Xs9(ro6!!+KCuCA{0^fgV?qt3G>t}lEi@JM2?m@WhFg3MQ*>*(LBhUvh-8h_H|sBIdv_+gU5B(5?4 zY)of_nQfmIyaG}%(cH#bx(KE z=S{Pydvmz!$xoSvcPc_X6u%6o2t;aJ3jWPNd|cNfg^ez=IG0J z!u-rP&b+;|K&x>~x*zvpT1 z{Ec@zSU*qD#qiHgUqr{zMcW)U=KOQ}PRC!yJLs=$Cz;E49qb*c4D`?skaudgmGrif zZ7@d<@j}WGe2Te~&$bjXz)E%AJ2^$RZGj2u_iSF_QSWiB<^T9Xw1=+$ZTkrR=CmXG z!ZG2QoXg)Yd@MNk?xvf(EWijwTMCe1ZdJklwNrzlY)25!OT4^DV_o(Xb-(+1|JZJokkq!zSMnp$-Mb5P0tG>TXm93G|JdF5wu>53gY* z#LABpVX7q<@Ij>rwL(=3Q4Sx{45J&tb;niE)Elk@>AHiiT}BCl(#<4-ugeQMTgp{F zVI2)HV|1J;W`s)TqO5?2dM+EADtyxfJz0Ql~^5vmJAkV6P* zXCB~CA>JjoVX>t^Ud%xPQXT4`CR<$9{GgqN48cm2ur5znL4RIk=}|~on^aCn^NHfP zVuUD&0RlNZB@ga~5{?#R>V?n<_Ej>%AH-$g%hS8r`mjuHbPv7wmshVG{_n3|3*I0s z6j+kiL462a5DFdVS3+i;;31K&bI)&p)v)|;UY(!+a%0h3|M%1NcXZ<$e;pqJcGD~K z+o8$y#!>ZD5Q+)hu5QYNEm)OmV|$f`kN#3n>bYIIf{ z^+K(Fw>BgpItL|w?y&L|yzkz{n#)Zx>|~TsT@r7mX?i#aJsnWnkl|&bZhqVVErC+h zrsx?Ql!Mp?sSRef?*rx)QHuGWeZe>S_Pf;z`awfl#xX)jxJPN zf>%!b>|X~mHN;5#c8@5C}ZG5(AJ${NU)j}Sd#(k<{6X$U!Zy(8UhGHfy!rs zSir&>XfcFe2Ituz!q@*F*_4*>*e23!N`2}`_4|+ENO)m3i1*DS<*_v*cXVi@$ zz!I51x$B!B7+#GKH=^O9*Jzt-FQF4BLYQXE8MMo#Y&zS%I#Ff?c9fxOE*B?0i zjkn$*UEX+q_$Xiej4$PT)9d>-Qhs)9E>aFwjQxX-5;*XIlGMgW(8M*^?bn@e`hBU; zn?4_$HN?UBcgMa%^(Xmnx70`YuQz^dulmOPB4oaPd8Mz^{^myC?Edm_1sLSvv1?oE z5As)@sNc`eZTz^&3;S;Mp4oHd$PZS(yuRFP1Q)_g!ON+!uRR0zh3BY&1Ep8Tj;MKC zH>R|szO$*lFK2D@QP)n_n?tZ$=g1r$*nJz=_b2UF$Bv$~&mK7N!WH`uwj8BQS6%|| z=gN_7;n=n6yqJBa=8+>?QoiSRK{~4jpWXA7z3z#ZSFhdpV))mvQ-y8Dn-|*xE)MLp z?)d{pZT4B)k(#3obZYEqL--2XHg;gvUVgwfGx3P+iJ8-nlsu85UYzj##6ISmJ$BS* zNsS!!g@HZ04#3N?(y6tn-EYzdQl0;&^u@KlpH$CI&vOT@8991Zy$p;w@~QnB-yhbb z=r=14q|`U<)w91YFO}d0Z~U&Q-n;R;Cu*weI}RKR1A!_ITn-;~*iz~d`t8#MGa}Naw~#Kl)*~P%T%%Ax#cVANa8}ji%8# zZW{eb98u4kUsu7QoZtAhFFRHU9^gaLD>&EtQ0H1-u3F60qSw{v1#>%SeUwOy0y(7A47`u`NQA} zBgwHAKdc^(U-Eq?)!7ErSaK-IY=_M|OG^22Gd-yc&hJo`%sl|iPWr$0)uBGrIhLaP z@gG9tCDP#9jrZXx`)9su-iOdY49)+3`nGwWIFwWd>m;<6I*VTq&n0u!Qq<2Cj`g8_ zoQL416CK=S^mTP)vNbZIrjjPSU4oPqZ1(0gNwHsT%~!A3A+Tntk6quMjkD>a9O9Dx z&*iH?mKU3;$N;9^P~pcL=lxlGEBY}`3W0h%giB}V_rTO~bsGI$I;N(~zckm`U!Zr= zrJPCqNnjead8h63F$8bJCGUuu%$b>|3>Rstv(Y;fkm!`~bbid_g;1R@&!?s!ZM}x> zvt@-+RQT864Vu*E-+8lfF3#&fBkFek`p<8BsiA!TrGIWPT)XS0_$PFdb)Nkg{y^ez z(B%NCWL~*QM8ZnYL>CA;Xq^N(_dQxA4fBF3!#%7Tbc~zOyJ3R>NJJDzOEi3r8|I}M zCxbM+{t0BEb{(h)kXYuAYE>4CE;nqRU(^g7%rH1%$N7Z^yd*1>^23FIPz6*W(0h=L z$b9(UukA7X<&X2bz(Om~rNY4us>Y#t<(96wL59-TMXnzDB0vnorLvp~A2T#7>;aXG z49HdJA()r9@EZ&wokPSj)qp14gbr0Q82Z!*Br=4Mkm2Vb_(i<|IzEV`0H-8$R3)qH z#q-@W8Jq^qW&%z_1j#`!E6*vkTj#z-lB~2MC9HmyQA8`FW4NG_QAtWfI-HdvzP-JC z%MUZDBXBwM%ZNtEx82E-Ta+!#xVdUO zLp{hC6}j0gX9!lw!soX)TK&)_k;Y6z9~eE}MK2*`n=)DpEP!sJC8(R7V#Ftl>6S>X zW5RvDxdq^e(i?g?y~jvN(|CzsM4BUqUL;L1LA_z22&0M#QhI=wq6i^l6AUhZYayT~P=n#fXF%U6hJ6UVWL070;dBhj zS;)`~WO{HOC`(TF0L97qK2I3k8LI5gaO>Uk!;#c)f`JLl2WIQt(5+%61TBMi6C7*@ z-itSNIegZ+38c4z`J}2kjS8l_13^WcKb(Mrnd?8@@m{85JM1>U+y~Z9nOJSvT^+u- zV{2DqK<;3dMi<1xmD-uTjg_7BhknGRl>vL>PjH7s)ci-y>qAktU*Py(B@AbUiXO4Q zEnJQ)6@^Oa%3|Nh=^Q)0s4**Q$q^chDu-W>O1?SEPj0t#|x8xw9$ zagO}Gjg(0@#P%64Xd?A~-ZnhZ#W&ZeTPcc|xU=bVJ_nI;6QftVv(g7730e`M?wOd< z{=wN zuF)edkyS&ek6F%?@VWs?{v2S>gm~`nYSHde$%=$4fBc_czIFKn_YLAL5ujzvypeKt zvu9+LQS_W)LZT&UAd4ZK=BD8%w@UIt?KZ;{C&~-BgmfnxDmm2H!dbM*f_L|6PpN;H z;43rSoB1MIxu5_vQMB2RQHq=ssGJhnX`6b6>(1bicY^TayVQJTTrOxHOyo2s1FJN; zl4}xKvW|R|M`4+_DzD3eiz!zbHSTqcFsJ`^DMD)Ll~uA|5=w@gkPPq2sAD$KEhH`9 zO_A+FsQf;Gky{1qny9GZmeWC{k|GyXGWwq%?4V+Xlw@a^Gn(Iw<%D|sL^XX2>)n#y zpA>z){C&Zc_ExLvu(Z%=S+(ivPNrRO1y$FrQxR=O<0Pw7oZxSb7jY+$WE28jmI<( zTGO*YjfN_lLZTteV5>8SaX!dW%EAbO_Wj@>8zk6-xy8YdvQ;KN!tZp5<2}uXL|3AW z_hR&msK%>VCo%;Vu(Lqk-MfsE3}V2YUQGeGK!r;x;RsD7kBg${{ID@V8ikmVBr^1UfX3WEp2e^K}FZd@ZU@>FYT2C(jbBPOyl1UKLf53@PQk}_2 z!sewO+M@6aUD}{E!V7nb&N6X@^WGHX-BZj?l4lFE^H~Rq-^TyXJmQw~!M8q=ZaFa3 zrKk>$=`>R(_cb%#3E_BeQlwf7nA{}09Mdw1DrFIBVM-OLz@;0A%6wD{s-x_LkyRVb z6XLI`DQ~2f^2h4RjuwJu7G-rv=~G?_xc%;=v!Xmptgx}8bW4pO6lww|XEnAC zCpOW}-ey_hLw)peZ7tg)btogyaA=hDqW>{pqJVW(PWx+&C3K5PJkg<~DWO|kCT5h> zTy$<=IWIv7DXKZ>V3p%(jrVy}3kc$T3zL6NaTc?|A;jNvpy}(sZu-~2TQ{gmG?dd0 zmR^V#k%vH^EoH$bO2>j5H<_B!l`a~vKuD%h&|G#1P*{l!H`q1Y64Koa%FU&+;sET9 zpz(Cx>`@tZ;tob{NT-AJ7#wIZt#s%snJ8sopv;1p;jQO{Vbv`=G|DIkf@i`6fNJP% zDknPtDy?=AE4Z>)O2^dDR=BvRg(Ep-T55^91+cmrur*#LxEBcLXtOLmiu%QTq?1Y{ z0w^ErKs~%gAvoeVO+(swuL_aOZ+{fad}8i{8l@s zebRl=5n`5;w;rmjx;TERi)kDXpZ{Fw4tdTi=M=pEbmVinx0P2WKOYxYlx#5_W1A+* zhqm^1ynpNZYEx7wgT4W#a_tU!l%LeZPtfL4mDGOadXh~kgC*QhK6 z14*woX(^Cz9dEzGEj%~be}uY`Tqxj+Ck z7AiGuN@}6+H;6`n~+^RN7UR1Gn4cnQVV;eS|euG;k^1&%#;@yNLDm*QHu5N$uJQJ-W z8MLqWoD%QhJ?c4rj80%1BaC6s)69O4ig+hm%4^+O-bTMD?6w*dKqQN6dl3{1vo>e-Al2vlCq=;k#;t;H(~jUc>ArCiK@uq<>or% z5xvPsQXc23x|j0jdEXI@E)5BJyaX^3@6}nl8gXfkoWu3v!5q#Z7c+p)Vz-~;*heRD z``Xz+nXm$j7p2B(*8{i}`g57TTz-ST zg!4(xPuu2Or-bvlR6q&3szwJbXrh}Mm)y}x;S*_8#G~TpXlc{pSpW3!IklxvEM+^Y z#HA9kv|xRUV9`ukMM;yMDN@E+ySQ|n5(=D9Om(Vn~-}&S1rT~nQ1LuTMo_bs>?y4EIPiU$kJLPGzOc4xO!6CXj9KR!|qXf z%EC-3^7*2r+KiNof?aa=c&)Q>C$omF4zHi6p(GysH#}HfOP&+65^jY&!#E|2S)k*U zYz_aSw^?{K5F^W3ElnBEHRaHe6%zL(c9nm*=G<+|zOddPXZpLL#afJQY-e0M)U^K}P zHV}jD0NWtj1*uIAWid3LbBPOw+!;kAJrR7jD?jp9RfCidFlfXM>Tx9IC?yu>F_XtVLWkOVLXu?H2&^ddm25??JM)|K-`^@rb4MZev+SeB1Ttyk&rO+m%R3Yop zHj<(fT#k9Kml=fV8D9O{3NOoPw6aX;#u?^!d1(Xz_L_5x8p`29e1R4c_)aZkrzcit zWfTp?tI>k(@(qHfS`l|cm_ihqVjw=RUKkU8@8d1f{ zjzO!=E68Z2p`~3-cPkY($-J4NgD!VCm;pL&!lUT#7of|)O(^NI83h=%H-A2|K{WEY zBr^C|{$5&%d!3xRI7Wv%def*bO8Z`Wa83LvbrIL7+88B6ZQ?p;S#t$hzz@Aog%G&G zfd}~ zB9nUHCMgcTqGoaO;gXkzjE zj0eMcE#4X`snB<^0HEh#3EpqG)EVg(!tGL6tL_{;i6e$Vx3s&mJ;{w%oez~U z#q>@A$-x#o5}gl9iW=DQE2s&T-RXADXK3Ru#72uo#4rFvtTqYCV&q^b-YPV4&oL2s z54}vJF=yk?RQjw#yrIHADGz3g^p6`FY#ejahBCEYaZ$yPkL6$0`i0fpf$y?((h$GbFfnN}+IiMNiCXth_)Fik9O8prPF7Mcvu zOex~uY2QAq>q7^nq9r2Lj&33QqH^#YfF&_!l%yyrJBpy8G{BWeaSxxc(JsmAt5iPo zM0O%5(r`x@Kw{15RSsH{d@ICLA_ih(bv`vBo`Gf_ew^wc1Ir~TCl-Ng3dcs~wamj{gP#RUJQB|5$#D@(6U|}-Ze3s_l znGlqIk?n7xyv;F@vv3Qz{RNVkMjf{5JXl2scRJ;gkP=WTRdbP?Ci(NJOX}5s{p(XT zQ!ThT6iM)>^mdU@*n~(1T^h$z2B&pgbSsNF2qlRb$bAb$AS(ejuCbJIM>$O0nl3Bd zoU)iSWk^CN*I)reG@=ojjs=ECK`Fp*g3;Sa#jV7k!g(P3q%L7=#l>6z(8X-c9HHxF zbT4TnWPx;X6P>}7RZuShYKbu&TBsQr@Ei$cu_|zjI3?<#B@AF%TpBqg?hlfSSw!#< zN)Q&R020c$&jV@|bYj|Q6+`}tkh{S+*HDJ0XT)u!7m1KgFAS>HBy;BTq^ zw{G4xSmxt!B7j}dR|FFVz3QgsLtyzj&_6OkL#)CLkuQjCu@3G!?26N>52i^3mCgq# z)F=U-qYk;nMS`R^C}bK0&Zyq>4i)YRl2Zmk2S3%JDl{KwB{deM3)Xa!7ll}mFcL%t zA0%!rYzB>>MLC16Mg+AO!mj`hN>C!X7$>X*U@}>CZxK77Zktdi`9UQ}T|V*C)qiVy z>E^E~LdPOH2q5baKLzXs@yHq4SiR{wK|a9bGaJ}a9A<^Um4 zNxY+lfoszUO1}wktyq3QdF-Fn!LL7l3l0s7&YhX%b*w4jBvm*>PP0f~ry`3KGt&BM zbt}Zx8-wX~2%R<}hgF~Fcd|sfJKakKN))$-z zQVmvu1PD8YaTd(qt)erj&LQk3jBzWqKoyu?dtrZI6V6D?4eD)J7YHEW0ua^t~Ml?*Lke(mUU1DRdt=1BkxIFNv{q*vUH zx86e6Y7FhPcIq~bsBB}tW06P%_CbbW1OlwO0)!Sx(BE)I)Y$>y@H{4T))>UtkpZxu zdD%@tGCCM{)6+PE3qrshQsV}Vsjx1knZ-uXfe`%zJUYl6%lv*o`-^dcwZ)C{^}%Ae zico<-00cA?eCR9O>2f|3=YkZqSX&5#jlGqFQ7aRA8tj!?eGa)_1q5qR&qIbf1N+Qm zt70TBf!Kigl~I5aZXzmYl?(@U74`C&e_jZF`{2L98DPoq4mlnZZNzy6F&skaD-?I( zpjXS}X*wNatEe-AoF;2Jt^QL2H0+YR#4ZwPn4X=qj_a*jf`ZUcjG{kh30YSuk>_P1 zRuP2U#UiAt$7%XJOTtXx+;m2f(rZ_Ua5dR%*IXEJ?zC0pVg)s_DCiFaL-%GaRp|8b ztnOw$m(RfpBaU|#X75fM!2e< z3Z|yOm?6_|71B5zQe;8a_zndS$1(&@0fiTmt^7C#fR>O!V)P{KmZKoASUxyth104k z4X|a94j87$U`91-f_z6BSPR55-k<;&8j;}F;|e!PkW2BRc?d2}rw092KP1B=%~@DCPdTuoq}a;LkA zRUQYS1b|*Fp=eNY02ValBWN#%iIfJAh=BD4pmDbd3dlduB@oHcx%H%5bhvdI$V|6R zF$`*~TO#H-w~=NPED{d}35s(HDrW$!zzits;F-sedl5sDG+Z;mT#JA3;fI+A6F2Y6 z8RP>7f)M?R&<&!69#o;$B*P7$3`1|FhYfgv51`~=ph==NO#&!vJ;S&a+6)OBtBwV` zms+3^=^Z9Gno_~lz6`(^q?i#rWYr;T#T7C%_e$F9Vg{frH(CX_Xn5X321EYI$`BR^J`vL@#E^KwtyIy=gy#zM zX2!RNNkeH&paiVnbVCEJ)&J&?Gyk>kpC4Io-k(OO4FGZICiE((EhXp!s8xyvkxqz= z78O;4njTE2q#(gcdaIrkJXmCbS@UB-@17EfMUTN%jjL9@l|VXC45~z$syAe)Zb%Z% zFM0#$(nbS-Vgf87;dH|)U2y)ztLofUK0twH4TGR8;gEWN5XJ*VQ0D*|)(yM?Y&IW& zjVPiOG!(&vgK46+K++>O0Yihn(!DL`mzfiFaIpCWVYmc>(9$$GF((2T8bDIJW%xp~ z%V0RsdBg#Ty^jM7AcgyEPWkp@CbxEHcI>tfPT!cVv%kGiQ! zr-3hTOjjW4$G9ahb&y-ffZvRs6kvRa%x zGCP$9IIZbbwv{=?ys$pH1a%$O9knacbo0|JaW2}p+c>RE4Ws6j$TSWU`;zaH+&a8_ z#rUJrp(=imm)rT~tvQtQMF40dOVwU>aA&jte^l9G864|&Zp9yp$rf_AM|LvSmS4H{ zdUo}x|5+50#&0H5?wXcyDP(}YV z*5Q{5#A|_{Z_i%Lpg+38`{hW7Fe>cTR;Zp0lS60tv)lVw1)gW&7vb&x68Pk)P}S3Yo%FFhcIYwDSEBsOkgSNZ&-u!SQ@RY5v;7Ls)K_^ zX{bmGo4Q&((>U}#udP7B7H(Sk6V8lVoOQ~(JU!bk@%8i%9ktU+QlsO5q!j7d{QD~8 z6BDKMa>M4}a9X zBPt(j>TfK={=8=PHR?D{8hryIKn&FLjT2^;-vKadynVWPj@*e~5g*{o70o2!rhyar z*Vg{$zqG$ypCm+@iJFo=^R({T(fM4zRv_J%U-yY=rg|M;Q7VIZcBQsH@YrbfpOr+u z=$zOnaEqz@S+PTiR%9hu)Y>qoe1<-yd#*V2;WM#Xm-952@VHFW{^bQd^5ByJW>wG5BPA6b6Ul;5l_DM}9sI}P zQu?C;?vm2@erNu6d91WY{1Mxt9YP~!Nj>iwVF$hKtd~@L5!sk;a$0^HuO2?r zEjkA@-tjYzY_$#5;g`u(=g$eFIjGIGy8|C5SKO72QK{siR^Az_Xm^cqzY}(ph@EfP zy<@ENxt!?QUfV&(1Ci3ZZ|DAea%HzUTzudj|M!2Z&?Am{LZ#Vv;crd%F zt-OdCd8qLX_Cl%uZS`e3FGfboOOF4cV3x~9zb+|F)CaOWRP15)uYBWpJv(};Tz#(M z0k$$Wf(|^L@gH6PY4N8n!`B`?2{Q+)(=4Xr6l-9rpJAANT|1cIC+no|&%@{XEr^KE37vlVT zM|HSHsOJKWzYu*l-f-%^0lF((%cgt-^l-SY=%|gK^7vow&S;+uEzOlvS1tUf%eKq#ma}G#^uEdu!xU z$IJeDDKS(^{?~>zeLql3$zL|qYmMi;XL641YP;{8bp0J3TQitP?Rv&EgS#4b?0SYe zk9XZ~uRlax#`eY?;goHlB7fJqaP)yUN`33-QUB{jleSShmDLjhToRs64fg!G4+EH5 za_IHp9a-n)CpAI1%9Pb$JC*Wb@w7M;bQ(MKZe1xFS_}StG2@}2^{0z-%C`~? z-JT8BC4i|mg=JC*!6Oq8pq+q62x*vMyMTfe0 z)|+(E2v_wmLySrc!KJCtEY3P4IS6QFF(|!)TS0724A932u)v%c8=NMNA*K;n@GWQ^ z)*~apR1;>XtQE6TD$BtWF!FiuDBa1w1VBI`*{Kd7YocHQK8-uBr8kavh_t{EYtOuo40#O7-sqQy?few z|Lii4dUI11k^DHd3Nzh!xLBCjDNM*GD9f0iqtZluQ5GO@gq#%Q2zaw;5oc;$74Wnc z949Yv&RlQP0A`>#p3%ud9@p&jHDy;py;V54C;0qJ5CTR2p0Lw1iG1{I^OJ>f2wJr9 zi)!icJBdub;1+dFRhGi~i#Kcp}~r9;KMz*iTw zVt+AfrrVsyx3g{A)#Iy?bFdoF;N*Yt@zGMsc!y2)v(VpwK`t{2vs+%xnszsRpRy?x z)?sIkyi{?#tAu{ti!KTI5vuLNNn|*V93LsI9Jhu&SDznQTc2e^su%AhK3aD7$HJg?AUPhI)jK-ev4t{%v6d6P8>qliA8W+qQi3mwRX458fd1 z_n>ga)g!&9^w{5v$lF+Kd98K?J5m058ymY@EkviMz7@{Nzh94j-(am^t4$HJ(o&_g z@|Q@*^X;-cyhR$RqC&zpx*|}M?OvJdt!byB8kFor+p88?=vB|G%4%cDKog1Nu3>b{ zy9B*Mk5mPk9%0xPTfC+4RCyPl2GsTm@00+w>9!{e0tE^+TeW9=<(~1(R@zZLF(|FB z7{L`Q@tL^a)_qB7-8xKmN0~#6o#&Sn6w{n4m#dD#XB!Xz*wKQ}C5F1nDhfOQ$*)mX z;)?8U3sCzy!9%J&U^=gkdUiM64p?e4l|=$MjfaeuiF|GXy-cGoBoAIi7IsoXE-qJ5 z>x%PDD<6q5p&DDHrn1z9R^pNNnL?ZJBixeDoZffPG0+UMwW*li1XxXNR3!hgVU(w> zX1{IP#mC42Y}xp?@LLtz!tzMx47Lf>!ss`Ljvh;7o*Me!LGGErcPOv*p5tHZ9g??l zxhc+r0gkZw-dJ;ae1$gG_rc&_|Vbxztgn6MD6)AlV?S zIq{e>(#jquTa1qB2-8dg@L7YDNmhkCm6w(L$1f<2MrUJod#MO~V1Bb!9;>A*)^|cc zS^*{$#Ghs7lD*1YD9HH6-&4lxU1fAiefyN*NmMSbK5X35i@p=ydajFmZVUZfIq#AD zDBpWYZu4FmUm^?L(Wt!1{A}}$p}%fUFeRQvu{_m*S^*L~T+O$wO)9C*lxOqpw|8cD z-a6-@%T+R(!!HVqdQxgJw=E#Hd1g))s<*{kirY;dhmkv7ycs6K5gvUeRB$BIG7_HT;7O^Icr-1+qNdc zmRGG__U^CPK3p9DxE<+l$+Ar5)SS1RIn~NG<|(A;zvlvVwdudVd-iWPxUy7jVRN*Q zT3lDHQP8*w0xK$txTtcGjIJWD!W;Ri;7oiwFl@GvWA(Lis3wqy>nrC(!NyLU7IkNA_gGu#4Y3Upa;j#5#zHV995Om?Sn z0e5Qz#iVw-3UC{}emcbavsH*h1iZ>*ZNPBInlV4}IXlHl00tr4m&a33@X82QCM*GV zRvL=$RFM&Fal^1j3X=h(0Fl2RVz&N+n4DIJm$PcV2#?yKr9qbGeoq-DSwKeFjYds> zmh?FsJk}%+VSt{q$^%xtCeDp0svP(qAh6zkk=-eK#syi5&4_fS$|eC`Uqh7k%A z_DYfx*?&%a)4%DPft$3{Grej{F%+qA9W1>8#aItD$QD&DrP)~oNpT0NR5+P)SY>Xw zjOLU)nQujYzAy|$o+&k-B5vc>V6j^k0X&Qec*TV@+U15$cUxTb@Xl=6K3t)=N2L)O z)(%QpN*bR&AoI;ts#XES1dS|!Oh(R56;x47QoIezVnI1nUDRUJ+VBDi=%-c4?mbEn zJRsKJ1F=Sv+=eH-q61KvP`H}AMU}yxQ};RPooc?V8Pw`7PEpz<=R-V@6#dcy-Y?KS_L!+3JZ;5ehfu``tzkuJ)hC5*;waX2y zb;Z=Z%Tzhno5PziRJ?f8kq46_5lVC#5q0Ij|KSZY!loNzGtD^?yH>OIKzZxl;qsOh zm&WGs71jUA$ab(>uh@!}qWeeGyXp~tZHqJzw|u6~;Roo--Vw}P;nw1Z!exy=(_*dM zpNB?{&4mUy$K2$Ah_+kKD%+VhJXw%B0KV13KWgPx)|K`wf4C$s0s_Tu@(<@VR3*8Y zEa)Z0|9(L^UU@lmls=Gp4q84|9*OPhp6njcX4UbU*7w6@0Nqt^N%Zg3>g{aO571v- zyuZA!=o(+C{<*|5hd;pd*HvGt+^b~|K7`6kw@kC6)xY)43jg(|O6509ByX|=K+497 zzJ?3`(!wUG)b3Zjd8OWS@l{8M!v2@`M!I^@*Z9R)#59VU)|@)(rBdO&y|X9pQFpaL zzx@Ln>eOm+4*wBvC`lzQOKsb(M#}9^%qn?!^GZkYo{uRt?w9bQA&JvUIf7o zHM8f-z3ZO!&3cN>k62>ojmJv9WtX!Lv9@}sZ2OX@wtJx=2m6jU&A0617<97G$C6uC z)<@0B%9MJP7e|LKLzn#{&D5N9K0v8oD4~H8>MG7F!n5j8mU^uUfDC;1 z0Z{VB$Bx@(I)8@v5%r6y6qQ$X$7}Xy#H+ERKKd&6DXI_T&Uqj4U2SDY-kxKQ($KL` zYI{M+U32>ntY7`WaAP>=?@%rYVygPx$ZYky?XHtr?m9a>{*5gY?^cVmsPcqG`;p}p z@*Uc;A6L_hX;9vgJCv1qJl}Fp2j98f!ZaM=g&KO(Nb4=0s?Ot%=Gz6+^a2j@zz>G% zg(}@ceE#G6AIHWbXLe^#L?PuKR81d~h$(0>Zfm}!(;CR!7NXzk62SO9Y-1l41vwWMU)n{zO(|EW@ z0G07>vG8s*7l7T$UIk^mc?92mU5O6@EZJ>eH|-Q2DPZW)jiAfhG5MiF{RlVaQO)PZ z7jNFdd?qXB5%UQetylta%RVI1X}z#^4kkC7>_<>MPR)06;}=OIL?23#%tK& zXhp`97_@0g|EGJ-9{ zNENp@YnWwOrqE;LnTD76273kV-$Kp2jW<^W)?m{?(4hqx)sh+>7v6GiVBdNa+pdBNed>f@d`n0HnzwD1d3cmq=K_@nCcha8?%3vGi8(o6JNTrgWwb zy%n@>?x5V@A&EJvqng(bN>&%ix=9Bg zjF}J-GbpsqP624D@;%TvKaY_?m|Ti1$|YeE>++uWj-1RaIdqc<(76MUWI-_4I+zYA z;0FAR>)J=WpLzk4YiPN6(!1tW&-l(hvyGqVpMMR4c{7CTkS)fkkWqzK?|+wn5&!TKHs{8U6z z7Bxpzk`xoJ49PU@D4|M0kRJpL4dkq57h={|e&vJLj%Cj7xkAM#(c4Gn`#rl# z4)#^O%zHXg%H!VFcGUJvW1jS&vz>wG{&tx!yF7e~5q?$*5V)7eimB|k3vu?R#m#il z4(#~S!Hnd7%uttKkZ*snoSyO*nF8e#|Btix4~V0@^T%hGOQOIeXNCtH2nn*T5p7xpH%c^`3u2pkUUfGl zV(O0uX`1tT*AkyQwIE8oAH<44n=Q~>&dFY?%S9^B5 zUzP4*u3C9wqWh;W4^rc=73ov$?aEjn6QjYh$6AX{cuLOo6^72A^>9r|YN!5tt}YK% zkgpY%@mFr4->Ar$yyCz2En_ZCU5?=Wx=M_^CeJqJ)pvzc6|v53SsVU5vbSrgdRxcq zbm!-Mh{@X1ppdps`k`2_lJw6*J|Gcyaw>| zU*}$8E;W{z9utB!NDuch!%X-U=~T)6TX1{}9!-u89=<%8a<3T+)WkXibgb2T^R_nw~ImZ$h;=6S~3w(1?m`??o*-R^13J_pFtJGVD3eW`p@SQsre zyzgJyyyQO^>dY^4CVufmP7^ndU7x1*9iKY7?>PP<5|TcPyiDI`e#cjnc(h5rZ~~~< zd(gbIWK3s1uSo8BE03RG9>o*PkNmeY@=1VasJQAE^@Vqn=u<9Vx+xDJN*;I>tr0#G zmbSwH-h{>mFL~X^HjJm(nm#;qgF1atGgrOZ{q6b@-^S6koeEm5Vy|gm(qn zrs-E7n~c|&2dk*@mv7s*dfjau0q3uy~;+NoNCNf%8DV^PyMt+8?dDnfYKd(ls%>Qn-5U)xQWk;y0yci1@ZJRQ|q@w&V@J_x)$|+gFa|OzbOn*Hfc|73Ht2e6M~gW%b72WBf(l z%ltD{T_N8mCjhOtueV9w4m7;0Wb7#O>7~-1w-yPjnR>t^Ir&m_?UhznymabpPU`OZ zL_sS;Qw@1nP7`vq2}GP|f7YnD;`Ll3_oWqgu9T;?{M=W`ywNzqY-|2WYJ#Z&QkJ`i zBbUEAScAQdxq-!UuJ`X?X z>l9L51whlCK=12HJD8oqcILe^vCewnUL|8PzQy{-J0BZ6K0b8!KDoToQ&F%sFSa`n zR7b9y#9Q!iD?PsFr}0O}JN^k|bW`>FrMp*sR&>=BKhE4ZIXlQ+0066H(y|9@cu#cA zh8kViP)^ZPq3b>6VXC{bd!%;!xsg=o<4`SiXFpUM~L}WC)Xd8NJri zp&m)R8H}!B))>3hB7P#g7y-LH6;gJ~d@*MsYdERe7@-2DIqT$A9X zhF$H~d!Cl_so}inCK}|+k&?VOgOR=)_Lm8}n6+`h{yK{u1eRS5??{{p1F8zZId3ea z0b9F0S&sQLaX{k2hdhAUH7;KVF;*_9H3@Kd7jD>JWIP+6k~eUp=z_e~b4U&!IOy59 z>>+>^1Ch|4o}%`*VZpK(6~^IZg{Q-d>1*R7#+16L$g_1#9#CV%81+oZ@w~c^WwlS-<=s%GsQL#Fl2LRQuEC?@Fm*oWk;F5pN zGu+;AKUnj2LZlzGO+C9G@Qi^8sEOmc`#At)&WkE#JRpMs<`=A4WU6khXLo36C@27? zlu)TQg%X`SxLi-7b$Ea5ECN*;yl?N6ybjbxP?DmDRlpuw!#xn+CDq`fCb?k|b4~u_ z{$Y4kh`S; zI286UHhf$gt(q33H^=}^OKK`RA8)54$W!MJP^0p=d@Tl$l)4-}h8anrEC_jL)_#ao z0`q71A>9;XyBPI4lumc8^C&Xk49@nrR5kOHh4cxM>sg0tXv9UOa_l*a20QTl4Qlm% z3(&k@emA5J8@v+D?(IXJGQF^;5a2V)01)k3JZxMd=j@M^VUXOT)6)GxK8CYe&R~$z zz*8ejWx5!pc~0_DN@{KaS9J;`H7$U!I%v?VLCX_s6KpUM#}eFX3~#+ehR`S^xfayS z4KRSyS_9y_&0|0;Pz|9%#v1usG8r^ns(uX~TrjG9&BN8KjO62??uaT~y7o*p`$i{7i>zGS4;|05VX zZ+BlYdi=0&G_?c$XbH}F1A;8V-|sK)$0x7s$E$Yw{hR#2S2t~h|GWN61-W)xbb<-q z{T9TRl^)tgw-nzyqy2qWi&`JvHtXaX-au6XIv!ku@>Q(Sy$;o{5JO1m$wgc`2TLFKSiDEDAdQ#F7GIJ%Mp6{Yv`W0%<_P_w{uJPANC3#!MHj8+D|*`cmDU( z@htSm3l#;cSDq3^t~@17dTX|>qbCz@;R}2IiTcixHQkp2U&bV;ZbB=u;18QjpQ1g#3zp_>OV#83)hq&1ysPBV)K+u6+hy^xw^lnQC@JAnWzTzmb zGPeLr^MRXhlHa%|S{0ZY%__X`-}%x|LxJIa_*G=lv#Aq=#U-B!=!&CPnT1n>>{KD( z5AU|1SHSdf?u6V6fnF=gXbERy4Z)bzMeaWfb*axdZ5Rjt~{*!O> zb$R4zDSOyocRj>2FU$wNM!nEcD4pv-d#1+i@i(XMBB?22_0T_p*B_IK@crdpte=aca*tfyw7o93wWL9QCnbAtJvZGy z-GJn_D<{VoS8|QAj$a%vfQ3dqKF`45|KoUU4G*fE&~re}1oY31R{#8s>D|30*%<)n zMdq)-*&MnO=7@3mCcFbpoyk2~{*V_;!h{wEWos}o0Y)9RB)FTXwBOx{@t=!S)#_-@ZxH8(X&#yPMI z_F`YhJdnKrwb8-`Ay++xFIy_R z&I$|kc!^|4BaO5V{K!TC3@x68!kd-z$q$U4%w?a?Rw`lF09FE$V|F1hoo|B^2gGu= z;G@2gz$EbhNEW^qVOa)#m8_ouyJG?jSRu`R+sgcA`sCK!Kixb2y?>a^t5s!|;c9u; zTpbHR9o33VcR&>=@ZU1(c;vx4$l?wN71zWf{5>@7kwMIWw($fhwNVaGnsY8N;g?c0 zb1#)JMBY}yw1N8s4G1tj9mrb+u4)`OQ`eeEvvI9he;e<>PSeCl+hA%L^046#q`E|c zEEyg$HfXZF8?9qVRR)7qCm4&a1115<%@{eVkv@lXD4Z)=B?$D~{5jy$gfyAxmdR?l zmab%0xrmfV34r@@31b#96)rBSfMsi1oXiCA6jM=Wpdy_ZlabJ)GnJ9~{2rPe-}*x8 z%);-!I9nAq7AjA+tS6xY0WxHoRzNRM<=eGs+grFXg958rpcaCBC+Bj&&N2rj9FKsJ zB~-seIN0eU$g_UVG^cmg9U@qb+9`JCez@6Gz&v#(sng%GZenM1tey1lkG}ot!Y{Fy z=I7n^?2{XA-=Da!ZWG#iLEYquemwlZ+46&)vu_Uo#@Fim0l~|H_nN`@x*-1(f5-<& zO&^au9NPZzsb7RP0f5vkHERCbKOD~kc&|wS2k!es-n3FZc@02GmHrTT?V^&mTRv?8 ze5fgX`KhbI%v88@7}f``7bPY-4n4acRv(~e*uOMpfp-;^?~M;o?{4|G`1x?>2t6SK zDAxr3U;v<`p5$K$4Z}^tlg;I{Z?a|Sni`t^czdVf`xvl+;jTl8vh(q$m_wmctM z&;BKRUVeD1{JH$_4>v|W2=5&TedsCQ7<)JL;eHF6I3Yh= z9Ugue(7m9>JvAy+`x@0S#*_OGor+tVfe(Q& zPXPj3;;D0?O)CNLev>BzXkdWvb?OEAYN+y413;xy&jR||{xVpn^lrKgkmnEiS}gs{ znzvi}*L=9Yd|mj$4{KnvbrTf_NcbxHWBF&opFHc%h5qD`&yU_c{O1p|Uz}-X^Q5+C z@i}=7QzLL=RZa3#7yzY*yS?Q>HBk_x{+%BzjUUGSi32UhROl*?{1@3*9FG_E4bW$c zUIrAZFocxTE`@u$lE3W^mV6S<1=yNf4$Gxtlv%esTnf3IWCQ4hqI@efC2swdkxOeb!jpE!AA*@y@L)6v*HbU9K##J75M5ca9z zQTeHy@Tc-xz7rS403%hBC-9SN`Q6moh_EEG2`Aq5jLB0C8vyhuPk5+P3Qyo&(yf9% z3EsA&Wjpx85b~!0_b?N3K}d}?Q~dz3bsU#Pij)s`ueQLY_oHz+SApf>U7?s+bBl@w z^%^;*A0GTTR6xT74_D)l1HM^VQG4IU-uFy}7e#`Aq8${@$t}i( zzZT(4{hb-o5?BC}c8&USNTKF{_XP|uI^om-i$SwS8VUT7EL<%Z@SF^sLo#)kQ4F|i zdIFIN6%L%53GQJ4yQIO10VCI-H5^)31~qH`alk(qwuA2kvSu6WHb${Bts^H}M{5p^ zaKQ4|;esI%Jc#lcMj_^J`QnM;%%59NvXUSXcDa&quqvns05uHYvZcM#UP?0d_{qL>j)eloSdZc+I)p2Xo#FV0L*>ynfpj5QtLio^Xe#m9&`;~0TKM^9VmjGgM19S&UDUY@tnf_QptCd%zF(>; z7fUuUnbc{;+agCeFk@r;_(oIos;muhJa`nI zIYRn0Dx)PPksfNm8&!5Iq$--6vq+$gOTBDV7Q0T!C<)RFLOoMx5I%GO@fgtXk;@r$ zBZ-&-LTq4c5Q^t?)m)Tg?A1l^q~h^H%(EbrNEb#@1RbvVaQ8uhv%~y|H0Z_>uQ=I-=}m_ILNA^sXN>jJ#7$NiF;td|16h zZp6jaKb9J`!4v3|Q1l+QA27Y_epD$_BaFA2HZnTub~S)4#HXn9hUGZCxnfsJdrt7a z#IGSER|^!=lV3$0ryu9ZWinl6mI|i_<*qg%7VIW#cSVD^hm(*}Ez`$>-V+|rJlRaN zsMG?vyri05*jUYV?Fh)sLb^n)Fd=eLrx4$}VuyrA+P8a~sz*5-$$7Mz;AAgT;7&Fe zY~w031I=rQDPrB4Uv!*2+?Q_j>%uS?hmiIh?zDNb(V&E3J#{H{gdA>*)T;Rny!X}P zZRlf^XAbGbE#YR&r~BvCDf1-!8|ESXdSz{~27c)3Lb5qp8RL!$W0f0O^--7UtR=gi zRXKWG9z+_EGf3sD|9$7DCx7?h2s>S|Fi!BwKr8qqS#b|b=1D20*R?@k3YtId=N(bH zj{2=q-Q~Vd&+#s#K>*5hWD3ljAfW)wG@pAjNU-Pn5ans9W{2$i^$Y5@;RZ z#&r?gM)Sc+a50IB1i#q5gjUv{W^C1b@-nW$Q%tAxm&mS$V!(tMHG4IaHuvi)xd*ev zbG$xRLQc`SoY4r>H5OxGx5=>ELZxHeP^QzxXQuUgjtt+F&XlbCI=`K_!%_7i$ zQuz|!^&m5!3vo-rA;pA7?62ct5m;ml<>^;Tfrf{gx2TK)##1nsCOzm0f#*voCjxK2 zw6-*C#M@|jqDYgKbI*Kn>Svh`cFa^2G+-mrp;rXeRoavgjF7U7aFV-s1izdUn9`e- zoFm&NDsdZ)Ai6xorvU=4LE3(TaZg*F-9;Nc*XOmGr|>hN9)T$5<{mZQ$n5CL(fzAs z9knUP_znu3$aSf&L-mTflYK?Q3&78?2SdmXlU7r70rJgZ=A+FwyhzPabyKO;`qcYE zwDNKmqda3C%ldUy>oJO#lof-8*a3Kd1evT-9lLq;B}VL3LpeT|Fd*=NCnz*%d}OFv znWJaa5z%{hDGgql9R&Vec)5fz7nrzEMdrv8Jj9%3?>nKYLuLF{g_1|r-XSKypIB|& zVr1|=I-8{2**%8D2g$}3`Xq%xf5lAFUjo3Kb1}1JgRVNaP7cG@ZR-S`<*o3PWPzEWEXa>xch&Cb# zg3TQ_2VLDk2>E32_B=lMh>&IL2r|6}rRH!Bt*y>wgP$YxF{#0fcYyhGa|A}@>TcG* zhSI>Bu8D$G&pd^YdgT>8FH(ZPigxA+b^3bTRo?X3=gQ{KU-NP=a=r zu>+3RwmNg0a+*)%xn({94x$PbT}-kK@_Jiawpn3_^xp4sJ>M0YTcuJIL#k)1x4vDH zF56Bixq8n%IJrx@O6QJL&eQujA3J2y?SL!EL<^OaD|K%rc{C6j3`bmN)!r;Qc~9?J zMptg5iUdBYejjfh8N%1~oZu3!aP@$YtcpIzN&Utp9J`%UZEe0#1tJH_eOyEj#+#Xn zwb;M`d8?Kd8hV5ZlUGsI%y`kCu+-Z}^{U^!Q>bQQ2c(hq_+lSj_Zm;O<)udkHMOJb z&nIP*>2@7aP6~2nmg?M9%p4Q8XAc)DI~a%Xbal2c+4o(*4RHO~P~y_@?k1!8v|GQ# zWUdexi5XzWb)kTaD3P3wqG(VVSM%$4Q0G3Y`TS_+nVV;uR2~H&j}U>p7;M0kn#_Cm zQA1Kd2Zp1OlE4h4;6J|Gu?`zgb#I*~@& zSg<(nH+w}28kuTW#2mYenEc)re>Q(CBH+} zDpZevYb_9AmLPiMP;y8jcLDN?5Ysgy9Y{<%n*|dyHdEb9IcbqofWMu?I1zhal654( zz&)&?<2*|z7+0O9khUypNF*pMI4KWZQHWGWs|=&*J{C7nKwpWT zqf-=suxA6AMQ~yO!B}E9MGFRlH^7nNMgbZ-g#fqh z7L`{0E)&pg87Ipy4ZBrV7oshaumi+2330n$9^vh5Y#k%H&L|Enb@rT_?El?o-`9xg zG*A6B52CyT!TxFZCON$he7*hm9@C%fc6nc+y-R4kwxNVR#nFWEv zF_^7Rs0guBW|mA+3k9}^%mH*@3AbcO$pTg3uUXAYFY61;r0Xzps7f`XD5I+G&^s8t z&vXM`30{yNlv*;jleMlO?lVQ-i9TOEK+9fwSE*3I{YAftG00%ngy50RgfgW1SEMjI z;bl*G+fHJAzkA7?QKOw|j}_56Jy-IE@b(lShHjg8^L}c?yyOal*-J?Q7!$v$jF~>lJFY21h0P7F%7)Zra zDi&Ol85e;~78u0~KHa?5BVqtC5*P>G%y8H^jD?;nlE!8y^N5K*0Mw(+g%w*n=>)x_%BtAD2)ii0t{&Q;A zPC>BAo@T{&Igh}7Ts05VRBB~mcDL9S%z_2m8P2PaC5)b~?CABnw!i+#7x#|8zka3` z9Bq3K<5SeLWVe(pDEcrm6Z;A$k=yXAyep!%6g`CZ>ra#jbYxL~m0(hP<10;Dn@}%k zdzHLC2Mgm{(HVOQzKiC8I%E{F=_|X+NVB+7S5xYWxe*w zozjPB;F!8(19dV&n=dK}bpfn64LzGVDpl8|sS1%la!W-pne5P=tMxa{CiiGtuC0_x zBF%<*09E83B8%t}fEMTxBbraqqGV{~$3{HRd_ZMP7iU)Bdog&|r#BO_(gopUksHjT zml)egr@EY4r5yI3!9oI6OM$uEe6pA~wn>M{WFbyA)09Iq)1dU7g8XQC|1Un@^}DHu zADO8xm>_hUxq?u@5RG!su&iMq`aoF2Hq5X(WoxS>aD_4t_PkJum`?7d& z^v0^B1Iz%;+9U;4(@eV51u|WsA&&yc1FIP_gsl!tlCKsW02}~_5f2)?&6N-l+3L*7 z+D<#!K`jr4ek@B6ozo`4I&u{Y6BH?iM@0wA*z_|ekjoMda^l=^l6N_uZ zGQ=lMLBG~}RZXGl46yIxGXzJ$2%$trvL=fqu4B#fcxsm{YCX~Zv$iy7e$p9X=lh&-&Z#v~B1VAqzY~u*zkAiD` z1~1L!qBJG6Ht(P^vQ!!Rztg1?9NEVJYPLeayJ8!!8GbgM--ZaD7VILAi8(?pn3t+X zj|+r2wikh05#Uq%XQG>hWw=ciZ7x{KJG6vo^umy(*)+kXQJKr9KD%u5C)eCNOU$o# z0xZEbYh7+7JA8LKK`vp_kd5`S)92q*f!MVQw#3H5!&qQRzDhP0Zn8mRCu}Ta0e z9dU%+I)w~Cc!A5)zXXTVdGJJ$$h_m4kAz32s@U1AZ|tCVh%8;(m_~kRdkq?KnFJZR|`gO9gUmwpL@t;4n)d+mOl4U|AUOLn zS*PIh_`fDQ$U`npP#ND&PG zED~Lu{+AM2b!KB>^M9nw9}l1V;E}1D|Ksy$zH-$f@A{g?h{=G#jz z%9}o!ro>lI{IbPNx1Dd^JWD3q|NpA_CiOBO`_tdZ%*9jRe8S%!f89vn+H?`WthK+t z_wSq0-`_KvV`IO0Df5pbFcyA#>c!d2+2L>Y%r3%#_2}s*f1{5>)>r9&YOofCW(TRS z?gO&TWPPLk*x0WxTSuAC`Yvo|$K@3lXJ2&ocg28;`n$a8Z_JLj7kzv71Q9limVcM* z;@4T1)E*jd%=8R=o%7YvbbauIU4)!(J^Ozr65LC`82&%i*Yu^YH`Ak^H8I#&*g%4@ z&tCqkmp-r7-p-rJ`NsCkiiTF}`l=~^b^YS<{7((#%kO{nmFar1vDW$jKJpR&ddvC$ zTJfvh)?F7Rc;ICkv>LlO+l`=1GX=AX^7jQKY%KAOE)3NJt>@x0dEpMqy3H!iH;QBv zsp&r=XXYX?o$Tc&gfEW0`23wSr{CV%MJos9PHSs5?U~I?;b1#cLMR5*6>Mjp3&-r2 zw7a-n$($IP2w{UR!U)HRc1%~^Jl_&PCg=F_aduS#Zh zJ&PXG#j`e$rpp1)0W2JUnWhWtvCh7(x-VPMY>inLZ7jUdbgfMvTAMEu_t`xk9DFD9 zrti&Wq~)aTO}jSjPWV5Sc6|0@9i4*Dwcq;4X<-|ipSCsauC%6aeWGbIpRu&BKK9MU zX}7|s6g~&j-h=;xX&vy{10U?8mxRmR4q4U$Nf1-ut`D)?cyy3fIM-%Ul_h$p16%qm?57;?Ok+6#v7em-sK(Sy6IN+?`u(7qg zHcd~{I@18z!P>L%G7*i1Jx*v+Py)@C(ZVtQkZLemKf2+87MXEwm% z5}%=;=E#{xo}RwJ+CWqXYiAHn3b9TNZnh*Hkdto0aA0pW1w!GSB9tm!bpVowbu(0( zU=8MT7)xM?g*14g>_|d2+g;R*QWfrpC=zBbz*f#!Dg}u&4iuyseAzBKL;xrj;b#;P z-jbUGvLIMm*#lPn*;td^Kiu=C6;#MJpBCT>`iy`z@B-2C85a zn|4VRVI-qu(Rw;2MnKq29brHc*w=_yh`<7?GPT(WLkMV11xm1ET2mFnluQFEiL#3X zZ_Y)|M2_Il0Its2CNrQQWNDiMzc1J20*;@}RY+XgYUbICg)CS<0S9QnK}vPEeuJU9>7X{m_+ZX$3Kdk{vE0NI2SJ4)L`7$?yvgyp?(3 zO?W9QfqWQfImlYA6`%+q{Ew@QNHh5%z<^r-OJ&e+4rz9mGFNf9f&|eZQq57(=Fy!x zYtvbs19Vo^A*vF{TFI5u-2s;B(qX_Gg&q(j z2TRa8IPfu&+v+?pirU$XkR{`V*UZkbNumJ_7Vs|f0F-Yy4h1FBXw$nEvsPn~30;93 zZFJ{>zx6L&xcGOF2g&w?xv=y>N^*44Tf@X38u3RS0Pz~lI=6qU zn{e(XMUqaIV)f|nEG@DM_4#534HOZV=eM$JfT9}xj> z{spp7#c*@fWIpH|YGu8uB_Jn?QHmq;rN*^nsnp`r^BEz|wRsa|QmO29qia?~mY`A^ zFO+l_p-76kfD~<7?}}?5GO6^H9VtNbgP2>#y4mWp;76rbOBn`|Mp3GMD7$1Rd9_S+ zLu`!k`aM7DtT>VRbpK365jK_*x?gk)XX$x$J3x46Xr@xsCEhQuYf;6aiqkim(SXI;%K}O-4P* zqp<8Mox6|fqSs&&L`NQo@!BUwKo4<%ZImcBbM4C)yql-~bHz-3*s5uFOP2=XC6n&n z6%pf90pPp_6ESyKY0x9ti90bEi~!m4j8oMDZe)bn*#WpK72@Rz*8!R&$%QY$;zEQW8bMb`!q>InNvR5Zf7T(189F7UaHWwU zVA9c+B67MoRdVra7Ci?af02Bu(d(| z=h0z+Xml`w<`rqXm@42PPEH=})f(Lp(9X{~7V)kmmPK8$E3ynSIFiU<5D5^n0uNg5 z7J`UM0EkHP_M=wkwt=yWz;nCyt2-Gaf>DMN z*_LavM1w{^XU~8kmujW?hzj~t7!noNJX(ceyXZ>Mu8M<_i-0)+Y+yvEXk!T&HhJm% zC$H~(DRXc;JlRTQgLXzBlM3itqOLt*Bb%Ypuq61^EIfITX{U!;3)B1&y?T56xAl8G zdWX8Om22P%nI;vDNroRUkr=huJx2l0K~6lo-Sj<;NY!XI>$?t;{Mb<+JGVzE>T?Z^ z0YyOpoyF0^2Iny3Yf(gt-PYT|nf~R>i(Ol!IVmd6bea&b4@{B;2!b;lP?NG!9;@J; zHJlOG9@QK48Zrnr22^P163+FCt6`Be6jbLJ?_mFOA<5`28zbdP9rcNOjg4yn;+-GV z%jy`D*(F@V`86txnH*5cjU+iCJAQqG_KP9Gg@)<5*im9cz=#@frEV5Px?i@jbyadC zM3EyZiTW8P-bzmNi189(>iR?a(M!Jb;&jac;V8^Al%8&avbhffbyVHyN>4(S8^}>8 z+mkw5Ws(ZHhQW?fE+ah0NCjFbo1Wt*dR-DAp$c=Ad4}o~LiGeq1CuZ$@5GTp!7etq z=oqM(6#faZLPJE42E%#MH9_+_Bj>-t*mD5zv)(nQ+wM{YJA`5cGh;gdfb)kFL>h1u zt$EU%V%5erki{rNsl_y@=o+P#TG+a1sOxx-BTu!9aTca{Geflr)v~P^_!3BxB-uE8 zfDT_(`qRu8uA6yLBH!qGg$GT~qF%j}q0eM>u}Rgq*N>@Ese*#InxKqQ395Usn-_3N z{aND-nJDwLGMEnE15rCnW3Wrvnc(IbrkbdX=KO~cS7XSe#lQ6QM~0+5#v)qDHO(TK z3pN(Xb5p6#QX$f&s@@yBj{{<@e-FEth`a(A*BU|%IQ|s_;j#_Go=jaB{p8Hzk&3~U%UV;KmPi|SJ!85 zykfRSVI=R71Z|Y0vGTS_nt5Oc*waiBdZ~R6qx)(h_={^uBV;hTY*)Blt8)09qrjf% zN|#a908;FsC0vp<(pK4&2PuQ>V|72Xg5ZXkQFS5Gqoihkk@vHxBgrD+w81z4)w5ak z`CLqGdu*wHct!?y_-nL>|i6#1)UvhN9b&~@+$j_4C40A`S)K?$&dbQySG zol~q9GVGTDBK&Njoueql)o=rSoYo0BL$S%OGdUn^FODc0k--UEbA(3$mBCe`chN4wZZ|l*Ir7tcwec|nTFqu zqE`aP2CF=PhiH<)AZGYD&-wyXI$+lkfULi@=p~mI!kSS48)WKwaxp-%yBC^ef}bhD zYEo6m?~;<=`=Zir3iB{12I8735D~6}Ur;Q@OZgll&QtO=^4visL(jmeQVgX{V$B_C zk$}b3uV583y7$UCUi+hghHl+|b*a}G_^a)&|56DT@OvfZ~ zZ5wkIn9s4wo)9giHjs0f>m=G_B?_!yXo|CgeTghf4!%_#l(lOBlmNnwNw=7#gwjzY-MAS? z7Uj8YD36x9WQa0EjM9R%Q3ZHdg~y=7_uLD!)ev2kx?ip8T5yZYPp%P~){?D4 zpk#M=NQe4f?3pL@1KfX40ODT`lCL0n@D<^S7GnTM!8(Ihcv|OQ`6caqk#f_vJl{#( zREL6??`JO4wHTW(7zvmQhhu~7DSX-}G%qdHBh@4=#Y*Cb<)Ie63yck=?)E`cYr4sB z`;jid5c5CbuWyxX;IVH|y(HfrHCiJO)KjTeyj4DRtRf=LGe&&!;EH*sFCQW?n`cY< zuBQBY6+(sla*gi}=86S}L7@k_^rxro}HrQg^_-e?NT zIoD(4kJLwEAx1T~#d%M02I2^rpRcIP>P~cLCS+Zp>(ITQ{NW3KW#*c?**c?SZj7s= zY2`8n%VEVt?8c6R1Nu~~l5f;=gl2Wui1Am8BE56)8}562vGhj5uF=D`?9MeSsqD z3<~j88Aj<`l8BKW2m#>I6%`QNOp!z_I+?_4X$84t&}#F^vO+1lflR|ERl4`yenzNP z8QLqv*ukLLcrZXm47!JANM#S8tdK5$c43#O=TKfMu4`<5^FD`yLHtyrxFvOltX0#Qdfb9LDq?UN==Fc zV?wbRPL7Ca@(`~XDxZG26Lf`IV~3JdJ5}9NyP31GM3R2AewE%EEb7&KU`1prRlHgZ z1StL$z|j%5-qd=qKob{ITyi?yq0@B( zJZ*YBAoyBggGys@uY!u0589{3{@)i3vr7S3V)L8>R=BN|N`}D`LyXQr+iT|`u#Qu! zQYjv^u-cIlf?^;z8Ewbxm;q7^=Q5cS1$%QoLF^#0YBNd{8zUPqq}#Hv!*GFuwMV>C zvmwQJaV{+3VO2z=c+DwCv|FHGgWi~KZYBtVl0c=elH9<*bYC03Y{$cj?k^J69<1<_|KX0ci_}Yf=)9; zhVHc3GEUmxpn>LDVN)chs+uJv;H>$FhmRmQ#8VWp&=8?@C>dsH$i_ssA)@(%^m0^43p~V!Fa^rNb`>k9L8VTM1knw%X9-v+ zq$&J`|0oFkD6{D+Nwz~^szQV?SE_?{24?iMVL6M{LW)`0AekhtjPxZISQeY2fzS;Y5T_U$S|qSTD9f;dJczb{DN~sI?76FqoXp$y0->$cRR=3m zp3T5@n*9;e4xESNKv8^y;_4GLTCza?l-?}aeHq6XrIMcG)Vc&3}3E^-%C4*{QJexOw!>hDI|B0wb|bf%QC5*M=eZDg~~EhQU$vB|Mu}%sG{1 zd->1BSLFce~Jhp7I81RPUD3IA;#Ft=2=(e_aOG#CTDj0D?R4%iu(B*b<%e zx`cEN8aQ9ef*}iSFO8~>d|n_RMv)%d40UA}1yx7Ag_v^Ily^_Y|KElCtX?^t_%t93 z>NE4L7wL8BHlJw2?P?kYLNuU|!D8Lm-j`u0y-4K^d2^afAj(!O+qFctU>I{{1AfL7 z;=EGK*kNWB)1mLeL~IvfT~EQ*#&fKhA$i3N8e%xfHkL`jI+>&p446X_$mQk=b^~h; zj$%z}o&iEp1*D?9;NiWvh;N3jylhaV#Cn88%CKii3sexPY$ojR=5`8fa%45e+Bu$0 z@3V2ptTu_Rm|4snEa%?JY}qmMjs)t~z|a}O)yQBn0%NfgTMKzcCV`(OVmio2Q!pyo zAr|9Fg@(C{x7=UsuI-^5q7j&C0U9wPcBEix`=tP0jFKJfe>T$9LoSfLwIQ$z$|u01 z!lrBLe7zW)bW9Qyb>t9)6p>s+gIXx~;4v#0`yTY7fk2oLWgTo-G;;`IPypo}dYmbAf4dXaoZ)xsU$2eBYwX#Om3X8`DiPy|9Os z=1Bu2h-P7lYcB<_ig^MxDB9?19$ZO4oEmjW5J8I>g7`0XrNvCFAc%;xgfp5s4ulKd ze2({v`5@JhxngEOW@yfX2?vK+0j|R+VlSrp9wp}}QBN65SlWCL=&tFE1 zgF4`H&x_r$damKIi{=7hJ(X!t>Cg`PcB$+2URompX%NtiBzi%17=wY*E5h*P;8`%s z;qpkO*w!LsfCDjV>|Flv)MMgg*(0+rh#4gfERPAjND)fima2oZk?jV|2}3MF*f-OP zqup={k|a8Lo;Nff#MD9>I1}+u1W5+Pi6HPwwl&*=m@^?1qhwaKO@R13n-mP=hQlPA zBZPC1HJq-wvag*6J%IxiBS}RV1apEV1+(GxwnvDV=z~L+6xtPvRCOjF83GO7(zp-)ef7QM@~`mS5CX(u{r*_{2bq<=&i}9a)V_sI~pU)Uet6D^zX{T@psU z;cV2slD;zE813D7J$`tbakeAB%Un~I#r*yR>CGai@?IcuYy7So4<(*l>ZQA@q?Q0=Xqs%!^3_(nNNBP zOy$!2gt{|_*>kjVNn?~|a5*eC4#zmJM_DFqejEx4*BCH|;k6*w#-ElswcbNDW))OOC%|_(G-JIKZ$?y<`N<;Xr1N z`6lD5DaPc6dknV_FJcQlt$JNuWp5Xr9(+PEriAcPR3^Pe8kcUZCsWJv zo?`ZF)t58n#e;`?-|E;=+q`O>fm?g|v7U+M&g|+2by=uGm@>>q`|ePOtENhBs_LhA z$WP{m^oF+3g)ws@*|$vJyOl+s z$lM+^!c~LlhC}=xo9MD(?$*Tyr$`N}@ha{xv-dml9VR`|u}EsD!V@hu{+zm9*H)5z z|6mEkv?~z~%k||~bIG>-BgV(nHNy5X>AvGiY*BrsBKWiNVuc&yxygh2$5{n8xijCp zYL+&2R~@A)jwyL(i+AkZMqReLzEYaZUq)XS7*mfJzie7PC1f>@t$y3L_rX2!D_i>t z)VJ(^sD(e8|MK(^DN+;Bx698l zP3CX1dcVi4OEp({tBn58hgISk{kc-_?Ll-{I+C-4@-1c_EZw_O^`2;X;I3NrCq0R$ zj9=v_~qG3Hm7_6ghR3GNC2X;&wVHKEnUlZl(l z)`nugnFRWc`&)9DI*Sx@b<$g+ynNGq%O$?%>126JR+y!9z# zw=8bwpX^IDQxXe=bzpvPZr&n ze>wuYAcURHl-t6A3sGv=IGMNq z>H6yhPu~9L_=so2=(65`9RI_zP{OxNeaRE#b~Fs&yW+8OzQMoxK=6rSnZ7H2I`oFM z>)us?R+p_!?4k}R+mAm4q3)-6SobG7xt#8vht!FpKuHDc_~1jZJHwyOs`!~&#s521 zu}iJwPG)ff*jolT=z;tPALaK3@_%hsY-qgf$)V<=Vcz$o`G>;mINy^)4;0pwn?HZ| zY-ZrlY*#yr2qn9=wF(yKRlOnwK&?b9#GRH2s64CmfPq zoWoR5x|RWr2$sELAiVoQh_ox zyW8Ba`NShdPBz|J)T96-v6d2Tdu0bJ4^RQG%UB-lx(tsaZwD;f6(QJpdh-W z8yuL#V_%7?&`LL{ZicWbHGdj@VaX-OX6I}I0+A5BwXBDTd@#d50f@M0-C}of({%~=aBu?0z+$r;wz#)=0GLvd16Jk#cBcgaTcaocc@r;u3of%=Ak)6NyRfCeuU2na& z*6WpE=x$Ya?em>)e|w*E_7?IZ)j~i~DSplUD+NlzzZ8y;;l;S$08kNJTtUGJY-D{6 zbhtxgl)H97iw8p)B_CZ*0*5C){r)4$`zOEeUkD-t9gKLEpwGH{nT0IcG0Ik`S43h5 z=`aqzqx>3b=@L}GK}j35tihWLDT*th)~0ZtCU&8QgM1Z5&AECiHE!oMTn{n2a0vOh zjuFyM7fHZ>vKGl3Jc%Os{&i0v=hnxfwImtOKZNUEWC8!lQRYTzEefy~h7y%1c^JBi z4xn90R#ei*xn4nnJ2{HcTbukICX1wy9mi#7IF552qe;`S{U`an60IW#h@}r0_%_u{ zNbJOQS7^Y07WH97v5y_2`B-N3>-pF-Ja?PoX3Tgb9s>Sb7px|}exG5yHMyTF+Zevj zJdOJ|?`FlY|D=DL7Yu-Z<2JAU@o#IdyZSaIXH#KoWjjS99`SZvLNR~dWBxPsI!0S! zpQNZWvDvMQDM^&CxXxW^!1@!T2OCBW-IXqpR`D`dj?pE4lw4%qys}D8WF7xfqf^J{ z^%B~brLUQ$K2W*RgVK##$-$oegS7dsslO@hZRbkwE)u`UFohNl zQ*WLgq!0?jdQ=bfWvyS~kaOi|$M+aHNbU4@dklOtez$xXOuw5Or~=M9ye4-shOFUjH{c<3^K30FOH%sycK4bNE5xxwE<%@9n5+w^eQ@5C-_#l`au^Sg&P0n zli8i~vZ-`@uf_kyFYs4(-}1)$H(j&(-~VIX=>IQ25p4YbeE5p}|NC*(7smc6Rs4m& z{oOm!FE&5!2TDN^VT?Zq4T0j!6fy#su-M{+XFy!&^N6P~?XfnF-;liy`3xZYyT&ZM zz~vx~3&A)hIE|}?h>h?hm4gaqxc>$(hzzWsMMTjc1)t`>zW!nB*TQEZLi6b%fPF{k zAAGd&H_t1X2k>w32&igERAqu~U71kSLte2Gt2d;>uC|Vn0?zU!;?f9CuUf2;swCTn zu9oDa7G|=WOd2S6#iMG-E$pX4s-Iy`RS_aSA>be#Axx+toFsLLeclyQjzT(>fPzX$ zq!UZ`0Yy-yJW5buql^WVpd^a8Nf%uJ0x@PB|Wva8kd3ifZ7y^yE9weegev z=|2C?@rbD^v8!VSijk=WVxEP*x9U#*621d!fNydsa;cjLmUXpMGd3F}O2XZ^w3fzJ zhB1xyv6xjo$i*l!GvF@UJA+lVl%vrTmbMpBECxRX{bx9OXyQm7ljYQKiFcJ1abPH+ zQ`zLos;jGHRjYGLNFbuqU^pvJqohbNvM|vw7n10B5x|xhN`hf#1%{1S6Kzn$sMCfT z?!77w5PKQ1Cxk8`jS37S9F<}?aT-)A0}9O0L?x`+z%UUG!=UpL;lcPb_%gU5oS1FZ zrHr^kR!}gVWWyD0CHp=E0#lQIu3j*J7&!hS$sM>8>+u zD-kL(%(E~$&ek(YqN7@x8gZ0ni-KeZ2YCn3fm)`al#MU2MUP%b-3h(T>8A0NEl7=q zqii)*iK>o|ku{DlOrjBb$gB@!HbZU^kvAtpg ziHGhm$3{no8u9~YD50>)yp0ZfXpY^WYfTpRXu@`ef*UVu#MZ8A*GY|gOl?+U=E*E6 zp@A&*@zd(#r`hE(0LT-iQ)pde@hLU_%z!KSrg9}G?6v!nq~*zTo*xg*y$V+2qf&BID6` z8r5igFez?zMtsmMbg&4kEHqIKHyqt7g`~m(cO0e?VyCE;o`|!`uu#(W{E$9bE13rtN?jl)A@#C6szVZ4~v0Z!RzZ`u1uFbM2yUu-zQr zN-xDh&SaZ$cEes|*p2E+7Z1Z+-{hJjyX&;1`vx1|U?sq-jDwawB!wnaG5|*|r)*5d zu=bE^iKC30QN4uw)FjWc%WS85oYxU!KxLa;z0p!9ogwvt(MP12!PKx(c$};KG-a#q z8cfv3bbd9daLe>fXlg-WI4O>P3N!e6dY-lAcZOS`u{$WrZIrMr5i(!xM)xsPb9|e| z4AMG^`JHk`8H5_`hn}3rl;pnsuFu&IaC1~8HOeLl)roeJg&b^F%ER|HR59R;jkf)Q z#@~7HGwWyzR5Kd0TxyC?FH~!&BRRM^nFjBE?^d6P%GP{XLiU< zlol{eKBK05IMtwQ7eJ>2bT!z&_pr{B)f}(`oneoe8*Y*bM3_dV2hfm}19hU$#NFNj zl`5G5*GFBQ8v7`*)lh!B__4ooi_JgTn5Q}5S^d~N>jO_}l4gA5 zjaw3sca|2)FkK6N+b|ubzb16?NDg>K7{PUF!@=6SjgHTQ*{Gr~l5PA9M0u-T0_)Wp zo|W$BSW0#!nQLi#UNI&AiD4=59dj?=qYk*~IlwNUj<`PTym~Y|t|b^~7!OCi9e{3D z${%obo*=}zgNq&b*W$1NSYeMB_-$THgv_WrXrN|`8RcI-DjvDrK z4TGcbXKaPM1zJi3o1;%l-e^uvVM0Jk&?b(+j$$t@nQaMD{E0^8^LEZ(Qut>G2^u)b zSePV@9+!l=l?Ksa3O)kot{rg#ec2I_vPNhUtd)vKC0|^{gOZBrxP?+7xSCH$#>qyX|ts!Waj`R9L0 zL+_sb>bgDhTsX^M6BiO?OrpXwCA)cT%6tR0KXQmQ}Ezf|~(rJmM zpFznLRnub42yDtks&96~ygcPGZ9w(qRuOC!HXuYZJK)F3Szcs7P=y*rbjI|%krsJH z@Q$`Og&Kef5Il||QSWL=AwKCxX*;dXl#1#21x=$MC47Of2jWT)-SRaBFzais z)}#~|F_Vmk3qC@G{>x+y$5C~8H6?21vh0g5Yxvb*DNlom4oKR8^aMYMr`N?brR=h@45G(*C0#Byb1iF&N zfK>&juL@Xxl@}}dv?AoGD}eJhSHL0*)Woa$FF*O?5Bzj>>Bzc;5UU`2fR(rc$id&6 z=z@9Khm^6+Hxj`q4`_BUlt2EEUy$+7*x|s7)B9j1h%f1G!vLf%AUexI3VQ3+ocNk$ z{GyR$c52kb;$W9`2lLgJ&caO3$*%*5xe+!KHMGgy!rk<+3+RNgG_6zW^|{-)dj(OF z4uT+|2}m%9(Lvud=Uu(iJ3yc*(0$U<8lft&VPxws|j_F1qSpoNV=z7(5M)}@%>X#xln5kP9})%*VQJN9p;e!6Zs zem&>z}Ptd9L3wxgFV$b+2mRCt)2EJM1Hc>OFe zfM{7B##0*Hu+L@4&d_RJe$Kth1(z*?-_FqPDvOjX(XFO#`Dv^zI&kOfvO%7<&uhFl z%*HWMh9$2ubC}aby^p1#|CujdWw(K#2B5EKeM3T5_^MLx!i4qUCP8Xp{*Y(((}{#5 z4#_!VO3jEYhK+Hf%$H~Aq*+aaZJLfLi#R~enUeaZ%=yTxvuH~7hqlaNFnNf#U zDxI=w_^=^qTNmQ6CR<6TE1{AnRQ3nps(f}ROSLc5F*sqGi53d_j0Lh(2UhfJL zb0W=$;#`F>J>p8OxoNze*ZEjv@_iodjW`I$q0?wir}<=>o671a4B9anf>0|#9;^sH zt;SjiL#k!0I2uEs>K4%G%iQ=j<{Q&j8+O3j&nh9+ZZuq3fyK_^L`j89Qq?S3!e#~$ z8%&2G)lx&SVHy?gB~Tga4P$p8ESY1!KH%~$AD3#< zT8AZUxz2zhuT-PZ8?ZK<-H2yGL4X8(OUf=nu`{ZW;V$0r2b#@?Wj966I)TKukIQ4X z{L)h{oxSD{ap_$b=0-j;v>TQjhtIfd`O)XN8Jbr=!6VkXYIAYyMe~7~0x-YHgS552 z$n^u#bwiF3=0g){LwmYbP42gbeBG9@tU*4*^>t2^2O-?nk%^=!JgtJ5;Ue>qIWwO+ zPBjIw=cHAOhOt9xZ?yvv>1Gfh#KL?5vAU1UfCFH{q#|ayA-b;NE2(IN!JELMICEe| zF-+?Q-Jr*mPmicn_9ad1|@pZ6%SEAE2ilk10Gv$ zNj8xo?c=19CMvMy2y!2x$_jEY^hc((6drG_&y=xV^?+weaQ9J7+n>u(XDbYKhIU81 zYzd>O{%k>0)F%QYzB~Ha1=El$gUgIQ<%V&O9eBEo!IL7RR>I$uaEhdlZP9NXHdc{P@f_XSNgu#J25}&vgwl(0 zwB8Rgid-|QiLP2s=SCUAD5qnPHtueq8uBHVwcmc}--?aD-k9$*gD!m-^AR8BC-Re3 zZUb$ypfQ)F%ubFO&rZ-2-Y8o(;eWgbK2CPRVS3ZPTUbQSZ9a@o{gq{UprdaaYGWB< zknFl$F@4kH2PriB*XUNSokkJSuZ^m^_y@TU<6$(Q%x>psS9G3q*Ap0*_Ez(&I9@5a zVqVM#H6vBIzJ1ef6>@R4=>9567^^uYs#o-H*jRz5cf3Pne1{2dH8~`&wp3ECc2^_* zgQKb3&nk>3`cd&HwQlD{b$q`As{OP^DH`;_N9?30p-{k7P<4kyW%9+}**VlOgq>%* zk^c~zsIOy5UN{MI@GT9h+pC3{k+KuzSP+=6MfFu@5eY8(l4N|Bj{Wtg*KYjGTW|l) z)LBNz;NT3;m*l_akdVdggQuX^v&CU4CV!lshVt7od#&BkE7+%Bs_2(X+}H?9HXI_q$k_vVuu?52t<7l zfPnI`9>mD~Y%4iThiDGWSOT%nAeXzt+RuGFR|3zP;g>)IoG+_Qm{C5UcQvR6%7>3X z^xk{lzjXS@x|Mx`c=#YM%7QE@!b#tQyNlDwuix7|oCmG7v~fhYSAb)VKSv{sfcC zC-5c5{k1*d2$NOvlZWDAk#6x*dWBV!ne9G<^rNTKGLo)FsSE8Ah1L6zqRCl3^&+sX zn&n7I_-1c3!VaLlg8amrl18$-zwnJqBj11b*NqE^*eH_+$aQ&xT^DcBmisKKKB3!1Hmiot|Rf_ z?F3x_;Lsi3YZNmtFB|*-!^k~z|K-r>p&VPLFx%O1nEbxF+SuS_!4LAdw+cXlUEPkyl&^MB&qJ0 zY&KwM6I{04MM@h<$k*yfo0{3h$2ct_9itv!HMjFx<0-pk9E*x8=;@o^pEL9hwV~GV z5iX*i7+25W+iVbazHjI&059XyqcnP>w6$HC{va4;-4TMW>)eAqgM4m)oUg`=PPWPy z%texp{h!v(A0hhz@N}w*oPh%Q9P*PcC?f%b&+zH%b$P);l5vygduNL;4SBPW8#}!r z7(H8VsY#YIdIjmlyVCZ(#sT$@b5=jB!t8@cVJ@OA*+gSWA_sBI^JtwB=6-+yh-b=2 zVf%5bYH}rK{4j|%F^0mD+*i>^HT7G0KF&cp$Oc1u?9$X`ESFVN_nZs+cdH{wd?j5W(IwrdmBK%-v@dv@$G*IhV>(ktr!^CzXif=$7KBD<IW*pE_)eq+ep_zI#M3$@Kc=Qutl@aEd@}D(;@kS0p;9> z118Cg1gzh|#Rb^CCA-6LtC8W&F^lxF5BJ`h`F`XG7fAwPV2uWH0pdTURRi*9@eItL zp!U5r9Al(g&?oOmNPE_xqp@%JWi+AiX&qmFQQYE3g1%rtX>GtBp8~@JOEPOlYf?mb zKEs^FjEz+g0DqlgI>u=D*RS9F!u;`sjP9CJSwoR9?lo#hdNNoihr1^O@W zf}ZjHAel-m6t3I;OjzPR^h3^i(yVvUVi74(sJ*Yzic-G%P zfq|$se3oCI1IY2z@atu_6?34ajH4HjKNMMl1O-U%cU+IQ2Y)aJFct~2k1))MW>-(+ z)GpiM?rI1#(lIvKxK?lfX5g#8=OHqVRv*wZm0q$*%7f^aLG}xV)WkbD->3EDk)Z;d z>nro~rM{3k4tbBX2$th=GF<1#S%ABn;n>BrNqrd(UFfko>39A$b$nDUGopUBnlG3o zz7CI8`}S8Z?w>wQV=THkv$b22~W#UsV1%SnlldLS8b8gm4 z5afAa8YCBQg=eGP)G_o{c@ZUq!3r^pLXmf?B5U^*InHu5%cN0@&}2Kiph+T_))jpP z1DQBEw7T!eudjRH_ogkM*yNjb7uz-J3v%Q!W4RdTEY)Bl4gu35kL2WdDZ&1pI(L0~ zL66s|WgG!!PLLz@bcSkhjw&xE3?GY;_Zhy;Jtgje(1w6Xjak{NITkx%;Y=k*>;nrL z$N65uw`)gwA)s>ve}ix4={;dL?%UaC*TY;}B*8rtiQ9Ec?*UkLNg#@xEXQozYG8X< zzaM__^yG*cMyp0|yrm^e;@x{Kqg7v_GiGm{Q5zHCRa4jI0-$9L!u%vFFGGAoApGG6 zbISZ>*Izuh<_PcK8#;!w3I>UWO*ia){pPmGK6>#E0~o677OIM-Xqp=pkP; z_Tum==0DUS#==+U?*AjEJ06i?aEq5nqnd)xupIIi(!3%W%XFLqNXZmOw4;^Z;&} z-OV5u_gPF|%-Q>x-^?Z_7}uq`spkOx32+HGJ%n%S&v_r=zEnSy^+`Q+)(1J_1Ya}4 zNU>yz>oT9DU z9e3K`j351ZTm800*wAB^rW za$^uP)+w=Mg>Wz9Pk3Pq z?g6;ZjRAVi0{GDF5_7xj8F+sK_uiZ6bpIM%Yx(rMZxy3E!urFH|DPK02LWt@whB3J zI|L_!tCv}gA=~WSeMjo869%?LU(YJZA{ZPl}brnnl$CH!q@Uw2!LaZt0 zN2__EB*Q6dk{_b>CFCqN8&(7Mvy!k7_LWSNA146-w*r9>@L?pdqV){yY5V_x>25Sf z@5D8I1wSb>SUjoeH5?}2&(&dsyb16-T<0SB%kRD0V88pRBkLBwBH=eoK1#b0oiX3! zZAgJY!9&*gHs7n=i6tdp!uvMwg)RlQV02%zXSSnu7GfpKXZSwmQ+y^g$M?W(Z!r85 zRqle%@N>#+w#e~y$5q&>>l6H0&`t7CE<#NrUizJcuU~<H~;DRNtArjC^u7nuP+mEC9Ma@j3I;uQ^l%*bi@L+hp|Weldb@3-W22~*9NHHRk3 z%X|qB4uOumqF>;A>sV=ggl5<^vyu!uA;Kud&HWO+!6- zu2;EW`tF?h!St04Q4reLM}PBgiQ?(o{}Ayfc#i3>KpqnQZA29>RT#%WgCL}Z4dNLg zKHcBvf$z^!3%<O-mj|% z+J1WZw*N8(4r1N&3ir$XzL?LAfwbKMo#Ib_5SCl8m2G4rj=~^JSGoQmtk9G{3Tv1J z<+&v`{JAj-1l)5$gPix>Zkzi=HB`Fqcl~i#p9ncIc?c7^y$ACEd9fV(Z>af_TsVcK zxjz)G`q7QCIOyjk%i(>eTGsVEW&*M`qlo)Bfe-Mf!e;K%KeFe$$SJx$8LkY6orFut z@qb0-F^b_Z@3&$bL2Y-n<|NC2z70i>(9+M_YzzlAu~!#LGdCleKuE3Y;KJXasL-=X2k>hHwAyIJRZR~er#L>XOIPyqr=1h1&`lf12u>QY<|Ul z+CbcY1y@-A#s5RN>QC#A*)M-4_q$Li#-H&12A;FZX+Zv*+gpiMsX0O)3n&6FB0=v~ z)T1!FPg`2yeoc#~?MfWgo)oLl|A^~_(!-uGCvUghW@mg4RlWGNIQ$h~2q8$&sEe%} zeUdX|ew@o)_I<3owiQP{$C=3 zuwz$~2HXNZ73b%)WSKR$59RFTkD#;tAf%bs8G=Vo!iFY#}+-GZi^x20B2zjt6i-OInVIE#*?w@je9>FH;Gk$H~p`Niz% zN7kPGbObF)_jcY^fy+&3zdG>I)BA48{G7cn{p9Z3-bdTgHgxS|Z>-8=ozlC{?49_E zgeI0~-TAEkULBg3zTb()rucEkZEF+Hv4>VCp6is>20k^h@3xI5sBe5B^|5CnpN*_N z|C~nGW}dsd4aSMIy+!fKv*~xI?|I~7as~$S?fDputPMO@6X})Fl61OwvX+(=fA_=HJy)How$ibzWV%gY~oVn)6YNq!|GpF9F|X?xd-n; zd($`2vvhUwF($2D>o+c){W4mC{_?hey7e$xyRtvi|F+^|kppO0iZ_{$VPFQ(*tD-3 zt!F#^fx(Z?pESUZXif`bzVWSYTP^^*skfjEO(YRiE9H`tl99qrSSg zhE~g-TkkphJO*#@`Ac^lPDh@{r5>x=^9%X8(r3|{_QCF7KNbJ_+&ZLysTUwcmTr4t z{yzO@4C%yIj-j*ZO3&x4x9(bV>Lw2z-Tff{8c%;Y_Q}ZD1eM;0T-z71?%vM7QucRj z_j&$S!{xTa9sJI*Qhtv7lI1?TLWqv8L((_(hd&>%Y0WR&m?$&z0+v%RjW8LoR#9rwq7Mq!v-#f84TYdCB z>!3QuGo2?+kF~NNkaJV-@O|X`C4SQcpMSFLf770u9?H0vJO7lPs2V%KRwu`#)aS4^ zyEeLy@VDrayOjLoK3upRGFCwljRPY4<%i9lKUlWzO)K^d;}G z-g7Bi`t8;1?qLQOz4NZIPO^4wn6J@&{KWHos;=^|9_g)_sBXF6H*^|?rYq^A{K-=J zYy4|Zy<^>lKB#B~y9dJgbJj|FjemtfFVA1kFOdWKW2OAmn6DUCJGWU@~Ls~@C~T|2ruI(BXPb8I#B`3xGmzg6{DEb-`}nW~kZS^7Nx$XJvwKKf3@ z=lQLJayUXE-^TyeeH{32z51?( zV;vq&KIs6j$aAfq=$00w!lzlQV_69 z8D}xJFhRqXR}Yn9Oj|`MU=O7v9Tl&`>B7l&>fBKB?e!<5fMil^&a*Q_#8cQ32FHx@ zy3Ur4q(m^$)VAEz0Q!^;MQv1JRgb~-yiNV?VXgXdkXTB{tMO2=}-oF607TbYvIDa^dRLKQwcs5!sgnzVTUYM~~)I2di9>eC#k%Xt& z-G)*`#m2bco*O0E(m|@Bu^={!iR!fSWQa95iO|-VO*2YBW|Q#j4fa*)f$&dG9o%}~ zXEsdZmr!08PKEIRz7!s)bcY8C_@x&7-?pjwKu~)JhD^wp6)j&y;UJbT=FS*W3IO8W z_f1_wZgOV?y)gs-FWlFA-HSYu)>82;-VX7@l=fv)PMt@WOka_yH zi_+ztP2}smBRsy8l0goG^&NHEldMOL*6JFSi!!uCwHF=QXF@G|fmv)ngSk=;U~Lv_${;+I(@2+{dGCSMzBR5kr*E!&RX~Yuw(^bcJ z22PUZral9$!P07Umr9)h?+lrLkafC4$L3GC(o;Q`#1|X-_LW~hV=f=>Xf+lLNp z+$yHqGd=YVnKPzurm^iFt0GfRYGtOZeQZ!Zuis}hyfAfs$Pt%04;;QhpB;QMqR+J7 zqVOm7uv)flG&XAWh{v502tap^p42?^gY5M`{$TN&d)93%oE0Zc%lVMF-Lju76VDQ^ zZMHNzV#H^cO{Y2LwsY|W3U=_Wq3Qx1MdeQF)<@VmE5S-7EB!6G|H6kdUvZ_IpH$wg z{JFU-x#T^oNj;zOULv0fI7j(O)wq3{R*Ley&WP7;Y2yc~=J^TH9GhZOA!#xayIR%M z^7)4LSe|~Y^FBTJ?P~>galq?EJvw`)zp+)LbMZ+<#WuX=qndH1%1mG*ZTj(KXART# zT4n4}Z^Ycgce;k#*4e;VcDk-?zo~L<$mruonOhyR?sbCy;MI$I?8cTBQl#0S-ScQsDz0fJ>o4mk?W4W=RmcZjHj+?bRm6^_7UFjWcN+oKn z+PnI)c^>WFOTzNpC>^(hLp%^poEq{_L{M)xlFjP9?M)NaYU60<%Pw{Vpk!-<-fL9L znHp2V5txY+u0i|7TL(E;H+h$Nso5>th3&Dz1}VO6I_hZUD$(acOC)IU4@Ki{kvXMY za_Y@R*O`|iM)CD@ezg9T$FNtTr>tx#yQC#TwLjG7>v4X_4RY(qjb?wByOpZa&0ca>*?Oo!)gLGH;FetXN#tn!-=eSh6p`Lzilt(2wW{?S83 zMvo`sA8z8@ai{BbCu?hD)}*LvGSv_lQEakP@2s~3eQUpsQetUKR~TZ1$1@|^q7t}y zIm)*-*)jmr=??P?9-G?Nz}$slx(Pc%yb6gIfYCyORUL}c5F_}4+|oKmZhSax7YB{y?n-JG=1}Rc=G_LhMs@qH$=ZgTKa851 zbFWIvru2*Ze)8uZE&j%p6Qx`A_*TgnmdIIoPI5>O^bhDsy6VqCk3SR6bQE=`RqWLH`EU zZ=Ip!&1Diwwai5;rTGPG>0lz_PCSk}<9sAa<2~hSK;7xu7c3`;O3JAx)E~Hw*g!DT zUtmoBJ5N7iOQ{!UCfTf)uI2+pQis-{CXSp|!wZGRj$KnD(a=<#YKRB7*ICWRyLz*_ zOf~1~)(5N!R8F6XjPEM<%7)9gu+41r)QUN$Oy23pmnhV3I>Sl_2Uu@8?>fPnD)aUh zCutXo6||y(`a~t4H7@kb=^vKmOFG%F-%2O+M(WTI(Z*}F;(PAEwu5inybdcN(9Z=N zVQlcscpyG)Rd6-0XA4Rk@=4K7F(oEaW!5=kA)t)LwJp{wfIqa$MFTtLM5S=3HOB3r zJ6PfdEs8=t7+%dkjqb^}dx}{*Tmspt-woQ2r6S z&zKm{KWn-(y|KN>JX~sTbTH61lds)U%#=)GHbnqTe>o>$jbhTj|A6o>!?HYSd~*754>qBc@3qiD@EpJGAjuB$%$Qu>eRCrMgduF_tCZfNKh%@` zhWkeUs@^W=SGKH}Q~&glo%+ZfKVki+TE!2@Ra491C>fET)5RawoV9+a_bsVbbl6++ z+#fm*AEq6@sDI$M(Z|=vWV7TAS>|Pa(DA;40~izkEIw1}%=HhxtV?yyN$JyOz0f(x zqt>XKyiLyY-sFku#C)j3aYwXkSqJ-~dBW2zU2W3XyluLtO3lt!qV7d+;Yl6vo!V|l zEi`+gs#%)Y1{lE(=L3tlj=N-GKVFKOMvsfkWaZYGzy*BY75}!JJ z|J}d3^Q-?k_|tX6UfsTD@eq0ZRNF#|4LkGPwpQGE#k(CfksUT(F}>^f@>c7K^ zJ)_S|^Q?(HTS%M7-l$|RAF{lT3Vt4&^zYW@Evt=bhT3x-4q8^UpmP8zJv~=N=Wf!h zhEZ+J8LGWtJ`^#pJ;7=XchS0_cmG+{uvl9+XGQz@sT#x7EWTY*&E1hd(fdT_%>Mf< zW|Zz{?7e^g$%lVjeDsBN>k4F>`Q$a@tq5Nep-~e2gy$KfqunG<^r`}Li3wD1DXvBf zbKMClg^w?@8S|)owI%a-(AM2XDO^Q^C-hn;{|R$xqMItq5}D-fSap1=z2`#-`Vfc#t7JMIpWMc=~KS zihL)ZQlvT8Q`}cbJlbLjpKlfesuTi)Gs@#>*~Zo++Kg;$M_kgnRSkK}CT3~dxMtjX zfSKLHD$0`5)DbULNuph~dYZX<`3*taEZ|6YCU=)F{rjhikNw4lJ_lP6d+|YM6-#+RvZByEHwpoMhwQyoS;;9YQ8KP0EJ2WnvI*MAh6gFxo zDk)rhq+WHekLbM~t1!1Z@m&qALvP8Z)3@Vv9Qy{eZ}O=F&f~-#>GSBaXPWnmd5y1> zgjcCr?`%7svafxyPfeX9{ z&x&I+KltAb#W%jWVMA2YLCws$7;01ul(rO#pd4hA%-PtA9txye3|F|ChH#`z?&B!%=(k8$Ezwi*O{sEdzEfmI zxLO`pT)xTMrq;73C=E46^!(D+WR=)=x=T0e4Y9y+Vt)4_B}r?jl0g~quqFiLP;|nz zh4!{>lS1(EXjONn9s0^?WFa%@?hrL}Q=L*QoaEf5rH@;6J*DJo6#Am>Kvs$>+o~MP zqWOcO8!+MtTNDrh7yQ#Z=fApCvta{AS9?z;^VRCyiQ!7rqW^i*sug!C)`rh7m^Wc-YrgiBRoXdlBQHE` z)tji{QbxsuIHVVjNxeAhx5negxIW7*tH4o*xT8Pa-ut9!IIY?4Hmmz454#KOt(JU1 zBK_0CviLPK>fIz;CEl@9sLFzhm2a7;R#P{((eoB++)mZF&F#@g?rP7rZL@}| z+~?VrU4gyoO`|0j{RPK}}EHylwPiyT+Rqh`m5VmrUnK zuNAt$wm1$kdk!~SLXozFzRT^|9b%<*H#SOg$Dv#4ZKxt!*?Usfq+Psufoqv-TNj!{ zGDFg2Z{q~hj^hL#jebuo@0nIEN-w*kVvnt=AICB07aR45Ez67^lI_YoD{D+)1)m8{ z0V;zsU2@yHJ}99lj#(jLt?Hk}d9$u_w58h%NvqG|SW+rJA8%-LUfRuNiaMm`WPD&7 zxvy$&m`V=Woi~Mr?`+$!TjQX}(}pMZ98jC2U|8DZRQ7~j zlM_cOQtx$+baS;lc7qM2i&6oS%BP9Ty@>QfH-JM-la}{qnyTQ$BGDG?d`}lUkMmHE zXfHEsJ~HYst3$Onc|nhh!$v?cg+W?4XFC_lJnFc@Ie9!pnN);2wNzX@%JY>u#}>*w z%M2K3U641yqZDiPV|Z+#*4!dpSwzb6@AbmA-%ZS!KfzF>O*2uqjXo zXf4Kg+)@J?3r#AgnT4>cUdO2_IZq5G#nM5@CE0}QL6tcWCRHy9cYDC4271pJpKy_m zRir|ft)ajex{jQY98nK4#nh>3F@z^~*)-sVsI<+=pI3z7F!QAR7xDQ)(MG}4sgNix zC}o1P7(wPz+p;B_Pzp>#cMJ~e7CIq8)dh=J>-Y~^rsbhj za+|)@jc-y5iIlLE&8Em)+q5(yW@~yOZSs4bhOLH_i&F+ zZZoMC%%GT@g>v(TXTRdeC0xgfr>bOH27jqNj%4c=36Yu5U+{9QCN^Xu=i_csMek0O zES|#YR3svbC`Zls8CiI`+Ld}-Ml=!GQa#W<+z?ud7FN@qHpw(*zWdI1zWU4KH{*l- zhbe64gHBeIo4wj`K+sRG<`X+f6yZ+8F0|BmK&_d!S;FqA*9&BlPum;Dy%#Zby>T@# zP>2y46tKlwXo{RkIBl}mq2NR)z*8kM zSTz836E?1DQCkhbKTNJ`*MlDxRpboeVTd8DrvCl0`r;oM>vu1RSS2#7{0ACIzcy{y zSO_n&M0EMf*rn8ISA_*tvkN?=<)4VUajn(Vf;<$&B7lM{B%3jeeAB+q6UG(u9Bv_a zk||Tl99B}~Jl)F=6{pW)UTUsdtZO#w&I`TI=P2DZYkRmW?@_q67%I|*08Ot6c_yc0IW#Pn{cfH zO%U?3`Fbc{I-(2tc;hBHzYPl$X=cN+LL;GZi0?cm^y>DU7ZR>`-`S~X~+a`+Onia^F#GMNiu zZLDL!%0q>3Cs1q9qROdww=IiXL|rdjj0g2lqiPF6M0E(scjK3zcFsCRNT8lPghdGui<}6}ml|ji(yB>` zKO*r+7AgH6bdof^d>(2D2?bQZR};D@=e%C@WKy6DXX&&;(s8WIq(f4$%rj*x749Tz zKtW@Jd=`2~4GCvZQoe6`lQFz$TI2z$sLg7Ig*F>bJ-gZy9j!_;wZd|Cp98Vh|KHa@M z^6z{8S8>&ql6Lu_ywbcxp>8%=VprUabjEA*Om$1!jEU7g)G8iig_^5~qXoK_Z`fGa zLq)rr163|&PMc9FNZX>q1vaA^G25@tCE(R^2n@%~EdaYnk{VM4ojSKmrXiA|#$ny$aQOVN;SA*EN`E;BL?lLNOqP5x0 zMxkl8j*wu5<_bhJ9mo))&n$C-nvHe65PQk?RNtoy-Ayd`C_=4j*}}FQGhb5DagHOmb_)cg*fPMt8sv$KNa+2{h*YA+GSzBs@ZG`5z1a((+ z0e+r3!FZuANsgw5SiWO>zu5cNhr-3Le|O^^<*A1rW>4*rTAaQ|R*l2V`*0=idveu9 zH=&E|OYMob9_?-&l`eUEXp{EJ>vQDjRzqi`1s)i6VqRyWrmgP|NABRO>xzD?u4l3 z4_I&CB38zlS)cVv&8;IhzxEh@r?iyyJk*>pT-;H&~9rYInZ~Ri#^j`f-ZUjrn?=*+iS8C~8dE>=M*I2Tt_ffBK zue9T#o$c42+|REcquo8<9+y|!!|Vc1%9VZMk)gz`Vf~-YqZ19~@;{fJ>F?6UT=hYA zQtMA1{R`R~J1m(O+ODHxv5D1|ed2ah9XYGcG+2GtM(yd!+9Bx}Sv_`S;^Fk#lYjN! zj{Nn{H%4aFUAUFb-CFCOQJ;J%`NZyax?J_HbDTbFQg&~LSZr#SA~h{vGtUj48gRYY z8{bZzUi@hFhqNzAH}{E`)&A+b`}zCs{(r4(TbubKV_)XZrPRfVh7RO)};a;XK9Tr=Qvt+g0z3G3kA}Uym5yj4igz zOxf7k)Xgo9W+}Tk=kwnttSfu71eaw=ln?zVB9A$9n%(4_}g=uqMJ& zKRR}%|BE%o*i`MZ{Pey?&-!`WC3TD(o9*ds^}2RewpMh8!@(2D5&d5Eqldbi`N}hW z$yw`$n{G?>rvGL5+3prLs^9DE?(oEOgN+lSm*!t`4te|U{-=o=oQlz|w{}$ALmqP1 z)Y^lK)|=LsN*W#>>)1alHJ(TgSm(~pOf22l@OiJc{C`G1GHShL^yxD+cETD{zdTnm zd(@bzn7t!;K&<-m=R9vUy{qC+hX3>f+oO9gUN1Wnd#6wRY^E{ug{pp5ktzLo#`~bi z!#`T3dt)COuO(kQyXua$%EiCAvNZc{>-LiU(pR#tAH4NkWvuDwns|8PwN>vcM!5dX z&P$}?wYAm*4W;B)KJ!YN>II8k2LhZ=XsZ`skV|Izpue`z^;S^m(2WA$ej_3@MZx%Bc6pT5AK zJ6<}F{VX}_b>F4l^_S)a_K%}K>o{tq{&sqARBW#3b3aQa4^02jrv{Bn!($z-n@3t6 zp1A&_$4aMnJBjnvI}&@V1_l%V^QBekb;&w9u{N;Z{EAx_{`x>~+qb*wVom93G5aPP zIkQWT?rymISjolcbqCas|NTuv)&HQ~|3BV+;s$v-I}$#i?PK0~>sO<7;hJ!J?^)@R z^S3uPsYhA&PrTWC50_7Rc3GwTzvxcmQR>TTefIJ3q7Nkog* zYBG#41eDBV0ux@OAlm3!%{&=k2nZ#m-PFErUE162mPjeJ)?QiH>+RiNcR_5i-Mjq}LD9bK??m1I=RY4wFd6dloO#Z3&Uw!H zo~E<(B&yAoaCaxiva@OlKpgYozh|X}EOz$buW&Qki@pwx6+61icc$VE`=m>u)!1}! ze6y<;VTZ8710v zIM~mO_l)m7m_@G4%sG50&~F~^`SR=^I7oQzxmtB-9PX8?Rekp+Z|TeJ-TAR8_@#2S z=mY2alAf#RFls#grSb#%;M)EFluj}3`}=ZU`T$Kf?ag^KKT4+5}g66+bJxR-4OFyL3>o6WnzohvNo`<}jgz&(TZyPA7<4$h$tskt{N z^lDYkl=_VGe++Zzxb!$Vo-&8FN|m|2t)~ic<&mZ%l zbATnF_AQ-`qfN|&dYsm)g{{OAd8{NgPS?Qj<68-6JbVYZ8V=ewcE^Slz9>-F<4j0q zwNSwnSsgSkQ~;2-pH88_iZvx^(H;p{gQoo<5Y?jD&vnL+P zBge$J65Nk{V$kENxGen8^|#CC|8{-2w7NL-3SY-#zCc!;5L)7(IouYxnWRg&g|ybD zRqn&Ud7ei3L5~2IrdG6vz-R|fH&G{PJ)FAXE=3&T^OIy_P|+=s*4wyRQ60;fXOdn>Pz*w+To0t;01_5m=w52_r9{Hiw22*q)Ej4p`u zU4o6yzf0jXiMYT5(HI&s_pFqB07DsSfk_5`Rsx&Lv6(~&j% zP7JM|wpTXOz#ohd(^Rtu0|ziQFnRN8*8a@lEak(9i9VUv7LiL>D0#?lXiI+*uUXgJU~=1n zw&15+Kq$#>G@rxk?otvaunTJN@_Yi_(5=+IVErRhgdK0huG?CH{_VdtpHdRSz75hY zzVz8`9eW;f|INL+>enWyvWO|X zY1C?_tlRcfDzRLY>&+>ySuFHXd zqEa^?Uko6Gp|9uxbuRUo`jUcL$bJs1&kB7R&U>com~Zu!A5-I0pyxO}<7c*2jvY%F zYxBO^!sqW-nV2NPDL+=x!e7Cd^FVFf zBxTq)slOhi7!V2(_@wo2yzW67L3kb&Yz8=Yx00sNwJ-D}^KX9^KGr%1g&Q+%P}|qK z0SH`f_7|lk?hPew>-UX{wY1?^bb7~fx+e7NL1w}2e`nY!ec%iG19UizRyKkdn(N6v zQp7#26xdV6hG7X7;W;o$o#rAAkru+**0N|u+#cZ`OOB`Z5^cn z6XVEF@xI>i;r+`){|bRj0fc92c-kH*%})n5 zJ)r&yCniGORAtjfpbO1F)!+H5sHE97~~I+n}qKip~R=!{s-dCtJ4ix0le+vIXF$m1y z;GWLf!Hxm{W&gI0Gd^je!<9)+6{`)5x~4-&5=sTAI!kz9JaslNE7kNd%&Mm62KqAE z*$mTu_BH{wN;+9g_q=Ky4YjW?rMLTc)6C2l<**z0qv>V)D&^lmcAvvf$&&|K?hbiz zSC*k9ke{ZK`3afPPj}y*x%`#eZp<%HoRa&}Ai5p?KTHes2iaI*mbyR<$01H_c9Qy% zzDnrLSHYlA8(m>R1rTk?#zPjVCQat)dOSvxUL3{X=k&Tun_2@dKS$fA%Pr&l!@gWzl2_#8EVlh1?e@?(jZX8Zecw2xb;sdy|6Ka78g~H7;XNLkoF#GV}=`STi*gG;F>B=-j znsGXcw>`m!li50+o@JyA5=u}XgiR6trlw3Xxh9c$-$K_1m4Jn^mhoMisW2dn`a`jT zteab@ET~CeT&0kqHp4ya;$Unk?5}65{B`zs}5^QIXsbC!A^ekTl z%Nk6UDY?5)sAfv~p0JQql=<)xlTf&&IUiY+8>1vP+* zA&*{dazR-(&W6?OGzUGJ=_Y9Nn@#nHqLd%!%jErGuLMN0Lv%=G(ot6m=f|Qe0jmGR z7OeC(^Cf-q20M;YM}rK@xwkYK#Hl7q7^L!~L(mK3X6`5z(%PN#Xs=)%g8V;85YH^-aL9`W9Pb3BJhs%}5QZqg zQt^A6kstAs7%EW2CIxEs0Ii~1NIzi-(`G|uix^;`?EOx1hzmh7@B4hRLkYBi2NK16 zipvhh!h7Z!ROK16#D<8V5s!fzi+X;Qen(mnWaTaiKp;hW=PJ zIGJ!cp{=IV{NANtXH(AX#-kSX^6lRzJLYnCZ*{)+`s}b_@2unAo=$yehz70IC(S$4 zo-sTnUM~2II_lhW{>YWG3dfz(txw-|yfg5J`4`(vuYM*)oi86eYH`daf8)=E`W&e7 zNuSMKH?nb4L2j@&daS)SZHqffzTA~-as0X95`HWHw`)5G!?Q>4FUajKJXE^(LB{oE z^3c}z^^J!4!8h;e-J+fmyO7EV9 zDyZGP|G47V&3`-Zd(gsPz57*1&Cf3-quH0F@}cxsWWUhf;GE&xk}G1CznJ2cl{x`tzJ67k~23sJ#y6Th+4`U zp_J(Ik=$U<+&2$&XW-ramYLEAd)(udKbn5ezAD`p4Zm6WiTW1#Tjn2wN4F-;tGBi8 zUjHUkFok{rl9T@~yw9>CQV(&fqM=c5LdI9W^LH zx|w`1L_d+e&(BmU@;qjl2JpTnf{L3K{bwAGyJQl zjEZ$5wP~MPhnQ#Gu)H$u_6i;yXnXce+P>`~%B3f`1}39BVe7X-p5Hr2um6@mm-q_a2jtBT-HCNir-uWgLtPf@^lLyxEcHFFSRbIh!!w1e z)z##Os@dIWtzZ9bXiq1*H`vJr-$DE(|1Nr4VRst+n+ZifYYuEEhWSm0SF|dt4mA6B z4;`3keclR6cjy-l%+r>?n|=s?boJ32{`{h0CY*m;O3QTJ&>6b2t?DSF&hg)16BL7Q z$dxM9byP9=>M+dg`zM;90o-`%nd~0B0w93Kx@qO06j~RN+1@zdiOg?ms_+vJz9UiMcJ5()3nXkFx~t-UH34 z4yh}t$mH#L6r0rs&QTK7wT zhRnGMv1C7@diBt^v+_sL zEw|p##{>i3rX|os19UmW0=rVLEyHzyq}U=i#fWkqp3_jiCN&>2WiTCYHc$)D0$F=E zs^w7vPXIi|Ga$+RW|GrpG#R@U-C}S$s~u9m++(XXn)_JRAXoGDU@N%*4@g}s8}mI` zvs(EA6s6PQu98gtCd?QYAhyARmne`5xHW4Q(jWYh)V=P1I<$MMLElmz|>H7OH|za+3Dq<9J(<`fKIPn4UYLd z!fYECYN-NXEaYh7_m~CLSQ43v0)m-dj|7NE)VSILI*4avpgqu_iD}}XN|@r#2z~m#1Q@t}&RWjf zV^)FP5^Ik|hm_PX?0qxSj+;5gWLe-G6O=^eP!?oEB(odt#?c@HuX-n%00NX?OYV{w z*=u%JKmkO4VhDPHX@M|VkHLW#^_kL5P2gKfBuNzt^;oF|Cw@E-I9Rm^lh#DD7&6vV zLLCo1i_CN{(EeF9fvh#^nE_rO)+x)-i@yq-XMWiSq7g zBsUIc&ll|}%1g{xv%hFg0=KJE2u42`d%n0eP@0;?PtBm`-2aPLb?j2o%GX`W_oE;- z;q!d|KV<#6y&Ip~{J+7ZW)rh>^N2pY>ZwgiQu4Pm7cDl`inj179UqF#pyV$=_@UG_H9j;7-HzLRbC1 zj;HFwW8Xl><>0oH|8*&l(UE|j_0AP@ zj*PKl`+ngU%=dOszLm^T6s?QMQNL+2FE_}{{2|xRms_#*3B|YO+P- z8(u$nEIi{<OTCeskyV#5SSD9ex>0yUWU#(;xp(zP+g8v{04AtYKyk{Poc<<_kSj z5QDmQgg0W-G`h;RZ|fW#I-WsyI!_L$f3gDQt}BP$b%yTjt_GpqcVIT*fphvVoO{OU zOY7}GM3_-6iC5m(h~L}Rm+M@^ z*tQ^2d+O}QZ~5p47Yh1?t0zncCOggw&#^;HL*wbj5sN#o4YYoLhnJh|PCEBhRnjr@ zaK_g!PBBxJhm$PdTGx+V^j)O4`7U;RPhE@OxHH!5^ZmFcRm}Th(9e7?EoUG)_b2kZ za&o@htgQXOH(&h0iF*3#nr5bD>Rmk0I-0`o<_`>aTF&*Ob?ZhNww?cEBy0Et3bt%i z0PPTkC6xIUtm?{by{2sw2bl(%IqR<9hod__<=-BiOWij5b$3B->ZL|Bb8?**jA!q> zJ;}1Arn4xx$J_B=?^?fqs`Zqz@lMouEYwgcrs6e!IraqqBP0}Fy1kd4v%KLqMFt|| z%r1~*eYAmja{c6iM>ez8Ue^PO-$~5Hv17_-uO_4Na|4|4p z9QFF%KH2ZP*u9&omSUl~9}n#F%|4zwa!s~BNDk{o`W(JUR|F?-{*W=ztSodhBO$-NmfQvkF}D++$aM|VK*?)#@A10DbM zzAK{x(ism-6~o4a05cXM4)(taKybOd7K|SIcw>ZvIU9Ly0RGnCoR1`#Ud^;N0n=&v|LoTDQ7T0GxAvXuTm?ztHJp^(2SA}{H~@=Xa`AO21z#-E$F>?0*vMpl$~T7 z`5)*f`_@-1f>%#||QDW~q z!Q)A|6G#E*0ZX~_)gT^koie{?R%Y>P+!?miRFHU@Y;^EZC$%5#$47Z|o@p>gIvlI0 zTr(7%zlSHCjqq5Md?_$%DG%rJjtR>v5AfwE(J`J(UXd!y{A@Ur{<7q>(vPQ+>yZA_ zEf(MiU696K2?Gf>>g<%92lqkpYCWDr(O|1<-m!%N00aCfBaIv|N6AhHoP8A~qflBH zOoMmuRkV_Mi+nX01_vBn056}p;P~>M(>)WGFX=|dpSgMGO8!vEd<)n zATmtB7QbNu3>cT|#50a! zDAI6FIL5nUCHGfg57wsUC?G{={+zvB-(8+ymV)5>aH(GBTuQ`;a={|C4=wtv-ouj01tRH$CTs4fPp(D0%O5} z4#Eh}hM?yr8~e~H>>m6M^(~6R+5NzC033D&!@JWcJWl`c$S(8WhVK5?ESH&D2Z7zMK#jgN;o zfB|x(hl>%V;$NavM}*RifNVpZPOP}gsW%ODHm+rKUrKny80fo~mY8&hJWh@kA(yTd zkEnhqlZgdB`eO*b*qgcwm3c&$JF3Lws=O`XR)~B+WdCR|1cpv7wv4c=Fc|$s%vG{Y zvg1f{CfHTufiAN!g~{PENUc#IN(T7<4J(vru*b{P6e@i^6jy=y`rs{Gi_}#_4LF)4 zw;fG5rew7?1EnDxfD#{Mt*}Uex1i+6Wg)Ewam+z#SISNIV5PE`4#W4C$Y$7Z%%N7u zH7Pw!B|B*gAM{zE6!e{#A$kx5>bB)K!x+TcY(Z$~5Dny7Wg7K2O=8%QVclu#qfzny zo+-I8{Ey~uDq}Z)Gjzr}|FnNwl>TNTI7!iLz}`)C%m8g->Zf9Z@)J0^v-bUyX~ONt zEudd>n3MHiSd~zlH8b_f8~M3=A7Advn_d&svg369Uce$+F73;NzCBs=_G6pqjF!|? zP@iwl3#%$}nC84fdSo-K%Q$-YTkA~6g9S?C$KOf$N%kCQ_KHBkf|`B%M01pOKSMpX z_JTfYfc2&Jc7IaQ>gf%`CtVdL-yhYee%E{(y*tm>wQJ)S`>Nf!v7hp~k%}*#8Y|Xs znf*ln`QT@KMPA3-pk=@N@YWy7^Rht2nw~kao#~w1SWo|)-|8M{eZ7tUo`e&GrVqV9o(~V*f_E(KMaDZnI&sHqFPwk2R)Z;m7^<$=TE_ z`mMT8aBk|wn5vDb*hDX=%9?$9I@9-k#e-cm1aESWG=ojvQ%a*fREFn@Q}GkG&+40n z-wi`(SAf#^*_B7F5e`<{T=Ma=!_NigQIb8ahklZd9`Hmh2SKkNb>mRGbr+qR8ouI7 zOa1zSuPUu|eN5f9*R)7y_+Y2?c&M#ldNcjW{E4KF=RcTa=e@X8K6-xqhu{8f;KaY? zo0oO7X`c*z#b3>A-v)d}(=l}}`#UO^e!UU7zyC{hU+!u65pMsMX}JA3U^pLtCHpd; z3q4_#fk>z`00z?D$5pV89-rT~SE=+_0?qucT3BzT(SGIGQxlPA*G%{t^t0#MysJug z@Q`E#&3-{&Jdg;?{{B}q4+at|g&zmQ?iw5&> zLw#*VWc7$)c=T*>a_M}tW#^E!bkk=bZU2=~h}7=`FZsvcr;5M1ChCS}{pYivS-0f9 z$yYG=YFR<&;5iaZrO`DX!iw5CnGLdD(^5Oo@ijfr8vW{N>Zt>A%v5Ty$4n(Z`d}j< zA75d@ zNes+KsO_faZLc1pm8hjfidqg7^(&WE5Hj`61z+PESA6<3hc!QKtPbScBH>dV2imA_ zt&QLOFbW?059Nty&D+fUfzID;`lUo)oykE`?B_BY*z_K&Qf>-SW4BK+=X zs7>5OZ!cbzy1jiBz1_YFRj(>9;qMgucDQ=@ip-M-I_dKl9l7-IMTd!b^me?;zeV(% zvBFgL4)}W8s0#CB!la=#;~3vO3KLmA0TX%8_k7)+x4gcKhEEgU{>POf@M(NWzKq}R z8=2p}57$wDmFmE`Y2!h-^p?D~j)Kncv75c`*4%bov<0zg+$NRseWm>FoNp%Zzx8qr z+b)1;&z~R3iktv@sq5417e58r{>Gnc**<|AO%8BwCTmw>CCx|I`(vVY4~)+4Gx@HG z_KUoXlj+0r_EjQyHkbGkDZ2AS%u0F}BpdvWV)8Ql`Oo6>#Uf1KJea-{a$A|19waY< zg*4;OqH@WLXNMc>=g|sbo@uss^4295h>mm*j=+8>Opr|v($x)LU%>kL=9f`gS>v7- z3I3hx2(@gK*F7H;W;&i9oXk%;@RCrUGJEOytqsfD{$&ocaY|Z~dnD%nS9VrGBt8V2 zBd***CKf2ks!5<)D_c`2QmY8LUCbf|rf4V;cIaV)Kw}w!?SZZgX%9AsGSDjY5xbD1 zg(q>qE`bTYCmm0K`fU=+ptw&hHQ0I}SoMGjq1PIni%_pYoHd^fZ=tZ(gdJxDLQC`ogh0phoG(Y9R>&k zK>l_z7hS<8m}83{ou3#M&q@sAipBFanPb*s%7hwPZffER1%|107xT8jkt*1a2!>3u zW_5wlJXV;3^8ndOhx6=!+lSUi=wT*-6!Q&wX0K<4!&XCjqk6b(Abl2c0vH$ZL zaA-Ul5&?4! z$^qbry~_1p=;>3J+ityZf9%oN0VEWx$kL*wF&$BdD4@EqOK2bk^<_huCnZzFm`z(m zLAG{{ji3~MsmxV+;1aMt`*Cg@(J^RmHe|+!L z<-fi2#shPf-GgCZpKbjDe+)=ED#oSDvCN*&JzN4Nb&2CgO9cSuq|$pTUdkQ}#!h=< zeD4nuVClv`1*;qocA;Ep>rd`25)jbl)>rf%j$zFX{~+DJ{%BrkCU`Vw7o3)}Ypyr< zxbvr-6{_>6(hBFktIjXRfo%3gL2qb!7#?nq|q z{4Urb+w;!V@y>^1C!FVj633U%F}XVcbC>HJzfeBgz8eU3B@Z5qZN(hf5v_mKu{Cys zW`o%^&p6Io`p;aEHg7%i9ct*kI+{lHULEB?zw8H zNQDvqV`>xRd~uc^dov>7phSC*I`>7Ja-Big0~>4t5rvqUvIi|YucPVEtL4t<2%HC? zyYb*`*IVPS)(8LN$VE%VJ3t?M-r~3j{#Pl$>m2p}wgZHC4H)Ry`oBBo)|VdoD|nn+ zI}3U*;-f3fQ4DN4N5VCZU5rgP2xpJ<_wRc@XNIW=TP|+BH~Z*{9PphiG5ImO=0dqJ z;=3MnIOlgg=)~_^=61kof4U!pvo?kf+`0OP9^0(*->+X=%TQVuIDV7pU?&53x&nYX z7UUf4C`t;pRsv8hfP2#h;rY70eo%>>K@;HVRw(n}Ui}iNX$Ikn1P(L~L&_kKeu}Bi zFf*i`RP&YS`MKrvoTbvReitI7RxZqPg~0i2m{4|Up|U;|q+a3(z$HsOhb2eY6X z0X&xju>=gSfXFlSdCMi78FSFdxr3!@3SGj?pd??64hLTK6f>@d({`d$1@+FT1E|B$ z^(0Crp`?+cZ~_W+L{?^Ypd>R`s!sA$RX-jG!8nsZnYPzv;2a*F8wA#>BZV$UEA^$W z3Jy}J#*KL&Z5P(xmBm6*S6P{w~df`dwZLCb98N3?48MvEMX6V)oetBj&O2g(k zzJz}pM>@^17YMuzB3SLb8`tbdDdYnvfeE#9?G(i50R5MHrewDJwJXktu3rKgBSCcQ zKmlQm?fN6IjDY^q+Car$dq`s>MvTOb0|V^s`T=XuwS`3{1ofH*MAsJ-ywq_`vnf!Y z1{zPfwphWR2!s|&8$9t)enV&_BfN_T7As{jC{lQ*SP?}`L5lD{O)gW_xOVxD3-J53 z13pF^RC9C-9<7lQH8vW`56+6jQbuEgRZ>r|ToX@pORPLI39TQh zzyhrzl&xXV;!sV+#Cyot7lf)tnj_#ecz?+P`z`S1F^(J$T)BTOyfOgX_rU|7stMnKWU+Y|!c*mzCrBMp@3UEa~TE%B!RehB^;j)ndn{RV1* zG9bOKyR${8GG=FEmq;5IlceG*v@T$m(Q=8@s3PHJCP~Unm0f1qH1E&ms4>-c8gd*P zS)T8Dm|uh#vZ@?{Q#3Tp%S*(IIhUK#4d_{xCT>xTVUq&cn{v2F-vF?6s9W>t`|`<$ zdK5di+{2!5xs81#l%}OnGNdua7T(xpNiSn~xIW`O^}w6+z(XR2OW@kEzzTOrAdoD_ z1EUViD5&{?f=TJxl?nV?sFfi&L@4n_i3oW#MgcA+!ktJ-K%7Im*cGW^z=i86nhzAkgPHq!Q(8kTk(x(B7!cAKf{1izJU!d-Ut)23oP zL=Q=MlKPTbhpzDAvvhxJRpI^hQX{(*qXEuc7Iq>kX&4fCYqKFX!%eFB-I>F@i~gm+ zc8FzTFJ^TYQNv#0?;JOxN|Q7)^R)0(9~D-LMax#_3YyZm#BI1K{31R2m@AcA#JxyL zy8Ikgu>_QzsF_V4d&Dl(g`T?SiQ$h|lZKLBhyE--ip3K8Su|=ZYCP$ilzE32HjS&Q z|3PKb;$+K3hI`>_eSOtEx<%|gEA@v}YMa?0n;$#{sxYIic3&m9!|wu)^oAszB=8x>v|x~qU0S-ryc3U1>bk{csmLpAe= zS6~bD&^xj*Rjo%9T7bHK-xzh-k@F}8PSp|j%L$$25s9O66n1^QFu}s??%JMs3=vMSZy-7C^I0AC-69sK#_v` zO}4|DDp{lkSj`sd7xJe^s><9HUAFiUCTrBAZm6K?0Xi;`8OhG>_3f>61gbsG9L2$M z)JylfZDpaf`^4d5eIHt1;xsOT=4?_y2e+{Z#v%GxXNkD{@DJBVlju@eAOHJqX;3ds zI40C({7TU?D4`WEfR2;w3PiIo$R?*!;k9nl76YNc5%SPs=E*aFNymMp0iUoON zHaw5hLzJRG`&o0V2$@p_dxX3*>JYFmMr_*DC&tr1O;x4VA*k%*`cZ16h3{rWnC05C zQSK9)x;TFTtCW`@XwZm3U013sFXijxB`yUo?N-XU6Z-68tLiV1mys!nbSf+M3nP}y zPfJMXb#TnHpt5JDeoAN*!bOTe;Ay=awljSt`haaY{R$yhc{Db2UaR2J(TAIxQpJL| z6fdJ17VDwQNXb2z;exP7AR;LMS%VU{%Upz)GKmgSf#P{7=*st8eejF#%*#uEx-nq< zot#cj23jrMq>az)b~2;0XdAYM|4&IPsoXl(_-8v#OJWOcp()E9NU-9`*=Gm`vDs>+<9O+D%D5Akmg=ju=I_M4VMT>pZS1*(G@5OcuANMy7Hl z3Jod?eTzlpPUN0EQJZFP1yMF0`LIMSjs#a`Ts^`YaSl z!`@IK^l}aK(q~DDjw4S0czA4oUK2pQoN6(b zB(Q1RBLieG(pGkdlLB8ewM*DhwTu+Ak<2>P4mvf^_}&;#wUNK(Nove?NzP1|5*Ew- zVi(iV^Gm#s4Q^>ldcC}`WRvPP<_AS=H`64l(dU^NN2M_!v?`<`tKbTg!VEgWuJfxG zOLjj~uoHXJpkW9*f>Q*wq>J#KRvPI%f>orDLK;tumeAwvH z1rrpgC07X()KS>M?$IsLM>4_rwgK1xzKy0(%G29K*R6~6G=o?&UKgj7C}reN6KQ$& zdXQ{3V%H3D7MrxVOR35Xm zvu#QRJ9?pVo;;rNqq9i*c$G?1tMrFkmq^e0BA<5zGD&&fY#blq8p&_*8EPi4MLeq< zC`&%vu*K5Mbr#Yz7ip&+t#`*h!iN%6R88`RAvZr!B;+a5%6I&E{rtoKYj|x!sa4B2 zlv~Pt8Z-8bHK`d3Rj+-Vd)j`Mq9#~()hKFUFV3q?)DNtet3kWO7pYJxm-pK>x;fXn z;M6g-F3{XlJlvFhN_A5i!)4ODroPTpp|7y~aFXAlpJ{h>x{~7^{$SeOa`k@2U=q-U zBC&ck-)g=%CdiqEvy2~A6=O;*CW|+w3sYS+#^fn(07meMJ73#^u6uHK=zby4S9afm(5_Ba@8nKZzNU5U()uS+fCMWS>m zB^3p;B#F$C+mvE`89yzG`bZ)<%oHY)H_2Hw9ZI$$P0Fv3ezmrg{<*T1s}$50uJ0|1 z%SshGI8MkMZm7ljS=p|yUCq(!<%I?^K+DPGAf9?ByRwX-$!nf6E!JR;>6Aztd_A+23qwlKfmk6rGLCJ(Bj7}E@H9JBXa3{#G7!mvgvEcm=u2C^2NI9m^673gt@}t+l<#=Wrftww?wWw88S!GIX`LilRGM%TM`#(%W)7{Ar99Svh`>S+f>FJCSE?X6PR0Aj2 z(POAEm`+t@d#kgRrwiCM(u$slaRH$8gem*D%e@Ex@W#tY#-CFD@AbjcC{$I8zF*`i ztxa3bl+dW!Us73!^pYF67X*u0-X(cd!U-4!BrRiIl8%9Z+hRUXl2JEZ%Y~+rEzZhasCa@qtT|1B)tOzNQ{#q0lAh+#Bo1`D+MGvq?vn7pcq{1kR+Ah%^ z%?duws4kLuEvV9@h_UvLZY5ppPbWrL7IP6JAq`{IsL9rYL+UCsleHe;(}zN zVv*g|EUW2Cmv?XX25JZ?nljYL+@##6)GH^q^L#Sve-SDTP%h5_Ya+RZ<06)HE^Oc^ zYF1y8=PbEPuO#DquVj#e$(1i{(c5CKslLRW&c&sp(*^5Vy4QIIQ~#R|>l$<152a5l zMI(M?wwmg=llF=ADmZ&=g=+eC_$l+WI8fP_P$gOQ>8ey&)h-pY&2kxeTCL5!^!)6> zzx@!SFvSjyM{ObKykV%p@FWlgSzB~XY8(kj4?Q7vtAz@{w)8!Mi%XVhFmP?lKGvym z%3<0|Xf2dRGW5tmyN_$t3ryHRJ(8SB2`YfoBu$1Q-K7QrmhFaXCt?+Bl`lLc#WTz! z;xHzYxo(|am0&9#L#!iPmKCi{Phw70TsoIdmW!4`hb|AZ7}OX6xttPwZ8Z*d-6E_Sb^tld=n@k7WfI>CsTt6e`+iCXw8Yxik0-zDnvxv=1@Ke% zw+LQ@*IMYM-0ASKEd>TyKh+csdU|wSWn?{q-ruGg5$CPuUT1&mf4=zV!HIQp#vNbX~gDdQJl0&LHMH* z;p5eITc5j$S>PKMMjjUCF_UndnN+kUK_0|QsE~1yQe|8KeH^Ak@lKlbi|a~75#{y( z06t2MK3?F7W3!pi3$gxIoSknhsaa{T@D>%7(6ltZaUe8SG*X59hT#s<_;*M`4zIS0 za!H~3;s?~~pqvGzzH!JzDh+-iPM}bcXn@8=xRy&oT#r&_I?wSMvuTF0#pR$omh#CA zQ>S-{3S^?Nr+6$W<8tLE1zZIid}Nit_>{$*Ut5GrMz%w61XcQ-BW#NppUNpc%tktx zB&Q90a`ngySLSTjM=oCAJtD)(@$y|{T#MLqN1taBs%FbjHI*$@apGBz9AFAZKDANU z(n?^Qx0O<$Fu3bnnIx$3G?X_WHW^@wY!M-xBGvc;(2jAEmGdkXRKOe6*4iKfXMx=+ zHX?fBVJ$^VWGrZ~EzYxub!H1aUW!w+yqrY8LUrqis%$+KuL~Assw=p~O0|;B{)N>O z)g%Jb+bAz(PL&bFVckOTd$4Y}X0=L*W@(z0AhtvlC^k)=O>6atl`vGiPsu|rj)Tsx z(hinmHc;sdN!%?`MxwICqWs|czTvjzKm6nRAP~ebv?Mmhd1`G$e1ckFBTCq~hj=a? z8wsFd^1}&baUz5yHAW3r18}Egb`iLQ{8EJ=HHy4a^fC*P$`C>oreRI+a6DH{zXrad z#uG{8#kiG{g9S=;oEvN?&8^TqBVI8w1k2M#2gprtfR#2FVtAr(XD!Ly*;JWrYg2$>O8UMdbGq3ec4aOTQC z*S6kr?uM3KB&e)HRN4egJgh_UikTA;0Zxh46EfC{#>Em5=W%jI7H$A+ zkfLZTMepRpRUr#FU|K0#v5Az&R8bF@-@wk-wt<=%1hcU*h^9C*fgali6C( zv#m7{uIDvL0#pU4e2tVTVW4+x zmaT^CU3$nHSImDzuo{#uj2L-}U5Wr3;0weVm+5(9Upit6JcL4WV}h{@3CM;Dj9T;% zAt7RESZiYmK_H|arIqBlT2V&`g%AZE(RE?4vLN6u-)YNa;yIgE7c#;w#6muynv<0g z61Kd-pp0N$oKeG4U^QrD-6B2v&qp3~{?GCqE3SV}Vyl`VamaS6Ck`JPX5;N7wDckL zahy@oQYw?JXshnfqLQ&H(wLXdE<_anH5*!6Na%a2{YVKJHFCKgq9FtNZY4)w!o_*| zl1XJL#nw?HypiZ@O^50bVVM|&i*vDXXf1DwFG(O7#p%&cCeXx)t~Q0fPtdzebT3CG z$-3HXz&Xe~=DJ&Gmw3nGYMz7EZ`t{zaRtfs$T~se;UxB!TGa#?C@XDL88B$geMTjs zH;N{u+Orh%vQ#T5y2LKTjr1mK_4lErvJm%LmGN!0%ZL?@DC(nM(5`}j5XW|G_+7Vc z#{Hky$6_%Ddn(3wBVZc0dCq|=6WeNmyRb}~SjwRgi$sc0AtGi3(j1~$@&W|UBtVhL z3}@2Uaj*~)8Wlsxf1HEa2_VQNq)2cOZzsUcQXk@Z)?9=jd=EO2x|zp{2lkC9x9w)6 zi^te5_3;q-V5ESm`+%NN93mm!EK;C(;sC@&aqwvplo}h>f-&adIRW3qAVzG4JtIhB zz%~GThIMhQPH~dDIJJ#8LT4b*86x50(zv3z;*TywZb%$HKWlw~j!PHQ7t1UU`vw%D zX`ui~d=sN84-qTkJdhWlMo3#cwo!2&mOxr08$qE;pabG%4-vltkFy($(9KSpt!i!Y zD#O{t#n9jhz9&H{eXNbBG=etNcqoAjF-C2*q?=G`K8k1c7T9g8F$zFv)_T+e&O>&= zC$FN-Dr=|W5)c@m0;v5e29h*tbT1mUz@M{eAv!~I5Z2Vk=?lwXC>GO5%Ra=j1i>ue zm!Ev&A1~kX$ghd(2k6KiWE43DmaHycrDKSSll1AS)uK)AW8AB2Ux>2}6_G@>u7&K8 zd7B1grYeL_ei-Z2A$n1@AL_ExcNl1BIk8A4^=mMjXX|6^dbhNNHpUfmMhsVlI$@`J zyojjPr(*#$a9kN80&It#^n@s5pAjC{ciWs})d~W2Ytv?tdJNS58gF;&LKFv_=IUF+ zipoj1O2Bv{$y$(`Z3A}psj^W!oGy)k)+ge9G8q;i-ABcgMPIE->9VE?7H)FGsJwvb zfeko2qfJ+b1>B(T28tF8|1FI8+I3p27o&ud!ls#%SrvoQqAt~|k*hBIWSn^}D zT8|F%K*Z&Vs*gYef$^{aGJ=eh1avs5DL@*vo@J$^F4V@!#?Moc6S7^jY($5&o-VzI z*D}WRphp97#$bEZty-g=qxCpW@2S--74sy2GDYcWwFDDE+d?iN=?Ee}Az9TsTw||= zjRY6x;ar>^>f#w2FD`~j9f6S(H4+Dy5>cCpe)#)ecf7Ius_FXIB+hR7IF|}Z;}V-s zKUiWeC@%El>5>6zwkHu+dqt;#6>3%nR#vSlwDr8g$tNCD?z0Xu^;J>P58E#-vwe6a zbFyz$>Z&R>sr25+Zn#IjXD(wcd*;}iez@jqukrj;{mC)Wn6fbo!4iG z4lX~p68)W@M2)1qe#hVOSmybDdSXC-x|?PvwtlT2lxpl7dl&;X5HO!!@xMwkH$gv~ z%SrBBXZlQ4qT|IDYFb*x-L`Uzlth)=v&dHSGU&r}DxtdVkv0gCHJ~$<7H%dxQub(E zMYcHHoV*@2RVC4z(l?7MhWF=fukK7WQDYfWlUSX0??Ckyl1y5`{eoOorr6CBCHHkG zrtl=xLIkaacmO}iM%O0gZISqdJiI(mAW-Bb-)lQ_li5Hf)ss@4*3Pd<$S){MccP3} z+RNL`9gI(=XZKV*_2cqCZ@wX;872YZYZZ3})JActKsJs2N@z~*aC3>(@A>~9Q*Q&- zMwu@BCy-Y9q0J0K7-$Na$pj{R3XL_b)n+CG4B;b9jFr}EONy4ZY7dAmtz9=W3}Ju( z5@SWTT0=vn-D(e&y7jDQl{6x)wS9|hx9jm77fKb~uD%4Q)a|zV*c~qi9bycv)V37zR3Ba$!AtY zNlzWO?K_&OvKJ&i6>gxfZ>tMbmVCW>HyUC`Tc(-JzGPV+E`H)XlGIP7 zJ|luN#N~fAMN1mo8KGXIJM=MI7RvPIVa2SL?&&H>iTd>)UFPSiGo+=C!Yv3XHBz&w z#biMz1?BnQXJpfV;-a`+pf+zmT*g%B^O!t|e5J42M~>&BjX6l|A*qg>7#T>K<;jOb zWkvK?Og3V15~*w*+n0O*cr1tC&CZ%9n_W4xqCHExl2M1Ie3)6&e%hAfvKD@=?KYYK z+kP1{kt;~`{dVofdiIU{vUNB9we_7hf0o&r4A+?JD&g3TkUy|()Q<1jT=vIPWv-p0 z&lsP!h4UqM!^T+c!Svn5)RCG?#JN0wPEF&AZCYQQX&ODyyXm21JM-CP_dd&G`*SKg@Wsx6=IdO4oh0+u0S)70Ba4W5Hb=)vZobZjU_&i1&@`m}W4i=H6h1 zp0oYMaFP0h?>{!xoj!@Ux{lVGlZOpHsj(bN1<~kGW%-J6VGDl!dQy_4okZ%Lb&02h z-|6=cB<;${sY9Z~!9sF+B;&0b$G%1Hr_t23Y!%T=3)=?WyI0c0mGOS z6^^n4hP~M6XD++buye(m$6RydhVIK}|HS>tP?vbw7O8MPh~zhCg|d+?0y^(}i`93} zBl)4M5P9$jB%vL();`>t-#I(y(zB-_y6um!doxGeUvC_JClyyH9=Gz zy*#Z?)2Anu-3+e(W6W-e9g{?lr-p=jmaSm!?4*=gNIhV<{l#blCV#Ho1UxCJjy9R^Ph`#FMp;2KHCy8$rH|{iKzs+4nMG8uUavs$ zP==(y2$@lgA}%e?z!NXn5RxYPn|unU5NigdrAQ1)1Xm`PVqWMFVE@DB8+Uu=$}G1A zM*+Hi-1F5mV;P0CAW&*Z6>@-Gh(U56sMbzeh*fev`r2lw*JmI0{4y3MGL7lkZ5Wgs5o1obKw7APhOI*N#>m5x)~X#uq0erU7%$%D zUL#Hi3yHKLB5fp>@G%Z4xkp#$6*NHu_|bk%>rH~-6L2$aK%3U=67`3IREb_lv|UHq znHF%(burpVB0h$h_iD`d6lRNwg%HI1C~K%d_Traw2JsQJJwrE&nKWWev9~V8*NFS- z@bmXD=Q3$A*g@>hAsg9MWXaH5l77>%JCBm76K_k<i_g*DXj6Ywh!F#uyt6>Cz3y3l9% z;IY>_h_BaZUua@As3v6g3UVF(M;w}>(NKsyxqM^q>1M!$JriEC0+-4rno@2y0fKDD z---6>?|5;*`_6E;)i2+>S0oNJA*bjiOfz24wS3rLZ0@$UoFId3Cy~35)*Fz`Af~L>m?tEYEDI^3Hd%i0Xg3l%B1v-4(SRr#pL09)+}?rLA*!kXtMNfjiTRZ8r=;{VP=!u z#iX1oW4b=V>a@d!KFCiU#TrRdW-(-?wq@7cef4PA*`l|6i}perBFSOT4O7$FyTr!C z$uC{&!b~Wg%?%}!%{kgnwc_;thqQ+DPeuMlC6V64gqDjvP_+1^G0Ta89q>X7H2Kzf_@iYDYPu3VTpW=YR*v4wycxQQv@U(V{sKe(ODcw2dXy15R zG(Y1r@6uAmxpArCf`be_hR-6o)$Po8U^qpA^0HmN2TlC5jGQ70mJ1*srlsKA%D1IG z!+=c4AJm)Ikld7;tT+BhuDdw4O!_569??w_+&S~^7V2CQIx0GH8;@caMF@iCa|BtN z!4`Hsicc}u3xrnI(Pib=68bRuoPNaaUs(gC{_laTZXWVg&lX5Y=D7p9IehE1{9M4U zZF#&*NdJU}y|ea`dCYvyKrh0kaoxb-Z-&?8;ahj+N>GYCfd^U~t^9TTgLmZp)|Q!K zYs=fzWuf*_;qfQGqCXbo7Q93Jm>Ch#>=P-Sk36jM+A0ow1T4Xl z9I9-^Dz+wd!u8rnZgm%hGrgk?gFXFWD^;EFVX zcUwP-vTM@*!K$BuRYl5No?o56tnB1Zi<|<=L70wa+0MG!9JI3{=Lj04fo8~}dA9Xa zXegB!t#Zhg!d`H4L+Q=}e@(tbM|ziwaxrl>3GWk%{7Z1BU1rZ%iAhMftU1O;a)MB< zi-px|iY4?vsoO%j!b(>C1LVsLO3Mg964)s888FVEJ?$hRpXF@~_~o3I6MQ^XTPy{8 z@8h*n9gxS$4R!J$=I2tYFh9wLntN-q!=JP6G>N#ta^WP@EdT)T4(u(uNOUE;A-kR^ z>?wWB;h8fsB>|`yPMVPTc^=IOEQ5k!PeP^h01?HEu4y@);Hha_l2CP+b*1s^@kn+# ze}r~grO{Con~=~NBHiYcAMg_XypRD8J%55+fEzEGI1!h(Hq-PD)fn12k+{ z&Bvk1oF-V%B(QGqbO=~9pbNH=4N!5V(lrn>Pk;Nx`a#%BZygaG$ITLumhWt3v8dFC z_n{>~j|=AUu41-OTw&-Ei~${#8cPt;#cvDdKtVYorc02=4|VMTH?Re~#74sC!Wvn? z1vEoCXfs?QHuh{X6t_om2qD-?p+~Y)OC-5n^fa=c;U+KeX9T-5AfgQvWZm0<#y~@2 zKwqemO@aD?F)H-398WCqds^OA1ZcTIEW8OgZWRRh3#z(kmYQd^Y$H1l zGlu1InuEe-!a*JePRy;s>qfM}AO+Y&BV^ti9G-#Ds8|P`8Tnef)Eq)A^Xx%Z3ah0U z!S4k7Vv*3uQqyc2udc~tm-8Gj4A{LR3ra-Ngr3adK zra=V*BBlw%0Ul;RpoOR~0(%cs>}fVps1lReL}Di*P?-cwjiqQ(BM2e*CjEK?LE3Hx z(_gx|?Bp-u+}Y}UOq$zKPTZ*fnC&_(T$cbq_vf~apU}C;@lAzS4L8J$)6+IP7n*S1 z*!b#|7V_W|K%YBU#y9d~0O^O){JA5!Qoi%;dA{Ut`}(GB7tZ59SPu@@&N>ffr$z(E zx24W$cS8aH$$Tke?%HpB-1NwEeY>%~AHVDfrk|&8l1WFe55DPa$MXxZD=B|tp=1Vk zzkB$b{lGFf!heR(i*Gi+${#u-aTK} zF_M49eWYv0=e|1mruzaL`0V_Y?ZnRMV!P2jGup+H*TpW*Is55bu7ms|QHLGTUf0`E zsASqL^@-Ex?j!8T5h$X0^P!vi?3T1kZ}c7i-ZUW3*HV!-I_I+fYhwj_!3v%Rd-9u6$-d(6{^Q7Zvqzv%9)ju+x8Vgflw8kOnSqy*~0Wkg&#wiwm(VH zp4~gqJ+@h5x6OGfW9LIXTiC(Rkm-%>!DQsZG3V39iH<$|K%jAC&iEv|CGgQ)YrZeE zx8)n>z^msvsDo$RAO+h7sI0PTqT1a~&9mqYcDD^y$OpS}S1-r6kOdQo&#ipQxYyNa znUR=#_4p6rdVpfQnNQG z7;4sjFJ(bwoo#eap03d&WL?VeI$`zaxTC|`2a3snxC|H3y)R6$)4T3$Fit*o3cooH z#WdScM+fm;irAgcgf#;#wc8`lNzeu2^ZCN`&f4>9tw2|t!RdQwBQ?Gr_MA5%aNUlV3 z98PZbqK_+-OOSK!LG6!qP@9v{+eHAcGFi%Avy#0lD}3snYuoT|oz9k(l}HD{o08LD z`!zK9WD{a-?Tp}~>4JEUhq5j-Qi_F(;@>S4LPWVPcCgM>Mo0JeY-hy;V&@#S1 z34i+)W<00%W1MYj+1V-QYXO?jkOI{n=U%PuvZjsU?sTKKy976C?yk?2e}fY%ldVGX zA*6+9#tG0-Dpt0d8pYl`{K9+s+j;}#oztd+j}$J;j{YpU$52hR{#VBWoz{129iISd zIHIFWQ}pAgQx{TefE9QK*n)6L@}Q{r=ex!@pgc6FTrOwFM75`sZL&a2&60#ioz#pvL7ZK=z8ZWw7(2fE+AunSD|H&uf4ENjNGt z1F|J(sXhQ5U7>6?jxAzH!-ABEZUpIMkzz>kLF0wn2)b1Ow|E=FIKb1$qUQA`(!ki3 zh+u@a;i6w>UXPN9$_k}Vt$a|OP=-HD5LqY!Rwyg`!2-o0r6dl5kfa(cRGyG@QH=ET zTG?rv`mQYCDzadQf?*0DO)E*TLs`VbIt0N|$11Dz>=6P5hH41Nc7uU1a+C*_E_@vo zN8&z1y!09F=!d8!iYaw*RWK!qo@PAwd@60W#7Qjz-OPv7^Qh2XT27>znIcqJvmR{G zLXAKadO%sjX+H-Ic|`sQp$1II%Ue2kFZ-4C=c&spICnsUN>B))YGRd^C4Q7B7g9ij zz=#&YPO~Pm6{~l~6Z{=PLd`ggJlK9jHki9;d^2?$Dxjsb!-JV#r~Ov8M&EaWmB~WX zA+unuyXvKIBHL|+{2g$a5{b@2iE0!4LJ@Tt7X~Q!il7=c-x%=@7h32N0&H%G>y4tw z5=zhF;FQS{m++RhLCMT%i8X2yp(jEN@L@3GX(CWcjpBHc-yqY4iO$-xwp6g=iIV}* z)ygALiRfn#Jxda@qFy=+zwdU!fC>vl;2k@)5(h{d}a{TYe0@Z!_JPT zh0{~;k$k=Mp7#6IuQs*gWv0L3=><r>mhS(g>BNO z`)4B0NKj#)`C(r9uA*C_G4wmQ+7fc!(bD{uUwI$YwvWebGi2>{=OQ-LySH+ zhn#^W!+*MBqG!*my-m!#{qC0Z))}Cvkm}3G_oZj1z8^VLYkt3$uR1=(ni9#{GXGoL zdP06GboMvowN6J)ovCBhj>2ha4|?&VSu0hUqhSlq2iH+)Qx3<+zkW2W?~lfh@l84R zZGY_Ep5^Jw#U$oOA{lw@v(@xN9Z!gXBeZ_n~oQT{UN?bklao7fX zBQm>oN6qBEZfnM9^W&eKBJ~dPrZ?T3|F3b&ze*qu7CRP-3!yc@-gDzOv&zHFMbS|U z_KWx=ZY_S7Aph?fygj{MA+BNab7ih_ChC%XAU@ z#i-d{?H!N+0}kwuac-cc|50Z4nKq%W*56@0cX~{__G_vx+%)jz{IGueAK3mp`3VV< zoS(s^9}(~z6v}_Xp7MRPT$_LIW2Q&UHqn$B)`!shSOQ?k9$5`d)+Kl6cF|TZr?Uj{@QS@z3rjLS6#it=OcYyXiBk7rGZ_LbF#mJ!~CYt4&_*JM=`{_H5+?Dw_l+GN=+b9i08dWG!Fa8Jm{D4Mmlw5e(Hmn=KuSX zI90>U<5;El*aV1k+>u*lK*ODQiMSgO{JX~r$Qz=DecCX*MN0a%Kzj*uT&U)*NI#1- zO-CDQcAw$`AzL5dQ5xHpdARm z02Z`3@YpxS1KYorxcS3f5;2u=9ZCjf86P`i?r_-M@<)l*nc*_*k+o0C;MDN6lw^*H_2nYBxVA%y`e^+odecs{F{FYt=VD>>N%eKHkw`y^whTJ5)+t!Dfc< zmNJJ|vh#hfoy(UuUOVLMbhm(;Veosf z^uv3%&4<&qR{*EPd`r4sJDUR1-9guDrVs4GD+`87J^>)(?+2<2(!B+RX`O4yr?64{ zDeO92B44iUW_ITgwcou*l21DGe7g?+>;B-f_Sv5VteED@4UOnPU>>YLyHh%9@Hc~D zNdps2ECG@kdc*bzk;gxT+nb5WX6Wqlpw!CEvzwvn2K($*S9 zy&g4?~GCB4zkV<^9}SKTj*fOFoC(#0stAGG>qHA`}@XipQXg8a}dV1 zU8R3;t}teAtOQ1$?JAVfmjpWaJnXoEo|Fax4;%zkK#TJwsl!<*Wx^L7#G2uU+w6g0 z6zzHmNV1QcuN zgCRm+exPSE*bs`MX|^o^$<@*ay}BHG_&K74je+lXvtUp^Y=l=xp|G8W&Ji)J zUp*FOHGy#>SbRos-82MYko$}n6!{0UQ_88m>^O0P*a5}&Au5U;CYBm!`!d+r5JR26 z^X97SuPys@?&m2m86?0FLi2eNZIvb@NB@Qxa&CZ{Y~~<_Qf`=c_Aupyqm>&K6H>6f zDEK;pJaOx?w&6Jh5Z7YNxhgY|^#jwe{r9Ie16RjZ9%Lf9F$oJXO{aB$0xyY8BzIQy zc_s;nmk3vlA|QZipyy4oHB^g~(-+C(@s8NFZY-6d-?^RU$DtM$oE#9{OXImBJoGAC zVUTfv=3ue3i3us@1+mp`mheg1tu+AlExdxrWTC3Y-%XYCRq*jpFIqK6^9CFrfV_Y@ zJ}721*H%J%y=4+TN0$?z{S1Jl0mE+wmqyk_iifrj=p(kx5?Ppt zoqeFntv&(nQxur{dY10q&<7?ZnP171bQh1p}8$f?z9_+R(b zw72qrsq&JBop*eG^;fyW4`{Dru!UkXayC|O?%wTvd*nje%8?5a{q6Xv)!(`UU3%cT ze!2RMGTgp;7?`f-o;zUIe)RQlL&4N_KswnUzjA-YHsGuiu;e1JjTYaKvNb#30lH^K zO@5nutO@|NQvSA{rkT~P4Fj;?>e-oLyN`JMN#JScci`vpcI1e$#kOVjCnB^gSruD! zZR5qO^0eE%xo0|y(?4mPtR7o?edC8~-|6YL7qBnbO#8-{6aqfNx93`LK2v)hh*i%P z(Gz=bEw#56-KeuR`4Vg|`wIUgBwigRW}PQ%MLPkA&%^6{L_^B8L$0)s z?*$eA3E+TYGg>1y-Lpfp@B8WELpWOl zt1meq8V^_ofy*~A`>U#%Bda`L*_?YyoW8S(>v95DY%EQYvC2)2%e~;8;zknK6 z45v%?o_j!hNdsG}>uqs>3%6sx>AZg`Je_T77hn5oorM25oUAF3XAm&<7md1yHJNIW0vp`1- zIoCn?)&98gjA;g|U01-)@K}3ZBKz3Bv-2%~Ja3@C-2^Dty%i1^|L^fiqATg}xD*}U zfx5C?$u0M7-Z?bs1f7YR#z%6kXL1@J2QD-6_@R%aw4NX3-Ef(KnA61kF=;scnV$Xa zYuE1SJ_t>oz@Z4?7x$#lKs;V(Xo##M`-gLhCsyvFKe=ZIIxRko=i<-t53(AdAlGT9 z>L3X7lZZPDFVMg_J|PYixxbT%>5uY3!pOCiw)0tlWGUyW^3#R>d60OYI2)DzuJ)N)m~3}6yyu1!uhkY-EA`?7tp7s!k77P{VCtp z<8^QmPo#jF=e@Llz}vunEj0iO54;^?fMmP2;cOBB+f8eYrh#E`;L5y|t=;twP;%TmjmuSUXuzg|9b$L|mAVgIxvO3uUI=)Q~CP#5A(|_Fg;mT>Z6)g04x) z+4<;khZM!1s0RCHrt;sW&x79DSbwtGb)U<4O>4|!7ge5V;6FNn-y3CJcTiJ^oLQS#c zklap62E%0>nENNHgkT2jhzMz1BKlxQ`Ai0;9ROi%c(<+QHeS7VLw_dQX~XjRs+%Nc z6fzRbC4gzg`r_HQiNaKlc$!?qIRt1UW5tyZQ5n+LsfFlz5CdBtxaAM&0AGNfEff%v z%n%l0kxXNGa1`IzBMlUrvB%r<0vXsri4_UmkH}a35%B{K@O`MZF~VuwQ+HY5^D~7RIONQJUW5J z@t!q&Vorqi;!HmwJx_(yu+Ph+Dp88lL34YLs!de!7QZ0jlqX(_WT8Zyk5Y<6GsZG; zmaCMY;yv*+S>1 zNre^!DneSJm;JZ98-BO!&{O|ADk={Yy)1+>lUoNH;}6BLo&+^S(S92LThCLFp#sV1 zAf1IF6@XVLMQp%B7AD3JjPu-jyGqC1lE@BA>@B6LTX&p7p~8L<6BDO{XKzWERK#8! zr&BC-##y+fC?p0$?!F}!yHmmK?8bEuHr@8J4j#g>WaI9Wp_BXnvB@r7jcQ=BNB4K# zV$k*Nm?UQJib2j!zIEv!i2;7DFz~lcH*661nqjvXd5GHB>VMeqM>gG-dUJ=}X8ZfD zxfBTU&l&B8(7f%D0{h9n=>R~^cxQC@{Y}oB%>X&O38XXAKo9WZ!hs)9JJvUG;2)d5 zpl!fmgRqPSZXklA83eH1{=t6|_DOnfM}?v5=YC+& z1#0Hr+#LwrDBIE(BhVe8qi*0`|H=sCw8M7YXxH1mC+wRxy!!^cY|sD^h;dc!7O}A|^xZ%dQ3)%+t8U6w=xLuoOi3>Y6-7wnG z{r^ba;`IMx(?^wCi0l}Hj*X6X0fX)mkj#KV7l!t^$M*-`20Gvu^?`q++Ofk?EPIWe zE{l$Vc%sm_2?h4ur2w43SRlp-d^40DJl4?n=_@mvwyd^Ay)g!z_|v!pgAT-V*PQV} zUoMBfE#63^qcKs!CQHm?WY=n6n3ENNec%M+_Dobtd%!j2br4tH#M7Am*IQMX9?7Q%{8$9e{gt01@cnKJ5?067btYMSEufYJE zVsA0%LZQ)~(UeQ#XwR4k2s)zDR)Lj+Z_Jt6`%ha1)g>AH9Yk~QC_aGJ+EH#Ul#5k5 zQ`^2a_UB86@D_;(zY2glz|R4(kOot8Rx)DaN2V&@4a`I2n;0F5vPu1;_#X6951hNy zZMU)0d|67FxE#0lgXx?cXJW5W7{$o;X;iBq$hyBTg;A z(i9_rv9Pp3C8!6=j^JrsY=gSBEXG#7Fltc=7Da-~qEMk0V01~G0xA*JBK%jOkgGhr z2uqp*9`Py<6aguMlEtNAd6le#zb{~7uY$!l5_<%PlG6!@0Lve&v>1Fnd_NBiR)CNx zWb{PpK6+V$>(&e52H-nTvcN&b5Ca<|0$eziCBYM80in#TN^zNjG8a#r$D~tv$T+nq zDHB;i z2?Ea0qU32P0Efjp!J^8-NhN1dgwt3UGo=k`<8%qS1q?+9RXP|@Piz?B>)1jT9x8Q( zFhuc$A|Zdzw|^R3_UvB8Psc?`EF_B<>I6?ZEN;l7moZ2LAw~%b_-D|$n$^Y2kkK%U zYB-k~9YRW(8j}E1wO`(9E%d}$Xsn4q3%wi%KTpd=5d^}34Ag7lrVO@MtU$mEM4H3vdE=Z&krw9nUuEM+4+ir2kReZMG~W9HrI)8DhI{b4c8BAyFn-1?4Cw zUl4P6(__UjL}7IX6~!y9dKokx6b*8+jtSYM5YkDvlY%EPXfbC%v|C&d1YDQI{Nt>Xy=&w-+ZD5^kqFty>`Pz}q(z6x%jWCspatR@I%PVE11C@IMT zuWC&|C;}!{?5Ws?si8g!E(Qzi(wSd8^qV_&{#9}7P#)FPooy8#`|HRq+uo*W8S8vu z6*<)%KlBt8l_{iIT54YH3mC+t32NLGf?{xL_9bf@3&Bpco5s)WTAA0QPYRoj;#hx! zd@0&(NJTdgcs!1aGS;0{UEkYT}r;S5ti(;6Nm_GMJ0B z1^5%BUn;}X%(6}z`cFb4u}x^@skQz1bK>FCMf*j_Wjf=Nf3qiM5t3 zi9o9ZxiIIIcZ53-N@@!@O8vt&l4DNb2a1G9mqfZM%PDb-)_Fo$*wMGuoypyq(8Mwd z@^@v}dETCi=o7JmU$L#*oSG6RcG>eMX=AZK{a)yP(jb>}Jz~2(l52hl^YQo~$4~Ogyg+jzi%ldpaf4io ziFnNH%yg*HcgYBE;an~+!UT&?RO9!dfj3amQd7}%pmVdLr@&68YJHQNl7(yIJI*_l~HYFL??A_MpLPoU@Q_!IGH947H{ zp4*=zU8J~ufo`lEAJTe&o2|_dSl0-u0x{2f`mS5F% zGvf0|k5_K(#zgF8xJZ~r4YruoKr2|TyXJ$l&xfr!RhFVUoD8(l*d-YQuozqL{20<( z4oK%-wVBR?+IT6K#_@8JY*V4so$<_22p21~OtvVb6&XnmlyD3q)ZLFzo@Fj7TuMRt z1c%&bR*wd74wmIOrkq`Rs8N4PPQ9Gkjb&loY~JsRF-*G*D_R(4i5NUZk_(dDGec`B zy3|iSugocis`^Bp5SKDRPQ4gY9O6XIAoG0K(zImx`+b$mroZ}mj6U=v=){Drs3K`p z>b6viS|*6nqVAQCxMumW3UW+XpYSyyp&~xYu~pf3wX(M}Ua3$bEVUS41ZA;61c~_Y z?sBMzFJL`TIap?t)Gpm98o@E}jK&huA&p&EXymZNp6ZOmC2SI%KypRBLq_CF&g2`V zA_lsK$fF3%A_HTE-zW%(q_n8;A|XMo^sp1Ci`|JYVJx>ZXfmz_+Nwg4IHZm+oroob zjRZYVGe)k!*|!L>4S*0>)obS-8&+Ti9;m4o!p!TqlUCRG*to6aqN>FNA;dZGJEcM% zu_)ynDnZR`;?&FDUH8vF>wfs%oj;99Jlfwz_sUEK-=uNrE{>d|bc4c3GgE6X9^o5X z`^#W{j2VaiTYR|G(%Xv3W5S5N>H&8%6=BuU@`vdXNzOJsDD&#Uu{gm}z1~%1FzhXA zZWO=P?x5cYMPF|K`dIrXHJj>)eiiemIM-;Us!-hUh5m*CKB#>0j^{^uoZ ziM5r``crwKw?fm##Bo7g8b34t#aBC){qeP*CtI(l;E0F?Q?O>1Rkd2Zl<1UL%%T!V znXi=8LVpQeL+fM(9})yop!k2g!Yhabj8l}uxGUEBAge1oI6Eq>1E%A^V0qqv!soNw=1Jsu`NQ*FK zN(6B4mINKc60qZjL1WS>m>$az!lwXlvm2}S5Q@cuq7ZljO4P!!BD27lC>DA^)vMJl zfx20NRh7$}q{`M~TXC}*L#mkNjAtn}dvMFM?=O1>@Hw}Rw-J88;HW&(nUsgOkQI+r zz3M8aKFz4rQ>J_@EB@sv>OD?+kD2F&-8OfNzQiV%A$C&p)aBNJ2OH5iJHCylBNZuu zkL16l6akmu8h=_FdT)HZ@VdAOjchNhxW7xvGF9+Je%FzEU3OeZ7%RFaJk9Sr zG>bo(Y+xpk)%517wohxCM;~vmAKFpI9H(nG7Spqcmp_3IQp8E@%ibOz$EZG$$5KZv=h<&9cHp=i8+fM!qO``Q9+rN@3tFBV#~6|UkVRV zpXkmgI@<|}#C3SMThQ0N%w&@6n3uf>@hE}cAos6F8*#!Ca@73p?XdVM?xQn-7BDl6LE#0-gTuoj-Et?dj@|K2Z%#ayJ7qsAqwAQ4?9 zwOTjtBqvEavYEtrC9hVB`%?QWg(EyuG)cbbrI;aJLlfb0i8~Dt52>Aei4}5sv`eT` zY%#ZhpB_nZ)Zt^iw+Fg3v8qjIVVe-8ETdAaY3BguDuNXrr$QgNcd7=}dv->v6F#SG>05X>t~VWeCvrH4O|1{Z`(rA@s zOlAVSfgftcL>H7IS&{xREHcuRPla~k&Ek-i;jyCY<$N<= zog|%-O@5dbCT*aG(+z!st>A>UND#9I$_n>yCMPA=T3KQn#nv&Y+1!TXA0`tY)FZGW zaorYdgsUVa+jyM7=|+5*a;qzYjswh3P)m*vvD{hdsEZM-n}yR-0d zIY773OQiA;<+8K#*MW=XPb|GnRHRsXyo+y`l)x%HmLYqYWfZ$))e2e2L`#s($YnWl z|3i@n%4dh?PaF@_5GLmzoL` zvgngKGT(gTc#X_mJvV4BgP9�sIk{HKh4}Mn zBLYYUQU`$#Q~~Tq@P#Ld37mQWSrYM((7pwX_Kmno1VC$P^-`f%K7;ZoVfG+BuaKmP zGEx!;ac_Sq>SP(ULIh?Oo=`wo!Tdc@umr0lDjK#h$Cgc&|2!OLI;97sOedb>tkvU- zG9>J+lAJZ5z@_5LFku6g5gaHNfLYpzWBZSuS!)?U0LoBVoLDf@zfBwk_)oi{5Knzn zS+#XB^&ZBvFl#pC||# za+>qR*@}*}2)Y+Xx@ENz$}IzQ2K^N83p2FVO8QxK!fEeq_++=_R$pu@1{fXBMYi%n z0@c=y#PT1S_)1r2k^M2_WN1y=in3I!i38oTAVWYJQL_4&&_InC80FTXBYKq=7+b;^ z7h$v<((Ua%A^VL)Z+5A*2r;b?Ac+Y31^^-v#3ZOM9%`T;*9wD7=uV;j(Fs9evMd!$ zYjLzjH`1Ra-aPvL$2H5|IQsK2HXs4ZxwbR$QbNu*(?kmp@^}gDuHa}Eqnd@dK&f$_ zY3Fv}RmeHaMIL1oRkfvfKW-uG1LXd)doA5OyEWp$!epZPTS^|H?<4~+6%zD{8i0lv z%!(x#uNRw#0w}2qd&5iV%T$4n5Agc7I(iYkSc^6foh1@F6$ z4eTgvvOT<>Y>uR)ggXh$N6U-RVIPvvNd}VGcp&N+SW|!ul3}DnEFn{E(nUJ14M`xa zXgzAu1@^O}JQ7exaSAhv;>a7ED+NVnz5MI($Vif8b^Ai}NcBdnJBM(qhqA0H-Iyk< zHd#h7oE#a&eQ2C7DPrke!Bmj^8|^Dna&XiUsWlm1?IyC3{7vuO*#Fm?D?C39VuIeQ zNFZM9rFm(Xu|zz}ShPAzBE{Pn@%1W9P(wp|4479cR)>OPsP@h#g^GtV;Z!IK(t&AL z+e8~wU?>UkBXPCQOY~xfp&Ss5p@6dvye1;R6NXTnrx#aq1X~obj8F*42xN(nNX5}w z%qvqxia~n6N1i1t30_fDOyW4h#8U-2@ID1}i){kJD->1bcm|`)wtB>vUzG$LjEm7N z6cizpQYUDHM(PmEV1W{$MT3TMQx>2~7ZimFcRNaK1z%&K89awyuf5U#*MIfg`SaYV zwFuA)DMhN$DdK2#0uC@OG>-D9bl@ZvsJn`*ae*KdizM(`VX1IKV&K}6;ItAv`vnZM zD99JBoI?3J6jLh#(6)<+eJwlptD^mUw~OtW$XoW1ct*_-GRVnop*{ zOH~+pr%D&G3M!)nZ%OdTXhIH|1m6}fatZ}cDm`K$*st)?IN&&OI)x&hs3tLR`9cl! z%|{M?(Y@@{=kV9qfpZAN9u?q*;}E4s3PUUngeuz1;%Q7&n;B0;7w1Xfcpb-rv^}(f zio+3{@u)oLQX~Oj`6!qlP`utNCc!%u@i@aOP>^>>$12RPE0j7(07o58C3yHH3=K|P zm<}ZHyl@4PqkzAd5f7@MNC~us1U0_^MoQ(S@Er4`$^-qn0p>-+ zTm8D*@m4zP3}_7634*L77?%Xu0%MQR42&X^AuVq zD}_YXRo?1`XLQw~8ZJJRE-D0yyTxg3RzXJ{o?ie!ga)2eD6KqNLzc+2mQjQ=*rnA* z<(&)bc+}X0^9u=xi_o`85FXL<{L-94SyoF*s;s88yBf5EMOliwl6d}hLDVq}t!ff) z1Hxh)!dVn>eZ@2E`}B0J?jNlnuUiK!NEVd^SL&2HP;itM1?VOmtI~xK8o|nw;EIIy zKnoxkBdBO2+=&X7pcW7+em$WibqO|{oe--L1h}6Hg+KVZBr8TSmcnVEoJqGwe3W-!GoH|7jTc8vE`O(8KxoA9xB@na-t0wiq0&t2X#LiLOq!OsDaIXEZQmAQ3 zv8XBsrbz5!S1`&ZFhdBXS*N7cVuGcOjbJjWh5>}7SEZg3LCXa6HDQtwb({elpYViM z)hZ|eRI9+_AR(fFQzzIE)f=*GQBkmPc^1J&snk(0+psPBF?_op1-2L=X^M z0W2RZv1;hpvzU+;SBW+PXnM?&C?P{|^PcrbAR04q^-LQtm&s^bU?c&Bh#gC>Bw<7I_%=->pe zR5PliYS>2N3Sdok6TX-qQ)lv2-w6~@geHO(g+kGcDGui!otXRLuU`k@VeC-p2HD&B zB2qyGEKVQ*T2Phnx*4GmodSoQAl@6NTS&ul1agHajV@9~S(F}Ffpie{AvuhOwV0_^ z7wSZY;LSE9p-g1As+u&gS&3X6O#MZ8Y{BB)It+oJDC|^9PaG$BXi)``rg&Us0c`<3 zTWJwcAh0PEaY4)j27wCz^9lt((-8umCn>lIwZW&sv;m6a0z_66!nL70rUvL2 z$txT{lH&!~E6uQACaA@QBJ6fZ600ITlyp}H2g{$L8+-+nMG-HF8u%4d-wP_ zuKI3xcD0t*if!$TM)F9O?K!g}d33WaWfK-i%gksbk7U`7R1p*th_xtmP11s0w-po~ zW=2QyNR}_!D5*mNWQ~$45E^6*b^EkUv{6!pa;c3;O`(OjrkIvKU}GmvAmROAI;g^ot_Ve9aeYWWssc>q+_Ltt91)xWxw)L@dihwWM)>NJzkb{8mp>rm zCM-+s@%cT%N!1ljJ@+H}z-K#D1XJ-RQorxdPV(m9JNVh#zqa1X2;WF;Pq?J-`Nm?i zsJ(Cep?Cal`?sX~mE~edW%R=ed9q?Y(`ldc6Q7>yZ!(X5zA9Xn`_x87g4gI>$lTyQ z__f|WCqwoh#y``1uxqwnd}M!o#N5*@PJUs0$bQ+aQHd!j5;q(wemRwrHZ^pXC}a~rGuDgAo`1L^JB#IBky?fC9A z`Fm@hRj>5@a?1Sr7R{`_4|b{w;Vd~>e@qd3`He>fLXQD9hhJ^-VHlpE4iVI)7)!P`YjCJEH@isa-o-)3sNbSZ%CT;`I~TkVkp6 z@3)nj(Ut0sn`{4R@RXH(L+LV%*{$)%)8h98q2>Nt_Exmq?Q5T_7Nq+4cYOZndh_|- zUnuKtu}ZgA70Az(54^O)TKx}4lSeCB2R4(9Q&zG6+&1K8p6hD6;$oV;*;u=%+JP5Gu8>EE?y0u+%%AOXGjzk$+r)LI*E=pY?H2kToyi{EZ?v>n z9bISUk4rZ{m3F@?CjB2Hd+QeMpw+xqe0+p-SN<)X+GdTrmo;N^oBe^&Z@j%NxsiWX zRAyR!BX+SRS+l0EhpSnv{CpSK#4sI}-U+{0K=uXnL6>FX#x6St5 z=dNg}HwG0lVcxwfF0GV4oE2_8*u0KU{E^c0_0jHfcW{Cpt@qLmsn2N_HhbGl_25DI zyx&`E4XU~Otv$-e=vUJG@vJvLy5?oI|KZ{7$l2!}@JE%GiLx&nUpM)zI9FGB><;OH;qp{jmDGFp zo{Eb550&$i+Y`f~y6K58w#T6Y>gQWO|HVV3ePDH2rMKtapFFphzNjSD8e7fh<|mTd z@A-cJJ3sn+o#y-+QM}vU^JLj`hBP_y8Nt2OZSSIk;)_+OHSV|Cp1No>Sa%IKXT5{Q zZ{3+`9akUxjhB8#$}VKLOV+Q{9& z;b2|&4&-R7>F?}1^~j(weks||@*eXW-x&JlQEjU~lsfi~-hX_dBh|gWYgMZ!R`}oA>Ob<;yB3NWRtm5-Mj- z?>=B2X<29YUYV=gZ@)aeotj4uf3^3D)!;v3AL~7ln)W+uu2j_fYRwtpFX>XZ$!(Z7 zH@i&}4V~?DP$BU)w(`auAG@Rf!(!cY`-Xql-QHVA2YXJ~m(3&F>v|sgV(<6ui+tVw@3ftB zFY@uR!j^o|dVhKq{{?@)+1bB)$3y!~d%gI?kxNQ`b51c{|KNv@zq@qcuNPX`RJX&e zqL%pxfQ z987Jc4&pg>v9xQ6Ix)40o1uv3a*9l8xkM)9gyhM^{E||Zcw{O3rfzPQ$A{PF7e$6|Ho!uH4!j<3QxnaiDy&^Ipf~#lo$!E z+KO~gaRh$1+p8*nd3@95hkw366(AH9LtYC`QzC}JnK(Llc)W;6P!^K$lMA^{q|Ad3 z6VS?dCc^9NAlKtavw%U|gaCjX8UgYh#^~P$q>>CSYUscWCh!G^aC+bz_G^h4vK)-r zBfbMrr{V|~fyFRn$S`E%l86AbqygJ8JQLExPS`=JY3zXPoOsE+2nbnkPVs5phdkAh$Z>I7}lg)}gM|2#t zH5qleo@%j_fM8QI<{OCjt3+CK9ITgYvJwZSaazO(#<|cavQ>~IC#T1o{}bX1pMN;gC-t~(jZql z4%&_I1!D)@VuI3~BbeAgM97%)%I>?LpDBIYngT(&nYkQaFY)(vii%CHw2RwP(y(-{ zKY1VVvQGNmq@32Tn2Cd})d)O`i_caYnup*Od!27CHP=d;$w7)KbYo|w?`xuWlk_$1 z2S?x0!RdV$8y zo24c{zePhLh8({^8q*S*uzp-UG)Icb`CRomf-FASZ}c0;Pejw}Mu<($w@d#*OoJwy z;(mjq51L>0_BONPZaUXI5Sx=P^5ez@AEFEM&7-`?BD|E0wSW7?flKSY^h&+FK<@v~HcNl`tt@<@h9v6}^>{@saYwPSR9)z<({ykS}-u14^(#wB=Lu|n*6@EXIc}s$QNfA-G zjub}vl7m9>Y185Ou%r{sBb+LF3Xu|WNQ0O_L4v{F2F?Gc8)oiRA#TCTxPNpji8B}d0T2}NLYdAero zlz~87PvOG6QO_rJ)BhYH7GDKLgReq6FsCQ^xSH|wkQ_F)BA_(2Nj&ZGQjz5~YkJ7o zN=A80O6kpxSYl+wPHV!D;?N}vEuu4FI9gG=xR+p}B}{vqHjFVNiHTf9ZmEC(irC{F zqD_&_q=hHCHwFLCsY*=hleA;ie2HX4+u@8`NmUG|F>!<_CA>60?LCSDHe-kT!H!o~!LtEFn)=F$fm8U4ao45gk0f zh_M2mDB(JRhIIsy^GbxE`)16bsK|Vt+zesN+kLWB?DGjbW{1)HJ7GIEC3q^a^srWM zwD5|~2^bo*l9o6{^Jpdp1Y1i5@p(*XO;x*jl&aB6Z8?qjWY`YXc+04omAf(VOit%+ zMe6&g*THKNGKQ#5=Fn|-zH*QAhyPe01(!^N=DeCT4v;a!8Z>tcs4HZddCq_@a|Ov@ z>S-#Z;HMO-pqeM8D&qnk`*fQ34th@Eo0I~xY@2WtGopcG3GG$FnFYU+_Ey| zUW;8zBfMN>F0qVM(q%;9JS3t=wiRij!j!PzSI3y7!kn`CHDn0gEF+8!Hc4Z^FZNdY zMI_@opgCidPA^pnSkY3afmNn-OaY(}w}l6Jrg*;Im}v8hD8G#Ief3f|_1mq!24j?_ zO{rX3PM3HYW7uA%^eL5d2`!dGuaMJSDV^3Qj0}s$MR*PU)YTYBO)f}-#j0v4UFPSu`-W?;4=tWdQlUX8q=|6%Zv$DHzY|I+`|bIMw`T2 zOB8rzSAb4}Vi>U*I*;r(L_}62DSS9#w32!6pn8uafn^L!5pwm0+bin*Y899$Zi?YD zZ!czVVQhqX@OZmNPS7}wG*N2Q!F2(`#ZgnMG1)+s#|xS?XcHqW?L#y=QXwG=4Q8P2 z&}vis8cBw}twRgPi6EAqJ@mS^^NqDvn-+Hjy(BKoj2XR{f5fYjqFZSzQ6~_fm*UYd z>~jWc6*#CtGN@UO=}o{-MYNh&5H}|agkp_5QO|=?^V^yUqZIL00&uC~gh91KP{o5( z>MX?Ba=?!j)>d***wk$suqq2tSL55HiE6n0sI5{IIhC?86{g!PyofZ+o#N@7whYLE zAEL(yQXm29M3gd1Aww3ICspjIVT~DDivR%$*HmwcM*_kk+e~)xM1+>pmgzv7cU~xehN>E9XCZYoNF|f7?#%3NSJUw zW^$&m7ZcX8UQ3S}<=!5FQOu|y^OlZh&``WUFZ43!&B&P6J?xWif+jd{YFX zU2lL?OJrIx&sD(il7jkIf-TGB{i8QiTh+nYBh?s$4~<3+QM5vml5|T#^$R0lD*BChn!iOL3oZS;($48Ji)B6FECE0V_Z3xdq}G#u|dyeM%PS=W^*$ zcXDU%8rR#etRb$;Z?s{?NSL+6vx;beem4!E4?@U>bUzW2dI^{mAf2_%ZUMGe!oJpy z7!8I$f&n38xwTa8YtWEeiyX_#u>uhxwl13Byrml;vo#PF_Ygl<(Vj4s~!XJ-d+~g6zB?gZpoUjnyNJ23YrdBBnF%U9F zNQt1Lk@IdyRaQ}XLWgoW-}M3AF(%`xCkZBHgUq)C#$)b4Bk3VAbk#J{=3RwBo?$q3 zuyydrrYT8$k%>N_@w_RBD2l)-C6*W=iY(?OdzcW?YEuluqOR!k!@il|X`sUf@;)=;j}R-5Xkh9;SA)3)nB#z`qkK1zp>Z?Ao zs#H=w?Bp>KU;x1v0tghb;U3Q`pV?Rk-{~re8hhR%$TR9!C_B09*)YbV}-xVSj+3aDJOTTfjz>0EeC~g(pqD zw;Z{}c-OHnQMtsp&16P_A%#==>IX!7j+pW&ql@892?SkUt39q6p;>W1_``Jubfj}O z%{*yP1DSo)Tde@rf;hRDV)beKz7eg^;c-&2d5qYR)ydy}UOx8NB5ih34jcm66iYDK zSyw2R`j7+< z7)g(8(ddwx88Vkh;1eJuCxrOj5v0`RH4FV9$cPrzNKPv}0U(fQv6+71Do%np5&@KY zS6c?G5FV0UyGY8WPYK_oa4y1RaEcd}r*s%1^Joc82?K&W&ukV1X@O}9a0yJ4K`W{; z1n-p8>&5zHP%96a&YBT^C`F^fS`Q7#b{k<38*RoffTmxmD?10bwi<0#d4)z9b0Mj9^4Uhi8C3VmIA+s!~`%aD^riOJy)>T=2U`O`eNX z8Po^p?9c$Dj0XXvFy3Pn8xKkK(s?s;z#!!iZIe!&6>2klkwGcPo^vfgscA)0b*)8> zDK?-KaI-Z5_eo(g?-Q5~H`=dr2xD zF_%lluuC#9g$CJu=+EBy#x0v>7Gq?RJC})IE>NR;%m_6ZvNS$Jfjs8`ZCU2c5GDp2 z=?6FuF`VEc^9YZQ?J9fa5y2@b+iBdPgU?3*r<%!n`#C7;y6FfAR)ACY(cPmqD27QS zDkAMPWH1(+B(VOIAt8Dlk7a5M0wFtQxXL*i;`d9NLF8^3?Q)r2JbK0epUub4nmL}q zsfdg|54I=K^cqQ6KFTCm9!%xM`y!j2S&Abd|Xn^axDEX)Uo)fEi0#r`C!iwtv0d2>!SKa2_vM)EP-cf_`uDG*YKIn$B^%+Fqq!O1h9$WLBdx46so9=6M7_9``Gy@Q zxt1+rn{--<=-M4!q?zed)fNohU{b0^ueK?<*%J{IKx{#q93gec+G#;OIVukHvjFE# zK+4-Tn}QS@&|6|DKzkMFdSZjBnIk5~jonf$86+XC+@}cR7cg3ZUdprSF$4Y0HcR4T zOrRM~d_kvOrD(TLffjm3@r)+KluTz-e%P<`ys?28kMDK3))E)iLfjQ`Mjp z*e_M(Va!!y6=5WO$=_fKHzQ*`m8f-W)NbiA63Ssp(Zy*TSg^xvsg45#Bc#tV&EbJu z89#5(IDEz99;2V`#*JjV*FCKCimoz>#+iQ51PdbeiVp0;B)KIPhHhnZd&wSw0}sAk z%QK-yC|cE2l{64nl5L2qPZLGTGvNh6+Dg&EtRd9jqKYoYNCUA`l}s>-qblG_%X(T% z{*tZ~FqkC%QuwS(w`!Pe+&rI3H1IK|>`@@U4?Xh1i4U zrDllp**qno&+GO(NS=~pbAgiTF`8S2o+4Aj_%NZBUB`$Ct)oszt?`(S3}cPyy5=Qa zp(0TNhLw51YuSpxO--6i1Fj_7pgK*CHqw?gac9C-F;9?o2S)NhdQwlE zEP<|I3YMitd&0bpB)9oBQfqIFv17&PK!dfjSK2SNk__7PIXscvw#9>KSXPVT8B|7z zrP*`!68JGY0%Xz+0hO-tra(}z<4K+#@oGF5inC7Do&-Ik<&2z`oiSWWZp9FE-q2zh zAPqj<#T)7LHDY!4};>vJ}7#Hmq`2INbu9kvK%p+u%z# zGc2We9u1py(d`l%wgpQI?H-w7w*R4?6O@uIB)&*g<< zObx3@yD<(E*>BYtwz?o4p`)LFNF2CFT4D$gf=oC9fLB=B?zjQY>}#+TuiBhJmJF%W z-^)~Mm6?O4IPCRkWj3e@2x0+(czs|_=-1Ni{S(GvG_e&ZGZ1_W%;s(0OA4?l#N=94 zF)U$#td*?V6k0j_M`4kuF8$M6U-|tny>ida#Wp^mKjBu4FfqA6OdxX}VGY!+pwP4B zm5~_#SqIxI#eOt&3z^bbC)3FSj=`OBvQ3;4x2l-bK2J~K964fk^5C*YdOu&&mB)#h zA@rl?O~#&{d6Nc$*3y@J^gLYv8OiMy&8-z6FEL(*CLmWST`LpR*jG@!o(+IQ|Ub8(#CeNwp$0_5~E|M&;DsCd6>a=EA)Qf|-(W(fE>IWUyU{09YJ+*&f}fb~c#9^wTl+_7U1roO z5VxSi%e-K=lqbVSAIu3p@F&`t#=tyM8DVTkwFLmm*Cilm3E!tw+00_Y5I_PAAR$-I zm;j(M;8RK(Z3gQxg`byHmA6r46cUU2$-^xWv&!K~TTe3#N|rUA_5c9X32POR=M5zf z04&h8MJO{hDE|=HQ3Uj4%)O2I7-&*Tc-Sjz4~Y*;+f?H8!c3us%jV8NO~!<5%O(>_ zz@&bTFbKMBVxNh&Sm~uI;3et~+Ib8*wT8Oo9{Lc!R5hSONVmiO0}#bC?d@I&o45%a zrM?u0Fj!>@N*j=9+W3^1svb!|edj=E14rf7o&NSO|Mw4HJNu@g`31nZzec{Sho zNdcBZAJauHfA2lVZh!T0XOWx(_7-2ybLBbGr82?#WEma0$+y~IwSWVPq}Vo;X2Jq8 zy(wu`K#2}i53wpQk?{3AV@i$H+?o;7T!2!1qNc0JScZ0=D!|fi-`b*04yn(VnRP%? z;LB0CR%h&1lDm~o*y{;XG-`L)aR8}|k1hv|%=LAvkuhevhSAYZn@~{|a1?J$Y*S%o zT;xn-BsVd&`T$HcwL~cyNHWivwQ4et|JDGR- zYhIK>|G4P{wQLc(8&3smLIb$~PC?97bj)BVKQBlZIn&LRnKW~xf}BxRrtD@wpJzBf ziarWEtncqWXo($l&_?E90G3!oZ>6UJO~IV#`f~{+=tSN*=(Lk<177o5=+eNPOm!$x zbb9CLgqc(h0-avv&-COLtG~@KCblT>eCp*F`11t0L^J{pB_7)RK+-yhu`oC^PuDgc zzEv1Mpv^;kc2^lk9H<4LoLpW&sNm5&L|q2D-bG0TO_hO17gW3nOHS+t6#E8xwaXc^QJF z&YQHYcB&l*PUU^pJ>_xgJ~T-`!BCZlfqZ5h!*+sQ0|+3jW9qi8<7>!)R+UvrVJx5M zH>yzxC@`Jg*i;@=F}%w7btrC_ij89{&D9b*6xRKhdxh@btx@tV->x#wSk^LR!OhFk zh5o<$@HBzO4SYNTl?Xlz(CVN7>M?9}bUlo+fUM}37_?GgdM)wb`9_dK`Gs4?OaJi2 zYfKAhwb=ic&n{`1Fvd_;hOOF=lZ=#>(skWJuMdqAe}SrvOeO$a z<$-JWq2C_;wf1)xUislw`MSuu7|eAs&dtkL5ElZLp({4I5A4bP1>_2N$YcV>s|%cq z;Q=Vi23ROV=3x=o3PKh`EHGJRV%b=@7 z7!0_Lqy+i#R!RT_7I+t!s+&PqS7igOiLE?%x2wRr%T=ZzWkap4B*Tn6eN5giaBnR+ z0bErWam{a0z|PPIT*I&E!r)w$hgBcsPyeL!15aP$Ukc%n1|nduedaXd-`N8HTGoC^ zhim|a+q`o(lDIH7xQbuj#Jy|0YeW`$uOl*JUq)l7SeC;<3q)oDf(^Tj>-ZNVqN)Ob zE%axjDM*OEhCF~}3e&ikJqY}Is8z544lwen7@ap53s*v6nuo8ay*#N;fU?)YSCAS2 zVG+K@pm6~}u$ME{J?x7h^&%hVto;nWGCl^SRcn+9;DVT_C78pY9!Lj@f{W%V+Q@hB z8z~Sv-@SD^K7x%s2{Lmt-b=)%H02(eJ+I~>-TlOW!x=Qmj475Sy~uix_zr1ZJYgj_*`==8&y;dGBx^(nSxR@wwp#5+Zr*X{JxJ~(JXlVZ11cZoH((baNoQdZt=*u^zd z=9J@FMOui8(A!z^&ANpk!4Pzw;Y%{>>hQFhq#(?7Qv$-<*u&R`63PU1G9zTIiA$BggV}bVksDN1?Q;4z47|fGq08o{Lumt zaH$=MF%m^$7Nfno97cp<1crjd#mrk19+jvFL>F>O*vUIzBAOVrqaguH{vlyRS%d6O z-gBFSceaz?!^)FGg3fB43*!ooJ+knF?H~j*X6E9Gc6f9*k5;)>(Qgp5mt{q-y%@s1ySp!1`hm!~&s zotLv8-XZ+?6teEpsmu4i|FMnjxOBhrgI!g7n_fJIsZDyGXRA9dH*K7p`by8M=JtvQ z{xx@D%ljTXR8x0xvPu2ymK~QS519{Mq+j4ayyHb&u;Ub_l@TAfa>we`cYm?&qJS$d zVj|FVDKAUKISwyA0_^H>{zw4dNrQcQxyu@81 zO_Sde=)VawbH}v3=ov1~t+UMBgV|l}yDpKs(~qy4pnpdH+5GZI=76{gR4z+>0G?Dj^ssi9dDjGi5W9AGk-an$d`Nek#Bcr zn#jYw>uIBKX>NnKb{j7EQU6b&qc~+FV{iXANgRG)$DcE#$mUDh#w3y%UzmEANY9ZcHuS8e_;pG;)pjbG>oAY`mzvfi7xBk(C+Ux} z=R03&n1A;@$F$SNspP*1U+sB*b>+r7^E-&l`GECO-A_6%Y}x)K>0LvH`#)-*8U#V& zk^lz>Jk@5H+QZR>1$?g}ZNg2}JXm7AyL8F>!2f7TQp+Ll^( zeE83h5_*5~cgP=`{6G7^$(nHHh&kC$W{I}yak=F=0~ z`M}_o7-t`qRT9y36L;ZlY=Q8NIM`x&b@L}b^~TDnpBMJnDfqTWb)+3e{s_*dnHVR9 z^kp8@|RFtXw|o+*4rkv~_{8Uk<>p9z;E@m`#tF!ZG3iSZ*u zUalUm}i{(aBV7Qu?N}ldC+h z9+g_XT$xqEM>{YG8dOD^=6xMWTr_y}N8Dx`s`|;;66E|0#ZA@b2IA6_>lIOS%_`)) zikl||L52G}CVH?fak-&cif}8cEgawN^R77Qjay4b;JE7{@K%ftS>Xe%K9Q}HW5$f_ zwNJ;9K){V4w~Opvfb|bvv|fj{oRHF~mF} z_8uIB{0X6P|0pg3tA=#OO!-ciZCK4AiKk)vEW*M?Dj5!bNXsqGL@XEbAa?;nfgi%L zNKA1>7PuiH#>xpJeyrDF9A0|*)P))OS3}RRhoML2*ZOVZ+}PUlR!h1J^N-H!VcYvt z1+{Q=Lz_Cd9H)E_*8MT@q-EK@XRfS*Gv4q&t1l`Skv8Y~xHsUK ztEXLmi%=u&9v`Y-F8c1gYRe<0K<%?qrasMuI!(3Mo~jj()Y|iZ$-ij2bB|Z7>>gN^ ziwy{?rr`JJnY*QD1^w9YK?LSD3Hz5kHS|p*_lLNi6>Vi+!Wx*)^sw59rGkgdb2& ziS)!s%0B$Ukmd0qi&B@C`%wd(OBY0eaQMO4?xSJ*IYP+a9*Oneey-Dgx7@N#h?&y7 ze&-7YCuL&Cc5!BU+tJukBi2KxH7bQPPZv^f1ck`lL+55ibbk%wuCITJFA4K6OI+PLUAWwRJC@^)&>X_v~7ysrwvo@WYrVokxs!!2#Mt(4TpB+ z*3bU#zm*>Q*aBfH+QelWmiP)%x5Q|Pk&YR&N6c|v^Lfq9`ua*yplfZ1gk#i;ZqWR1 zX%oIUo)|E83f?i5%ay4}o0Bk~R7p-Yxe5Kt4|>PMqOsGo=Kv3a=@1lNr&clVYllQd zQ(TWLX+5csSrS*I`PF>AxU#z)2~(CSqgAfpw#dYdL#SshD>g~><>t3LzE)>^tqa1k zvhpZ@TVmoFh#H$%(LQY_r$z>>owQk8M6g3;J<&G;PVbw7C72+$(>0`-@**piBw!lIOEiR4yl zaV4cg3^`8BY zcTRc3%l+N+-rKAFv~H73S2CkI-!XS zValkttaj_Pam+H4^IOzMv^~h_kgx{+HhE%Ln|EW&b9eD#$Lz2lHPfcOqs&cqHOEIL z?Ivr#y-wOt-+R{(Y*AnJt=aMWrnEacY>%eop`%SgwR*{2EtD%0Rkyt4J*)1k>h$X` zYUP!wx(@qt%f|0~wDi;G7bpsW5w1k$97(F8NTI3noG*4mq{%Ku%|(7YfJK!bQcRuW z<~-dxtJ*8Oebx({<_~JI;d30L z5oe;_3rTvPZHpUKKa7s4#M73z^DNWIGO+3P7UWs;ELVQ{qfd|ge(AX@cn(`EUkf=R z5_6>hlr9;fsUIIcPqb-d(fI?ZL{ydV?fm?+mD^~usr`w<}4bf^{8E3(LF3mp$17$#=@Gd6Dikhj3qPnrnD3B*0#eM z;nGb<$L=iAl5u0!uM^0VH!DO78|L95W6&yU^vY83p>G~Dmub>t>T0c)W)C)7PEGM+ z(^V!Wj{2OEljl5N=Y^$im3MBuh#p;Sw;P@j!PM8WB}SiU>7C@9$r+DVRv>&yk?rZV z?#(3KiKaD+z&z1chj=9+ZhYs+znaVbra%+Ui1Zp=Zlswy+0DLuYrV9~F^UZ zWM~a!&xHACb}O&L6>S~d8bg&fiBq|GT z7D*x%u9%#aJZ(9=>4>kW3CzJU!b9TN+~E%7{ zx7Fj~c(yt@Y0Eh;Rsz3OidcBNjay=cI}9#0YMh^L<~!|D?LDXHoKtp0pWSbWv2<*F zQ24s0X>#RQc%lnFFlYTa6F{lYdArcr;SMTA%1TY>P}Ov`u)OoEI~y}REAoOAa#p$` zGN8ZIZ4W84rsO<#i`Q{37p>?#rg+j($B&K*FG-oka`z?In{~YC`K+HERXg3e5kq~+ z962UFkDR@IG2V*Q*i;f{ZNHK>e|p;On4W=t&9#@e(~-$)BihtwRcj;5wOl(@J14$r z8rA)xDdcXa>W}PcX~_EA7Sh+zrgG6r!a$^JMy5a8mwV+0x1&8477~(M4Ct3Q#ACW5 zqV>7{VSf%GI5<7;sK{Fe&D!jch|olCj^5m2ham*&$db{JB^zAE-#Hp_1>K_|+nMtm zbVp*up-7NrAyoLN5cB)xKGhlU*P4x8A!^7-Fog#g5uGL3^0{MbPGNZ##m@U<7)Wr| zaucHo#GOVwInp1CQ0I^Jh!gQmtB$||T~wtKNRf=KQOR~(a*o>JYJ$-g7oD`$y?XRx z6)U!)3~X6Z^RMbm)#puD9w%;eGdx>HD3w;&&v_?@Z!_Bc<_X@(mLZx^T+>poAzR2g zOX1ItUh>vy?#h1=4(;Iu_?l11X}i8h>hn80&XVSGEAhO#OUU^*i%0p!$%&7cPp)o^ z@g?b{{_Wm;yFK4UcGRB}`*EQF8;lDLTB@E}58yBs5UUIAY zgkkrnRW=t=1+ON9247ZtU;<+_sNF)}x9)f*Vv3#bm{OYTHI1Gt?DJ1h{q(TbyIB%$ zv)v-`ytj93n&^{shiK0Dy^zJRUTL-MwT|Ul`|O!JyKWZhh#mj3y8P>NfM9lyu5v2f z^Q7^P@9d7sH;Kw~^Np{lKltEC>AtE0%{bDlLh4`$8B^Wfd$g$`ljBZen8519B}*(d z>?~Kqu@aLLAn6=h6>d^njfm4fRwss>tP{0s4KEV@9!(?nh;F!ek>t1dHC7~MAh<`)S!Ed{ zOpEv+<4J|X_;%D+NlPs2OvzMAs+;G!M1*S}Jt{Pg?M2fV=F($!uO*s}vdl-L3=fNY zMoR@Z;#1A_<*={#R#!*XZ#5v=^+`)OvCp?X!E*-jrG&GNR4dC7BYxJH!HlJReeJy5 zJyOGkoanmW{?R}Gc4`0M!uOjY#qkg^TExFwljPoO^ z!y_qNy26KcA~Xc{jp)s?M$%oGVvlo|+Bw;Vnxlvw(b40p7}H0bP#YvY7d5C8hQ633 zsS|aZD<0ueBP2|-*onYYT`eNf-O5J3Tw0Bg;6@FKc0$ z107`rdkSzaTRD&=ScM&SB?OZLnS@%({~7EyB`j;jKuqCS4X(g-{jtXI598rjEf?NI z!aCXlyOraxkNX@*G*RdKXsMhj_*mYNz1p<}+(-+_PrSr-s4qrWS?FXx0wrD6xNQ_;h z97u$bNQ~sGm(@Hd#x6vecIG$u)ciKj1A6`^tH(Dji&c%n<4zr`h2?d!v7@Q9sd;gK zq4pMb7m9U5ajw5&@jR=@1q$Iu{^$a|z`AoobOj(y%eJ6DpiB@)A{J5M4s$ z78t7Etw1qQ zud``lu#;zYogHYzzt2SOVbs5_G0~-QrS@5AC+pa--q8v0}o8e0Vq0$rm_ zjNw~Bf0TJg(duVl|-{A;js z-}uJ5#W#j^_6UOdioIU>F3OjVFSZksh7Y5S2+1zf57!_l!sJev#++g}6Ya!u!(HSA zLE2_1Rqk=x(JI6`2;IYmZ79&_*^;5px6dBW7@WN84d;FiWG8VL=Tn|KO0 zrHm5*+rApfw7D$2T3z_c9-v5uDos^jf<|i2Lj{Ic1Mw_36Ef{HD@=qauQr7)5^6{I zo~Y<2NYd=pixkdw!$i-Gsn%7;?$b9ipjm%M29#fVCKy& zBw^LiWM?+}q+$+s9!HeqlL|ieToZiYg$^8sQF z-$xGCJnYH6>&3dh^j*BNS3S$mZ*wu}hSzzY>SVt-M)nBP+sp&L zdWdLmFVF|3!(APkXiU9ta)bGx1@G8FD_7Mtu>BYD*WUQZYftLnd@Kwq;s3@>u}~~9 z>14d+N59kmX#b=2I4%`e6<38H?Uxr0F?sT6efUv$Ow@ zP2s<*2_J3@|6Nn~_PTIk7Xd4`*Mx6}fWf*3nCH#Ax*FCY4X@vztuo2O{!2ged-=~m z6NXG+pK-$mrIVFnArgD@kGB;6+85iE)tU(^tT*cvuWA{C z7810OK-&vVe9%Gyd&pZ1I)OdpIy+U+LV^|&w2*?vAu!Ua)bD}% zJs-mp1nm4L5l2A_30g?dLjJ3myr6{yEhK0mK?@0D41*ZMt6|b2Tqi7LOOWd%NInx}1A40?;|)z?p`ipZhF2p-0uydv!o9xp2wKRS14V)s z610$@g#=Cxfs;ev5GDXnUd1T#p2d3^%kAc880pb8?Wf(WW0f+~oh3L>b2 z2&y1~*)_{lpj-@;i@|giZ*qnY3{=d;_stFC>XAVG9;n{~^?T4l0`+^Keh<{|f%-jA zzlU{>Va98lU=FHl^XCQX_dxxAUDH@-C_xJeTFCViWd;#cK_3##^cl=S70iVj%!PZy z+{!_UzaYh5km4^$@pt2NU!0QatrMs(Bx_l;?_57b55^&Hfm^{yJs7D6BlW;h zE^w3!9OVK>xxi5_aFh!iFKXZ3q>Kv^DPPx>1bs-*hXj2{(1!$l$lo=EZ(lU%1eqLyOb$UN zhZ{8yfumgDDEAi2t+99{FyRI!+`xnzm~aCVZeYRg#-qwz(5rkr~(63V4w;NR12X~3!5O5Ly*ZK z$mDQ!;?E$)FcPTW1ND2Leh<{|f%-jAzhBcTvW^jZfpRfWE(XfQaNzwOc)#~Q3T;Xd z9}>iegdHwOq#Ptt4iYJc|9|D%%W()N<~V}`DqgTGT(`mD!uiExZ#tl|o7KgW*oJki za3Jbndu`F!&#;aayEy+Qb||c4rE;ae_qktr&s>`QA@&MO;fk<~a$&`VV#NZ-@f>2{ zIfdPxU*&gk!K3Ud2RG&)DU(R7F3i>>9K&_k$Qphjq65#WDe*b3WQbj^;6_f(-`I$o z!w$j499t3W6;>Rw>vP=n?Kp}%I4$b@;!oDC`$6d&KgQnwYzZ;){$E&1_q_KPU*7ck zhaO#g_Ei!r9{3+`!#exy!is&n&T)jT3YDdEAQ>0dv07L#f{Ni>euXuvG{54)I`^x$ zfB1bDmwxElH!pA(t3}iruDt$-!S?d2g|myyh~p^H!sdE0Tv7 zA63}mE+hXdPqG`&VUL}=;Y{Ix@um-dOVcYnDE|p>xpaX_D{sB~mb%i1Kk$}!-f-Z* z{?r0F7H@ddwLXZ4%D+mN8!ukG>FV|s^~Ybi{TKi8%+&+`FZ_6uK+5ylA6^StEf-EGg&+S>N!SHp9jnFVjZ@qI>io*Tk{9>c*5aZu z@EVEOPFUxoJ8>u)BjQ4x5x>V>GJc@j{GoD$hlA5j{_& zm2y#AHt~>O|XM-4s3~tecV)QgwkF;y4L!^3gj{ z_F|FJ@1OX=CreXzZ4w=Wrujb&(Ky=2lrj$=f48EwWuC)ln}lc^=F5CeVL|(-A#C0J>^!~juw?-Rz#oFbkIZN zR?t|ld_>|KO;nH0HH%K#jj513bh*UYg2;*yRk{?3OF1^@hR~PfVw|Zq8k!oGBN-Ky zZOB{&7gl3YHL0pj=L)KeCGMnL`o16k@&o!Wq>r#?pw^vPu}>wNdT&#rHHJs*mLqY^ zlDuX*`+n1H-yQY3Xj~e+Lu#2G_I=N{M?jgMTInjfT;W=7`%tp+1<|eXqk^qaPo0f% z6R`<7QmOnuRJ?y&9M!o$KD%tW13AD7b7luJ3naLJb)(VD3okEXet@n0j_5j0gce6&csd3515{;%Hv9`Cj6+$9OquwC(Mz6Qtq}Us+y}b|; zqt~n7XA}F!_q=v@W@pZvne#l)d7kI}oafBZJR?h-d41O4BJSByw@k$=s-0p*S(brp zDykEkTk$T-$=L>;cW@y()yHdz8__C+&?tkLLM(2j4@S$z*+i7&iEJi1qjjp&C)uQY zC+{rAG!>Y32Pn;`az(_Ll-KLb?>i%Qty0BS-96xRSy{eo@SrGq+ef>4t(auYa%3D+ z-L%836{Z@@(65C8(^R-8X#VI~R8U&tg~F+@_1gtT3#oLr=Q$ z0xWgX)#Yl8z<8D~wDYiK@=4og#0c`?zbhH+-Hc0r;th)v2+ zD2(V{4Lzwzwg974 z5$xipIm*sUl&RRYT;8a$cwQ6CGQo6mf>j~>>B85)9Cf)kcp}PrOy^h888xc| zHPKeP?pJBGOrD|L3Now0wfTK45w}ST#Gg0QTA&CiRpD9Ux;jE~MLc6}EO78bo9-6# zWX9=AN)){cg9s(=G*Yfnf#C{-kv^5TUK3_D6@^Ms+f0G@try5v6b)ZxxT^FHGc!kN z75MNSLKmX4wH+CJhNYcS0yvX~QNkN#R%h?jTI)K-a59#pFe&*@0_EUlO|rK4b)Qsa zNi&6vv_YfYBYtGeev2`)ki&yCOgi$%-cW*CCu`>>S&h+rVu@)w)Pt-ePq66OHA2wz zUAK+F&w*mk{^dV>{pzj1f9VQYD4Nhzn=VtVHksC%8DYvkZE3vUWl-3*(Q;tUAw|qP zG>T-fP7PFnWH{-d=;RF67zIvSG}UdX%v2EDUZ*INIB>h3Zpfro^Bm>OF+eLDP7c(p zF3!~ZJ7tQ1kU1qwBovG+FS2n|8C;4eJCSBzUunq{Hbyw0Ft1T=g^~@yF0r-(LNSg$ zO&|#t-`aPyUkFPUZIj?e4p)X)V|uhp6`R)X&wh9d97>mQ?Pxx|RUKey2e%-Pp>nxL zK~pK!mc>cd3zBl;AeyKyC|`4r{!XdV zY;ACvnd&jR(KJfQDZ|!<=~-!1mtzw3xNtxn zL^*h#SrNtVtFUp-D;mG~x39fVd{zG3WfDkd`c9f643#z^C=O+u8BSs=#|7|BcojsSTd zK?^GlA<1Q-Oj-qleVe|Dw@HS*duG+x)wLF<5HpLR)GT6`T`PUqDbn0;@Biw@Pb@8e zqxLfBS<{`b5$FFa2queoIl?7_at0z)mT}FjK1080MUl;Ik(uW#g5%bp{{bUQbJncECL=@X{0^t@H5&2}@h(!k98n-Ni! zm<%&4OXjr5dU;zJi%4cGq0DP&tBCHVFIf%r8nH z8ekJ4h9Ohq;w)zipt!6HcHpWYODkq$SiyQ)pwOt~Y>{k5iY!VIS!bK^&4>dzm}ge0 zbWC(5dKF${n24b7RBT+kL{^zsX=MT!Wr~{BO=bb}M6fy;%EUH~QNGlQU-_5pFJ6Y0 z(?t}v{*$TdIhL;~>eCXDGUb>~9bMZTZji$)%L^rzSv{cQ6F8)-(j4`gH9;(Df?h>O zP#$KD8ZC-vqY7Rm!jPzzGp@2=7;z>wPAJ(_(Nu5JC|V^D#wnH}C$}@Ppv~olRAXA^ zj$w^!snu4A@&q_L4i4F@9OZa38(?-6(zjdoC~K{x9rQCS63mlaCO|sXwXGW&TMsX%>w7f_+)I<#p9eynafXxh0Ht`790Ww2ZneIrc;< zQR`OxV8QI{Huh#zBHQTeGGz*_z%V9_ofA`UwSV~ZhuLv8O&TbQLbYghgaTzIvd(%j zrGp_knFG3JmAryb>h+dUCkmuh@X(ZkVZ7-Alax}7cpUT}h%rSiS}KT&%p1HlU^pd> zUV%(x&qF>@0v|YmPa<1CHuSCun!Sc4LXK#^M>Hs}JuM8j0)wVR@RNNm!*I^Jax_6? zQoWi$TOycY8`H0FTCz)39SWf(2Mb<-E@La#@PB~Gj3>ET_7Y}=HB%s;RAe0`6($dd zU79>D2r-FXVK@ugY7qWU# zJ{woCN+D=T21RjpBrIEkF(5mBsadg*IZxYMk+O=ZZh@jL?97v61G3$yd2SoAq^ng? z2;x+ZX0H=YTXVvUpOHCunA|DN-Iq0#S+W{qa?g}}U8|t#Ayr@nGvbO1a+6PGk1*@1 z&4+4R5Fb!ui0x%hd1s~5%BfluMp`po*D<$rtP3ZZOPucqOc$=R%XQ#g&>rj3B; zs4`!afPs@Mo6e`mmX(jq1zDgOEl-y6?1{5!W9tCR1KA7&eoVf|G#e7o&jjDe&^XFu+WW*6h%k5#k{XAa>Isx zOEZ#j=vO0&K39vuAR+J>s2W+C;_U2oRIJMkUSsIZQ5Gc3$syK?>!17Xp1eQ%9_F2@F-bXPOK{2-4RP9eBWloH64y#Sz1gB(|C~+E82>{0k z<{>iSpgGpwZ%WW1gQB|aQPE;-5-(B!P^W<2Bu$;%OeWGoPji$#A3HTraOrp!xh!aC{|82MN<{8k03(swBkvN2vk z8G_At-L&bT2RS1yQ6>!mAeo2JLU`sB^CG9(WZfxpEVa@crEg~`b4DE$B>ND{aK!dq zEbCf*gov7{BVxoP=9ER4NWDRUyi!gaP@VKW6r+N_-4$0QA83lJ+AS>0oXsy~cTaxy zmFq(B6IXg{#~K>YDE5pdLKJEqQ8UDlAReJnt2CRSQ#MJY&Vb}Zh|JktKt|Q>HNnkf zZN0n=bHKIhU~rfaIrQHp=%7>>YK780AfPSDTA< zXdFXlQly9#bCB80}17n}b;9J(PKFik4Yp0nmPy z#ye4inF0?Qf=ra1TP4gY99jvdRw#zd@L7Usho}$l8kML8jOS5I0_cWq5-7~p%S8~wcvk!`O7F9E3xH2I60r5sKQ`D4XhcH-NcVbJTaw$L|hT~R>*mfXc4G0*B z6m9D_WLamZs@^`}n8k@8Aevow$bik!N|;b>(dQK^gK=7UU=TsRs1@DR=yn-&Lwh}e zzZg6o-L$(@7}I{twH36oR7N+pS+dI}=nMv71pI81z4a&q;C-FOP^^a%7z1X2^H3Iz z7`rHmEIh5?kS-QK^55UiE?RKPvNkIFi9xX>xHGDm^!;GGm0tVWKD+#1VWF#cBLZNY~>1t3S@vV zvt|X}$-g+LHJmxZEbzJ0Z$}RFVYFd5JoXMzZ~PBSKNA{Hh3m|FYmN62Lt^WBx*(Br zR*UydE%h}TUhNcHG^MW!owevvcJ`mqkZ|Jpeq)IolAc9mK%c9R_Rs@<-#eD;gGPPT|c&2+me%~t+1Y_b47M$jT#-H=Xb~2x~AUhZ>4kCnLpMMW=Y#D z2%fsr-8JEQ>xZWBRxzCuQ?5;HW=nB0P&Ah_#LTW|*VEmC7JraFf-!r^3h?zcO~!2N zsrBMrO4GBaeso8+=Py?nK*g?W{pp73L&`wOrJNq}aH7Apb+4v8zgAK3u#u=5(Lo*^EKSB^{?aiA~Wi5tomUa$2% z;12IS@bcuAGYfd+NaSq3ynEoDV$6o(*mK-~X7LBF_twX^Z9~Y7v zdvCgy?Pu4|)+WztneySA|2yF4JtOh*ZxvZNgTJauRk-~(d&k-XwJ)Ic;d0>v(Ktt! zPWWSA%*WSxqX+LR7Ro+R*rU0o`fB9YTKFl|5|2bq))|yn)J>w?h|Dh^^*#PZ>3D^b~i@iYzOinw>15 z-?73Wk|?~l%RH?l*2Ih*f11#irs|>#?5=&LYK@cNWXrkySe+GKe{U_qYhG14!}sO% zJlP=E)K-HATOYokMFdg(h36k6fB_3TM6KcVok2ZcRn zuQmh1FU+M9LXTo{Lq?hMz<2i#b`>ZO6sNRHN`bPFTvCS#POT5FQHK+lEF?RE2gEJP z8uf*^!xMaoXKxZ-oKx7FCl9nn*qc)?wx|QfTyT+B2aPUekq?O{^0ZUJ^gvQwhKJ%qAoZk6@sU#H_@a0q6-fm&F6FC)&IAonz!`I{YN8YEGm3sU|Vj#It z`#@3e<|Nt@EFRRpU<%}geduA6(f66J7l6 z!-)go2D~nJko+5|Wj=2)eouUrPl_#fYpm}OY`+&-W5D)Q$wi~2@4fs*{;nM*eNXRY zuAeiv9(eD9=Pqvv+Vf!xtu^;6e`v|IVDr5@imY6;%zv-oJ4RQ*1^zq9En;qZyC1f9 zr2mI1ZhtZ7JIRWJxA((l^Wbo&0YPdxAlkY=Pmu=UiE>ZE^jEY zrL6c#K5<-K!o&MLiOot7FEJa`d0_%oJ)^xVEYZ;esljbc-hxSQYLMUTO`g!E*R4zT z1*R(>zU9jXeW_Tw!qk=6a!7O9*gf`oW+o(3*FY546!9>42{nM7WZuqlQ!Y8)XBx9K z&(r#VlLXvOosSHZ*g2<)g(f1zv`DYUhabYM-PN*1@o{`iEsIm(br@-`B)n$~R1m@fCWX0D130j>;}pqYn6m`8s!@3fME;|)xgLNU!|O`MC> z<8w+DO(~iF09Uro?p0s^slfk*#T4$$7W*!{sZ)p`0cM^9Fv1 zK!Cl+8ITlKRUorwrp!=wm!CChbG_!GQs8hi8A$;uq`;FcfY6B;-A`FnGzXVW!Z*xw zR~bC?i?(VLR0gR@x>lIb6t++*hwTHGqD-@S#2G`7bv)goXW<)FLu5(~pXkC4#=>1` zvLK_1HAEL>)3^+x6%e|Uv4NNAou+kmjwvu~n8Ox@XK8}#7-TSvGbjC=2!at#TJlw} zEKKIWKHwn^+Mu#O`}+KQf4BJNe_rYBHJV?yZX7s+O#jJlf&D zh7Nk&XX%Yxj7#pZVr+E7yj4A{p|};$Qb zNB;s!((r8m+yV!huyG4{-grzI@18Mo&F~F`cj1i0^bL&zxaj2jYH;)A{<_9)D_$L2Q%G-RQ9KHe{S>SOYQ zsP=~A4aVba^|h**Yne0jfU+dat|fmK>$|7roN0axLgXhu{p6YK^6(XcQwz0LJBs?> zqGvq=^CSMhd~fab4(glj_@1YZS#B{k;``q$j46gZ?sfvrv26Y;?m1Bh>@P zf#`|;wjOfN;sD=0{4wb~b)eSwsoFt*S>GE$yY&erx{XX|H?s8UKKfi;LXTUG z!a?7pHdDw}<}2ez7TH=HP7C-&rB6ALf$2K4%@Fe{^#EX7U z{W!@R@Af^O=)B>$a%~{$n>i<-b+wJcC*qRuv7lWFdD=RZtZx3Ca1j;z=dXV6x+^?! zNU5-4)v_m=$8IBcS@afB?WM2LT2%=&wVv!1&N5Fm!W5F~*YA3>~C^zSZYRMmbR3x5vD7m0$H^XIVG=B z=fbT|#5d!p1|78bq3bIk#I+(^MJzZ(Iu&P2PnS5t06|4H6%bKM0*V?LiV-UmI4h$i zZYfgDmonQskv2fO zg~m{F+|A##_qcK?cyoS_^~hHAn6{j3YH6`994$_e#}B`cE@&SLsN?3P`9rC7=VOE7 z@BD-Qms_6Q_J>6OU7IYj>EV+8f}^J=HY_LBDtk3;u8&+8Sg-62wwzNg?7u0|gdY80 zc9?zgtNJ0lX{Ke;+o`xNRjHO8PQ2Salp09ehSADCMrCms$TQsyp{ zRFdf@Z?c-u{ZL`>#4o+?RxK%eADr$CUi5oQFL>`Sd4!~vfA)`w+He1Rc>M5Nf5r3% z?@!?6$wx%x_h?DD-`fZ^lFL8aM3m0jOLrb(_kYqlmp|L|^6$Uu(*NVk--cH07~{HW z!0=hM-ETy@e?OvWNx8Od7BY3J=Wokhl8rZgy%iH9<4ST^G*=g*{%ZyBiB4B1dc}lc z1-0z(v^ZWp)`Y-x`@U@G0oxsj_zbI26bspiC)%luPe-#MN$gVH0TCk-AN$drR@>Uv za`&}XRGDy|QBzE& zK_|5KKQ{Yd{^qvc%4PTV>4c}Y;~3-hz?{H_lWiRvk5%`*^Q!;PkJpo$?Mik?w*MXa zWM{{Qb8TRulW+6i?o>8n&ve+|&s>*(67LbwMT7^prA zmk+$yadi*B&gzY%EB|7^JltpaYkG6$rmL8094P>AR7@3$A$fFIw){Kz zWN+CigQ1zyRGtY`Ptn64p2rBgm*(dT5MlGU14o*#(#H_M(kmJ_&oI$XuD^~P0= zC-H`p9{)=l@F9P9*rU|oQo~y4&e(9`dhLX7m@kU=;}UaR8CN#0L;hjpQS$@fFx`d+ z+wcx{qCVCTeLQi!TI)NxovuSOxL0dwtF0G1d9&t5u>+q|)$FE&7oK|VtMjj4AvfT- zs7TqYS~3kYL1~b~mbZt+Vd@yi^*NEZQML~FQDjzflljVsGw)chG8U`rMjZIC+=W$dMf zQ}@ZF5Yh*An$ZjzGW=}%1_=>=oyo8?rXnr`K0AGYW#{6IUFKGHSxCVr0QJy~?NdMAu7Zn4+BXYuKpH){8UIyQVZ)jPI;62m)3p0ovG2!Ysl^jNd;q{=6n|OYB#13tni7BWw#JsDtiO76 zJbAWk(PX}3=B=IZTH_*~82UJWeDD;Cfdb6kd15_trrXD_e~USI%>7kBZh9y&NhX4^ z%^NBOqAhEskd%6EVSdZP8DH;$@9mU2RW1h6#L155r-%7{MpY$ZeptOP+&HCoxF_84 z-i>obI|t{O#b@5Dq95g}i=(3tt&zLsbIp?p@Bl2$(=+$%qVloo1|5OKc=(Wea^$HBtB#-7CzoMd!;K5*~2M$CaGp zZ{%*YT8F<+|9$>{`O*M$@X?!n=dPu9u;btUSX+#K$+qXI9UHz34G4I3U^99k^3Hv6 zdD9r_@Ejuz{44m&(%v_2c5Il}5<~LS;&T2#S}cvuT?Za4 zsJc)7w640a9+*b&9+puo!bKPRe)y}-{bz@&3cBgBb;5wsO7N#`vE!}&P3-gjO1#jC z+dHCnIi<^FDVJNnHY-{Afe;fe04Vm$B)FLXq&imo5Y$vIP(O;D=1j@>nNeB+#Z>v4W@ z`D)}ALHPVDY~?9H}l&%1fA3||~6i7p<$H@etcCPRMgY*RZLdKI0h z9{S8*+xHGA)W|SWI=dflp4BAZvopDY>78$TNc_#6U8cVhDSl7wtO1;QwkbF8Ck*)1 ze6{;LyMsNIH%`X?;dm2TbG)Ip_^8%iJfK}8qcZu_^P`ZvDRyq3p4v1v-GLu{u(g`}!9xJRJI5X*nK^FL(_?P_6s1l84qvw}v*~VrxtX_ZbwQ7$% zh?}ZL=G=eujrO7QRvB9Ct?B9AXm#YD{5R#NUFngO7;YOmMT|%vTI|1N?^p1J`IMb{#|WeoYQ8!-#?Q7y_rH(nR|9Tdj5M2=9;>- z=9-4ecF_V{l)vSlt;D$vz&fLeo{mUox&gd?+Lr#Zp9Q9xIuZb157aCo2qJ{nYB!LQ z<0tR!`?Ss1KXwFt6&Pq}%&_pYhljm>YM_xQ&K zcL~GYJag%R_3E{xTW&9WY;#-xW7>oMALH(co{I>07fAE(`j1bEch9b0QPY4U9S6rkDq9{DFzy%p2++uIVSOn(V{qO<*Mnul9*0}HA4Hq!2hoGMoqN&WAFr1$C3^$VgqiC*%wAG%ANdIXGPQL$$c%T*fvmDb z?Nknv+sU)caH?ebS>;*q78fv_99cHXEl_&Pq#SMT8q{70EGcUeLrf7#4Yd@aVhkvx zJifW4P%Y1IN{RF4{zCq##As2<%b&r&OfIo62MY@3@`Z8o2{Uh=5$6KS#H)VN5`KaH zTwB8)Qkc(!o4r3#J!Gk+SNSEGgH)~r+%NnBf;c-4euO{PmJ)-Cmz_glaN(DfVnvCi zE0l+uNXgFN8T@f@4lS3g)vooD;RKo6I?pGc9t*!1ZtB8wefx_^G7fiHgqsa(4BP;o zCDA&lm2Yhlyq#JM8reFetkJfX%^V`BIT$~S+U8WhT%O;^U#q>iwW$QhE0x5eb{DQp zH?!FbsAQZ>-wll=<^uDh`-_t}{Ic?tmd{_nmJ4fmsF;fbrT z&>c*<)YDoTihDKx?M%E}t22DuqP9gtx|(t)K~3<@QeAuaV#fdSgFFfjYO#(}Ac?%%WVhnrttv>pcSXkM5?J=1P7zxfNf zNBM9+nscA`4dS<}M$(#bA1J`yjRT+{->4lt+9U7ToajNlk+xyYOb&*{Vw7{B5>*|z zUoM`B0QSg_%;cwi5gu~Su8+QQcG24O+SzQfE!z^`)n?YU4=7K5+P3@CooT&Tjw-J| z8(z}@y0LCud!KPJtsDCjf4*ciA}{+&-y)&c!bd5pUo%})PlIA)Kihg76eDhMAF7Gg zl>6zD-+hY1&mN=uKQ{V5KG{6cceGIaGbqJHty<}N--Q0*cw_G4i4NbF^F1=?MNo?7GCf>XKS37Bww~@y2dBfE2Ef8ysvidnckzGU zuB*>}k$nDoGvh{|B$3VOrhqttAUS8 z-hNaD1`Zs_Z&>)#sUC19cLNKx1vF_Izc-WpIaT)?H}0-3Ry*5^$kxa*Z4AiH4S8l~ zbZH30z&*aA{&9RC-E?n){W@?Q{1JA^JzQfluNXBY{U^8Qe~QsFQ@}cUVyJ6ks3Xd} z;u%+bf5X^!X3w~Hy@`)kxEDuGmFxkKy)CefBVp9A~47QAj=PH@>NIK2Km|hbMhD3;%{#o0vbI6 z=gI+qo{DNUX}&!Oe2bxrqE~#EUj%d3qOZTBq)yW~sCE5Nzmv_JC4C$oR{_BULMz~GBolY6YmgD3M#=SX}gs{WiDFl z!%St!cTVxWpX|8#3%bj_GE?Sjiqx`vg{t-g`aQ}Y zHOBXwEjjt0wgJnAlWt?8&zKmR*cV$Hycw;HRyCJSWfM2~HA-`AWBl#>VP+AXDlzU$ z7Im*zi%5|QKK&$E_WaGDCjSxUBK}eS#tD&uVW1d?VXPIlolN>)y2;ab9+Z;@97+G$ zDFkd&eS5a&pYXlSKO29Wk1qlk6Z~rlJBVtI2L2Rl9oj*Iy%l$_16M!oNG<|wAOFtr z1_hkR4tk+=wXAv2JEid^1l;nRn7U=G2jaC4s;`wV?%n%eTeHRYzTp+W(y(fD(DCBD z%1-M^JzOc^Ycv!s$388U=dqc3nA@4uK0F%V>e|kQ&Z^~99jp6mW@BR zY8#W(eGifRg=BV8L+sUfEQhpsVQSMS@}};|HC1uVT03B z`uG1=tZxpaFZk=u&yGLwe=+@=3S)iRbS;q$#`JMYb2ny2v^AOn>oaLYEir_f^)TY9 z0sDGEaKY#?m1~$9Ljt_g4plVf8pd@-)JCLs4vAEOjXQ&xaaOZbMBvF8So5IC(wLx> zLCzH~vN{C&OLYg&hY_%Am3!)7~aQwjbn% z+IbFEeAy+aV&^59g1z)niGq^U;m1~n>=In$)CKw#lc4s(dEHM zCc74uyI&F)gLl8AEamJC1|g&$yknUhYGyBzmzkg3_5H~g?|S60uz2F`bz1r-UExH_ z|rFO>!@$JYR%ihNP?_b})_2I*{_X2fTAkm=;@A5)rg?C~9a@V0bwzFW-+qj|W z@W3w;{~LarEnQ0NttnYb{9=0d&mP|aQy9RC9ZgyR@PlT*2!BYk@87i)yn93Ne8Jt` z;L_YL_HSAjT;9KyRKCl0GN11#X7;>)=c9@D@0`nBLc{5n4vFO-?Vku<5Z4~9+@1I* zsMAO{EqE8(n)Z9&**v%Byz-$pxcq|~TK?|Bx46p!M6C{#qd;q$SjZ2v$;XsAIlNBn zlNS>EemXrbb6aj?Rkewm~E@6@dYZG3$;odtS6jjox zYzS!|D+}_ym8~S+qiw+f@3CI-!odB6FV++`Rm8sBS_C{N)46ri`QmcQD;|z_Dvzp* z1fKOw@J!&G(z+RgmGWxwetDg@FTA4xZ_xs5@>%ld{5tuRJU24k5F0ERR+M)~7Q7dU zm%TvB(Ecaul0&I`<*np9#8=Y{US@FEJ8w5}nCpdT$JnI2*a@AZ&L zCe|3dJkw{HQb?oJR4 z`K(K5Z~Ef%>C+{0r_x!r04Wn6d4<+3Os+bfZnve$tG(0guM`7JC;w4b@QiocT|}+y z!lwivt+2%Qdc*5;HkI~de;&NTP0%)=o*=UrIThW2_lSeCfxv)|bPSELJ^&VZHFk_Iaa zWXK50gcp6lJ3R`(meCr#gu`JXcxVi3nmC&dONUsz!er)H%M-VCnXMvlCcUn z9F}p25P-5O;7g)UYr7^fs)gy-X)+_FI!<7tUVD{ z5eJr>dKjy@rgGVas-{h)Ma03=nof&rl`j42>n(e-*Z%<8NtYOLO~HaP+0Z+9HOFCc znV8bT_f$|1Vbnd)&5{`xUd?JPgk}{!IM7Y`Ovw^bz07JJ=_@t6L=&V5%^Jc4QoH_> zMc~pr0n6WgR95V2>QEnKb{9K&ceA$anXs+%krwNV8YJE`@8UCgn%ol>l{ zTc#XJvu+YE*5nn{m4Vw;NmST2By=kkv4^mOU(b%Z zkjcP{TLcgx$U%oyN3Efw75_X9ab3F zB)BXsfgGh}ifQSBHvvJlW{I*(a3;+xXp>UY9*~W*anuUh4(lwsF^F$k8ey6Qw$uzz zxtpEhvZucFgPH8W#`G~LBL~NPBp@UUuu=5{V_yf6O>s8gg87R?y^^S*kyzv_fJNzn ziq=QP_TnIHOdp}q8x27R*jTce84l~U#}KwrB?WPa6z&dh^jN+<)+mf9;=-+ybq*cMF^${ zw^k_82{V#sRBbM(P;tDA_vu-5zGen^5U(@2#*)(3-$o2coVd{#FSXPIx=Obd$g+Qn zS=}TW`@v$&~C4hkqX1oYP0I5atV}MZj0n_2S`QeAy~3{^7bS#H8$G z(5f(Vv$RMK8W~hsSgclmI_75wEY>*EXVjp6m4nwYgg}%PH8lF%YNpO2OPWV0IV(5! zYm&ndJ!rOCo)bzs#WU8~ae=^_jEq(PZHL|SGy(WKv=tf*?vXmGj2TYj7n`zsi5cX8> z)0$#=MXqJ7T$& z8cioP(h7?|2g^$HeYN*Zm#cL`ObDXYG?9-mh5@8=YSLH`x2b)`=u~QbuW>?r)I1qQ zB+qn52^GvIHAQkhlKD25iELa9=1w(=I>y8MZ9KBTW=y@NGPZ#js*58%A)T_aG*PYM z{@!efrfmupq8z$IWw>^<&416-b+w2_bF?2`yHLWyz)eU;|53|PovxWSRnCtjLl!cx zzrDt(xWep{W*}bIYNjL^Dbz}pj!4lg_bH`)c=~%^fAI4Ie{*Ny1wmY_#gNrH1nb_K zMQ%iOo4k#)zlOOiySqUvx`w>s#=lJQ~EJ0z=(6E6W)>|m1s^6+s&}P zdseWmk`OL6rVWL8vVgL!MHb6G$0Q*4tqm(49+vD$kf`Ohsk(zZsZ&#Qh`t)#8m8}I zRX?LNhUIOI!ZxCrVm_=jQhBxxv&b0`nE#Khw}ES;N*DhpA+7X9$qYjnLV%pfP$m#c zgVas6+RVuWhW93=F74`CBJNVFw@Vkj=yi89!vF)MAebtv-6|AZeC>wPRqwj1q_k4S zc8lo6UVrXwOWo>q*Gr(ab$$6iY3ttK=l}T)pCsfxbDnd~^Kzc&dzc9+n=&A>ZY>L* z2CYDVQ5uWSNl-OiT*E4cRE16sC1^mD-B-Is0wp!5tpIA$u_RBisco8ZRB9+43A=}bWFV95x0|I_D96$Iq)v0g z#(?Mdnwec)quH34?5&@c1IhS2Rg|WMTZa;53wdx=%NR46-g|x(9iaq$UvekWQrlS+ zW=qulc$S1LG_8IMClq!UL8yJQr_;jx`kl!^TCz+GWKvdH{>(PY4;sf;b9uC^T6lp{ zomT#|+}!VzU+l{k48Qfbek?s2i@MCz>6-D@rXuYLb(?FQVp_({R)|_!RQR3JqMU4S z^416G!ih~H9({5`=}(r`LL=KR?4fgqP;DS;N*Vl-q~=w0Gd~mrj|dB4%xulkw%KtU zyg@q33>oJ&y40-o)_CatDkh&7yI&M)){*DLQMz>9%`~km&+uxaxVERTg_axKi@)j_ z=aa@>y4s|~N(D_K5xq$;39`=UGRq9X(Mp~11|>~UGtr2CYmhFA%h*UqwPmE}^Yd#JaS5bbmGokg=;9qc9W>6Drihv9uEb$!VQvkc~^w zQnJ>})zoG^68q}E|NP~<*G3iCd!UTt#+HFL5=+2(AOIi%x|4$BZP4@pq6l8OA2$c% zHR$oGxcGHEK41tE@X_(REL@<%n}EtTFvn0_*Fs%z93-c~1MnIR{s;r;Zz$vgBN1xO z;3Zfiz>K7c=}&t`XvEcR2cGjAtdLW~}x6>1)Gt?W^QeBr|(nfMHlus^$ z>|r~9g9!iDxg!-5R&f!hTijyrahSTAkYk#qBibhjLSLz!4s|*62_nW&ZLZu~&Qy7f zz3JGbB640UCYdCB<)r7eGL)drpi3&}2FIzsTudbNs#{Rg3`{Q-eU^4S@*~kCW zx#0@>1YE%#cuD;7{h@=n2Fz<@+^k3N1|TO8P%I6f2R=wJE??$Khk&wJH#i!afpin} zh!r}<7177PfdB{L$_?~QcrgQ=CsEG&{lQB$e~#V0?dmhjnTv=sE^bOu6Vv0ZBae~I zqL##{p8nt>Yl0pzuT`-|*KY8mpm8P?a80kaP25iY1lnUD(OQ=Qc|>AxnhBdUt%;r2-2JWp>=9fNSuukQ)gp>a7)t3y z#8@9hjy_b*bO%T_Cy?4{z0xBVaf*WEh`I=rmoRh)IOR>GlJ$Luh@W!^|GzqfhyDaT zg4yf-@3VFw`34ve`J}N~JWK-b<&u{(#^!%juqEk)VTirx)GuL02AuFJa+3{`ow7iarR> zUHz8$ufWh3l9a;5Q$Jhy<+V@I-;vv=A#OMG8z(Ql%T&}oQ6@A~iwd@m$zsIv8h^;L zpEPUA3d+&3&=MSExZU^l*qLyt>1fKa^YR`Cx^Z=pSvoEKl{wA0od(`N2wUZ@Ug*;<^kDK<7oBfjxZiw#O-@Bii3!jl9(rm?J_rY4@PzUA=S_eOA zdhxtmJZTmdr%N+7d-x=cxfYTyJ%3ch{H9o&q6*)GzaHaZ#2mWT3r zw0Ck|R+w979Q-ngAJbNF7M7g+16|73{3cwUGUR>XwA6fZNSQ3HOQWZweNRbg7G)QO z=Ia7RpCD#1YUOQzHYdoeRfyM!!q??Ye8i zn+TGgIpJhkOE63id7s{J~tST5Qp zx2%eCZOpK0M{Eit9p5}HId@k$#1&EwiO7ak1CmHf(ayTwiKj&+5Nu{@v%64f$%+nWRKXN`BP&P}5gLnSZpX2zE{ARn0+Y!%15T^5(fB)SVF51qC@ z4|&k6;;$1N;fOlyF6yASKeeh|(7g1JtUk{lE>l&d4@wWHV}GCuk|kZMFek{os)J(T zju~}@Gx*$A9HQs=AxcUUb=LDu7sN7Ojr;e_(Y(5hey?Al^cA-l0-}+dR-#)hEBS`t zWCwMcrzdkc=CmppwtavL)8yW4YOt{K=3=SBR9nF=bYwZ1>x#EsYtGZ!a;?`)`Wf`9 zM^PPUl_H%7F3o^q(qwtR+6jb|L?wHKKYyB0$&W;WQm7+Axqkg*gnL^ZqMxIg5Yx(b z*wzIl?&1DGw~8RO{-Y>Wq;^nsW|~sN{J8W3QPe6Po@*1F_xYV#P*50Znj54MdLHSj z$Ni(0my?-U7WD=tYCH%Q`(fiGs&Z!X!P*})X4e)^QLouEfkHC#d7Y~Q(=vHSwy=V& z3_G}p#4v0=;at^lqhJ0AsF~wkWF;<5!)^5Sa!4HL$ebrV+FbtI$D+~_FZeh#G!08F_)qBAON22ao`8A!0d{kRj zq?3c(JRMAs`mD3SUeZ~wZ*v=DH!0I$k`6BMfeE&fydHy#cWJ^1j1{)tC$~_TU}mV? zdL@Ij3gKSka z_(Xximax>PrBHhJtKd?Q8EmUSQ8>`^V`l!qo8R2_=c`Szgla%srP#qFI%UBkCspx<$-*mm>KNnLY23K_%ZHeO|GvvMXM_v>|^uweX+yp1~jd!NJUmugx@!{U3WP+GbtV9Opu#3N25{iPobT}9*wK}W@lu$06rx&6hc8A41* zXJo{|AhVpG%t6L=ZmMm<<$gXi+7M*ziM%Ye@Vul_%vDFRlnKaIJhrnJiX>an$-l1UG}dbKqOwsZV>&h&UiV8D|-q9J$m8M+}?n*;i! z-g0jmc53qY_wIC zT-$WP{w_3$satE)8TUOcbiZ7etqDb`K)F;&t!i21x?K)s$T;H>`2>$N7(Dos&KuO^pAhF| z@XX{kNuci)?h_538?S4rqEqFqNL^MNNWr>uT(6Prt6)Z;Jjr%{>u3&llFF(c`>iCmZC70TM;Rdb2>@K!=2=wf@byfoWP?NzPHuARrkV zv?A*!uYy#`Imz>GEIZj?uZ3T!q_N9wrnbJ;$(fdEwO6AosHpZ)xi5s-q0%x~Z5CxE z(RzB4u2(Din)$FuvR<6Fzk^bUJ~QUm?PsnR_)4cy75YS0?XXRDFgm1Gh~AHfi@$6sDfC5Tg?2%K1s7$T&c zcVZdQq(0c^mUUVK!Zc|;2_)XtEDRubG^Myu2u2~WY$+ppIU$3SybwN96O~Sy)L9Ao zRZ$%g+~5fZxx|2x@l^0(Cg@gFZJSsqDMsLK5v7DqEoZEPUX!pEgBeVr2tiT%PP$aNbhBrp&D7nO6NF$C9Np#q!~Not2d0{nHC7eZd~vbG@ebm zSVvqvcvvEUWq6VhxhXjaae`$?Z`B+1!R4BP3qf@{c#So0+EtbBh4YHPXOM1TReBJV zmBFoZ6T4s~XOL8bnt@JHd7aa(v+_eiu*E=P;K~5ix316#yF(16OwvT+v$5oz(SV{eQhN6#sQ_Btny)EcG$VScqIe?*#ZZMY?;f97{%@A(jSxH`(S`lA+s$;BmQ}TN1cK?uATr*%gzR_Is>;qN&U{5O z$pHqI9SU2i0g7zlSeELA3DdR4)he!3Aa8(@lf=vp<+ zq_B$1(iMJ^URmd-x1pafwp>-#bpO>Sk=79!Wk8v@1MA!xK@Yeo0LjTq8EvVc59+%B zi@$`TL{`%w&Uia2l?SByAPn6^JBt{9$%zf~wMn0SpA1d_qA#uq-9T8Cjc}4O?~dcy z7$9&Zv_LgWqA^UV*m{=42`XAf15WK`DuJPA#AS>^)VUQWIJ^V_*jj>h7(A4q_yIjc z=*$rBBUPVh39zQo4+Q5ljS+1E zk5*F9ziV-j7_e?J-m6hwB`qG_BCvr=7G=ZWGf+b0)^w|Ybmn)TB+(7P(rZD2NoM(g z5z3JOP!Ee_+jMx)NK)E*604F!?sZ5yYL%3zTDe51Lx#$sS}Led5pG~1QJAL4!h9!W zH>q0%w>X#UGfOb<%ZbQpXw^jG@t?^{D+c8>iX*@uvVtL(!@{EPa-PSBm0%4p7YaLg zjA@>NhZelrRrxkq=26>{<#{>;&7%H7;IY>aBiUQS2weWN6 zOA$d)%aoPEN(#&=;s&Qe1>7S+fan8NO<5u@rO^sxy)LcR3L!m4ZeTEJ7m4%W)x&fu z^Bl7jCQf1*EXFaC)gT5Fus_Hi<|OMFxlC2{RveJvCmSG9h@fcTBD?hxOou8*kvwV= z3@8ZUA~AsDU4nqckR^45(^wZ=UXy4g^_>t4BM6e`ctyT`OUb@BFMjyw)e|IOFN>w3 zoIpqD38<9<-w7T^LmOdC6Tnok#^YMlN=?>TfeOYbkGTn$wt7X@sOPXzVGWd#0Cltk zO22yDvSCeMDRU$UvK9<#aU)D)2CD$0!779DhTo-Y;|y{u(ur;!?kz`~tuAY#Vy;h2 zlQccNeTzis9;INyCWFhNP2fD?$H)x1Oe6?VXC*aQeAbZ)<;4c0H&L(xdlzOQ>u0Ym zR{iYD|9lf(96xk)DM^7ocvPpgC}M*f!DIa%DuIK!&hVxLE8ELx(3&u}T0#^{F9#v= zL_HX6dWAPGQI?VhzO$jeMDPi+jRIvV^oq|UTKNQ%O6kQl1aV&quNP}!hbd;e$y<~L z)Dyi?1YQVKq8X%QuCJU966B<@AE8p7T$&wV+{oaDQ~)$&PSMAGk%e_Qgq3$wq2Q;Hu> zs}80!sLeJ66Wt1w0qUp_QlX~KooMxec8Ry&5@fKjiQ=Qq#~4zH_YI?J(kwdgwVZAM zn_AYba{DI8$5DF_~;%Y;YL}E3;g&)PltVqaZgv-k92^y@R=}O^ujUrIT zwLzqEs-Zmn#H|k}InThu@nbLy>c~yBK|YrW=z#9ppF+Fy2nG`2onak7W}}Jq$f`5) z`fN&X2JZ;ah*B`oiWM?3<7Ud8XcLG;i-1=^?xPYk1Pv0~%7gdF306^&3BvE5kd3g` z4rE#VM$wF!+HD&k;UESYa_IPS^%iMb=Glx{H9M7+DWp;WZp zyjaz)R2@sR)k8_Jf0yn>@=E9;?wQQmT?F341riq|&yDsyjUer`Q#IDdXQ$i~}!+!#m!! zDZ1=vBl(u`H7dD}#&0S42ia0&{;*~|Uu)@DVqcD4r(&#`i!eh^x5U1l`q_8NwIQ@5 zV^X%z!cYN;QKQ(z#pE^XJB8X8``3LeWm!nmob;*edmQ=fN;6_yKV@yaYC0vpXW7NM zZe6ga&rA-avEy_b^Q5Qfom$!evA&}{q;yH}lny;M@zRgT+MMDkF%C5QBE zk#A>BG=}fqtNHCijjHhbnU4AmEZx}ucr`n{gdXF3ayOMB=GSriY!J{j7*OXSz((61o#<~vK;9>IRHzYlTd~x{X=+T_+rwRTdoclV!v}|rKTz$bvCsE9e7q57lI#6%#)kU zit`0g^Wbnnk-EIOuLL+6w>)HA$rqBj+toQbi5sJD%9yUUx7C$p3z3$&@pZ|#_xRhX z7GWL#a+l2&N*i6(>nz|QiX3CRQu2I7IfJWxX?8897Sz%g7;!b5$EDLxkJAy)P{!R; zUCqoTt|Zr7_xrihq%(BnITQVb>M{!l*LTe0Twh-`r1v=ovbhgx2JY|l+Rl=$x9gjJ zKK`%NP3TbnZ_1gejIqF8cWdPKN#ppA(u2O!xlOmP?N8@F6Mv9#$fLbq6QPSTTxl0> zdsb|2pF5}Y<<|b5{J}3R#mOrytDh_Be8m2K!(9D^GlR+F^84(wsnt)*rHlLFlR`9(u04Wyz)p>TOWFNbE?U%^qFc;hPo+#^6qK;2z`njRmAr~(GoklEA5qq z-k29Tn_XMm3>&=x@gZEdMVrwD{Mpga1$^O zUB)5lBFY{56i@W9)LHR0K7Moh?cq?Y zxS*yZpk!qS7NrmPy3DnC(or6r@sG6*wUKAcW36fT*Ty>rDFCR!reD48{@_w=n$c@srB$Rwuug+49Rs*`0=@ySAqME1`v_q9 z5WRdVGRo{y@=_T3tL~9bTPzWGs8r83cmdijX zf-LC5fJPi*70MPO1V0tZCKF3kJ_BzQn^3Q`P1Tj3`CIk>{Ns;nt~JF{tPkG9;||u> z0d^&^L`a~Onn#zh3|(a9X(!)aMk^Ksx%bl)WHQ=RnoAgvAV<*`BpRgz6;R3GF&N>V z?-`d!xp^OJB`M$y`GR(Z8nF6uFvSY!QP}CofHh+g`%IAyrzK#KfdDwIvkedw?0q9c za|9XTX40x75m*qUU8mt-qa^ECC`Hs~3%tPUcO$E+L&zWB+SICd%Uluo;QMX+7Q%)pxA8 zF%;g`yNx1~L?OYVG+EjhIx$B>I~=GyYrVE%z2>xZIW6{y6k3=z#rlPvzBbdX7HvaO z@cclbsm-T(8T`WK5v@{9F%9u#JuI)A(9z9cJ^N2US2#w`bU8L32w4WON zEmgBlM%s`?Fr-<8QO)PsKi=coFDH+;c;*G#4FtQN^p}@vcOM&1p6s=GYHYZs(4n$5 z$s?1glA5f{^j+VGP$SC2DZ+re@S|)-u^c8li<+b4dYceAtKDWT+-FO+&pCbVPSn0G zr$5lr=CXZxQdoaKo$M6Y837CaPT}F>E12_nnGbj(?R__*8Y}h9^c7+6u@9JO zT9_$XwA2;Yp_SKc_@(AS_iki;AC6k;P|+&v%=d(_cs)6pC#hyj z(cRn}+=zugomb~(rBtvn z)S~rt(QS;SSX7;^cCyA015klkI=oy{f{YJ`mO})=J*Y@ZV6S^cgL4 za1#&Tz!C{V2U2eNeQp7y9>6Q)IEh^CfqKl8jdQ zv`W;|*4+{J2)M;GA+5(MfQzc~PQ8M&f=2Kyyiw^XV*mWa5XoLP&Y>JJzE-v#W&#j@ubj2xUUg_IB4j4U&koQBBd4LR0iZq0ll8!$1+1 z3lDcI2LS-meLuH>IeJPcO!4S8?Emia=*F*`tXBoH6pIs@x^XN#DW-`aV4j8*7j99%G5~q zD89o!15`Dk0^@qCtQ3YY6j!UP;FX*40Aw$j<>|DE0;tn|&pbr6;9k%a1J493s?Sok zvXvRPHsYZW(`UoI8`pB>_~SCaMYb$*ZfaPD0`7UK)<#Ww>-2POX?-8iulq_=Yozr| zxX2ME?=^}5F|E;x?UUrl9n2+qKNf4#TL-x+T&mS*IHXdt%R5aaA_q-|9V)YI)uc}o zD$qa&9Vf9Zp^kZYybLizj~-5=mvmC~LGxuP89_SQkcH!2Qg-JGb1C}>p7j=z)|$H> z8DH%BuYW|gU2V!6B%`=OaC>#$&S;v*N%C?L0(EX-0v1;i=$MLNWp^##Y1Y%Q4Pi8u z=Dl1jjB;z?!7el99cS?Wb zcL+O4U^T49cWQYx=}dXWov6nLN$m9EaKTXaqP&wAO$XmzL_4?k%@!Yuy{bkoo?;?< z+D6GsMFrXDgQ1Jz?3*rFc4E`QaJ9N>vEbj-@~mGCNRi=}{{`ho^VmJlHkY*?nmU6P zc7HL%E$-jSy|(`!^b6*@kU!?{&1d%v#wJ$#pWdN8o4Kodt^m)RQZ83y@ny}!u{|%@ zpYj$2Twf0q)IB`US8xAVi>>=&g1cbPS67E$pzj7*A84;%u6Ne-w$O` z%vZZgQ1zj}<+Ajm9ffgZ9Po?vkanm=YC2B!R>!I)>xyA97|E{9^GwQ`KVQ(F#=eB>K*T$;(jd$%-K97$h)z@L2arTp-Qn!#6F=gaON zewuy}>c4yH{Y~~yTARzBO=orjcjCF7D!X`Je)xw~`2$axE9WfeqvRNrKlx)r4&MU* zcQ%XP_Z80C`ot+=zVjE^e_#Mtf$TK}S=pEWxaPvhGgte@QsIUQrpHT%?%@x&&9qI^ zd$!fG-nKDe1#NT|gL+i^%7yJb0@ZytC=k9YJ#HZhPRrTrJ@?lE=>-(`*iq9D9#(I4 zDY>{XvrGswb7(>Pud%7VlFq!LJZei}6MB^@O!h~`{6II(4D3dgKcBQsOe+H(T$}6% z9ln^{Da35uN^08qop)#Udz4-~6@lEKxD937SDec3Re#R>tr1dv`Gc6Br|)K|gP_H4 znetHQPlEzSi$W>w+aY;P0Fndc(zNMsV)7q->LN*{`nFhn!W4>}i1zU9`@Jm>3!o~D zBlJDO{PzlEhFbqE^5B|mrDj#&ya2lVmMQtcy#T>I+2{JA5%=1Psnj`KW2R<>F~{*A z;gD=OLWaoZXzwi?DxlBI3iD-rJMPX-#ZL;%7{#1H^IDy)&6OkFuO|QWLt&Y4{Sg{) znnD(TT#51LZSAK#^PLYsWC;T>YvI$s{P2yWry%xx>0p4%5M?3A8#GtdWJu7H1Upl>6Bl|-% zp~bZG?dy(BP!X1jV78VA6!PAQUG`#M=>1*He>8z91?oJHwE-TGy79${}0hF5_l1W@Fi{Qem`zarLy91wh-2K;HhEeByBlKgM-l=rRJ*~ zYW&hOAsHuXL$14(W-w)sekk1bNceiuC@5&9Fz+~D01}9_PkSQ2o%WFZHZ&WE zZSD~VlMYvW-~2>UHm@eib`hX(v@Tl!M+qw2QHQALWe=uqrdueD)C0PV7NW^2El@Wb zv-J)MV!#P%f}|g?LfJ)Pa2bCcFJ>Sa%dDGpvQ~r&gX^SWST}(ZaB!orSommw_I1z+ znC}%(t~r64gxnq2(rpQ)gRgNJ*V<)r0#*_j!Q}=`yRp^^(efpdYFCOtiEz}oK(g6_ zngH5EFt-9Y7V9=rfI)}E4;65<;AU}v{d=Y;-Y`Q(fe9GFWs;FiO~A+8gqKhRmt-qT zXzfGU0!bzI+!DR;<7dA9=FMyEFhaZSKJ^X^X>@bE1V2Djt7()#M^j3PK2Y(nQ(-5Q ztwm%BO*1vLnt`k|*9%@_HX9jeaC^yyN~F@LgjQNqeHoKm#ECd(D7%(Xk?#e@6Bf96 zshr6~Zc*+=4$8QlurNO{k$3 zsa7)>FUTglKs_(h^mh$@{H5b}mu}j4wc(a}Axmi_E1Q{ZUc7U+r(^XZZ<~5hIo!jv zjkik$KtcTSC|xdmjPkkrPFr5dG#y-hW^Y7^L?eTdlgE0<_aq6r*rfKFq z(?iF5cYL&+zSZ3Q+8-L~GJ7_m^B+$)`zmcc9<_1u*`Cc){B7y$?>_rVv_9wDD*W}_ zPL5|L%7wT1AiJ*YpnuE+Q5ulN=P_^j;!nPb(QZOtkw37%i%KBDW7gV`_GO2bWBJbacJtfcF*eWqxD8*P_>*|AT#tJ2i<2d#YC1pkl;dw}D_!;! z;CYCfY_r1tQh8VY8UF{X+bn-|ng6fbWE=)=kGXRc?8BFtYDNK;ash+H`J=ROT+R|R z&Hxkd5&B@JBLcok9+Q_+)bgI@%=m8rq8-~U?5?XoGdEqdY^NSN+Ut35RU|f2XSzUs ze*31~o=RIA`DN&NzHxF?Z9aQ28u{cPh;nWfzH~0%yBmk<$C#go_IGVR?(DK`D4GCq zPODug%^&8PZQO&KCja`A*CzrQ10|>@hYowxUXQwPDv!=N^)TIZzYM^*JbJUzTbm}==c_6FL>A6J?mMw-!t$l7oX=yR z^02Mxj8wFC{6YJ}%r5@hdAZvox2261$J=x}_{Wx%;t$8`3o5tN7ffx576?ofwQHa8 zqaet$Urm8q_SMvlXzlMVc{^YK=9fQKlxL)37x9h#l#xRYdt_Xbya5!Cf(XL zP*SoX)wo%2kBlA`*iw?|=Kgd7K_IJF#ie=hXm5^~Z%M7l-)q8O zA9)-v9)W;nG*8&goQVtdwwJJcEH$movQ5NG1jaFknI941$y)W2H{K;kK?DJ(mqzkbBdOHxn=gTUxXV}-&_|{Sv z?Bz-Z|2)|=yuBJzzuw$Ghk$yA9=$9HJ@71F*_x{M_|>NDXg7X3tX?^71M00pM1FOYCr)184nKyQ@6 z`D7vcS^y1ZKOG)l2y8s}?)MY3j37GTWyA>t@c|Rx0I?Q+P8B0)nJ5Tzs81M5_f_#i zE;G>LU%?oWFF4^qKE4t|07eG(+}d0vGQi!?iZU^NK13JH<3OVou-;q1VFY-W`w}O}dJY{HCA>;sm8Uy$R%fNtU zjHXk@X@vu`bYK@4cRiR6ScVS)Zy4N#E5D-&@VsmD!xkKm8Dv2#LC}DT2MyR31W|(m zRh~mwoE0wE#SAVOX>G(sgROG2pIV8*#<+bTD6FJ8r9!Im-}s(rE2{|p_?{zk2eP{{moQ23+(etby+vsyAJ1gqM`8K**q|bna1rh|zAIglu4`Sb8Y$vtId-@Eah5TrpGIP@m^MMKM zobvlXz6&FFzc+F>n)@NSvyyEi{XHJQ)O`lDmjC9WrrM+KkIVNkSg*AvFMHpQ@4i_MtdBw8%=d~ z|0@W0Aw1CS#63>2*_Pxw%sqI^R7u_H?o`zCQ#z0}NB4qgLA)g&?*i!-<&dQ;-!y&M-SUx607K_@dmJsT?gfg?-1`_kVsi$Rf!Alk9LLTvj~UF5_fW9x}o zY@R!^6%cJ;#Dqpt^Wq@{ZM6Gzc(i+g{$J7Nt7iYK0MY5WU5-iLiA{0omO!!SLxqvH zqWn;5l|uu==VW`}X(*t1mJG3Rp^hGnC{53PIDXSFKviC94OhRrC5kjKe-<2{@ejx4 z`l}ZYNA`a;pYj z0f(fbZjAnfwCp+kj_5--48QX~I#*r+~zOVQm+upBS@4#R!18Yxxk!g+#HP5qm;>v(^b}zKY2kby+5s0;# zznhy6t$Fa~^TLD4p9{N~4~p=&K6j%EQmxMaEA@-Nf9;`|eh+ngu-yU1&rSzO6Gmxz>wc)f zJaDOY;g)BQt+hy6Cx@TM0#B`y`X@@4$ZnUjgx7~_77CtEiEcwj5-93mq=o#Ru8+Vx| zy#fkkX1m7#C*&#_Lpfy|*X9?cVtOzo8Ha`7JTDcDY#rz_-@#LjGAw#rubPEGhC{=9 zQBmjQ0Bo*9os`ICKTE3UrZ~L+CAwTIromm8xIx5JsD&e5PGK(O3ZgNhQbioyf+s!p z(@>nqFuTY^gu6I9b@N2rZ5JE1mOns}Fpd`T$==h}q7I`?g>tv2G^0lil zK95zs1BsA@sPFX3QvIriM4T^pfqfxRCqnZ z>x_Dvpojeq0_j6`DDf9*CYJ+F2QqL2xC7Wq`W9)L9P&yCmPbaEC!{w?k-1J?GZFN2 zEZwQ`O)>_45TP%|XenwSt0>t>N8Pm9pj1%H<$w`EjxgUR(>>l(7yXYee)(U}Eb&83 zeDq3VU1@NE!yps{Y5HzLfG0Ufx`ko|InGlcpc=u;#8WN}Zg`lG;R1P!O9pj80$#G@ z%Cu;(YLEg-;2ID?B4>qlcS1*U@E(2eT0dCMT#-mTY4?8*0P^_gV7v@|2`L$jde#lk z!S27p{OSY0*_^cY`zT`M@lXAS!k5cV(C1=G51k$B+wFL|f{NY#o3cFb0e^VQb2G1m zzcRn`Q1zjqdHf6hK+*8@ldXVOaQjfhT@zy$?C%bDojft@SSY)Te|upoklSK?PuJqu<8N&BFP`BE(WlKoFxtL^0%@(b{;%bw~o|Eoih@Mnb=wpS1H zv9gcrz}Wzx-a6^ROFP$G1hY7j{%<^D*i#+sk6Ggi{vN4)`Zv z{lovkh2es|9DHVYXnscqaL@Kk&t8OA)Gp$u%gd(sU9j)mUUo>0zhL6RZg6>;)}GoD z*>h?(7I?a}w$VQG?-$hryVTgw0Y80VcYgS%1AyF%44>LEFWvQ0Sl%+$hKc}2#ZY=5-%vb}0o#-XQo0dnszKtOzQ0pDdWjYXfv{@Bqs zB>y6J-~*7!fe(u2*HsVip0`(LhoHrs_<=Z2&t4AAlfUD_@Qwa&8oYyFZ~sx+yTktp ze#>9h4#)ZnNNDo|{Eqk8m43FLu&mCOgW5dde8XQ0y~dp`iGvD{2y{dX)5f}hP$p(_hJ)tdmnJi)#pE4 zly@s-K+7$!Ed_jDUl$8owfRj$&C(Fi@qkBvIj;(v6?obfIG z%^9eYys%hjtfz|B(goRwR*(=Yu6ypy4?CQ<}n8*Vnk z=~f~Dsb3t*C1E=z2vz>OJa8aesM*|EpEHn;I>qUJb#WLt^w{+4GG^KrQDfo9VLcEh zQ`56^YO^$)RYpOkUK+RoG3F_z8kN=$w*b$c%Tc?fRP|-NuPh^6j9~2(F!2Y~I2IGO z&C5pABV}{2{yt;)lE8>hPlI+WE9W}wzJkj$ zi`e}DRE1nQpfm~&y&Epp_^m`tN}l-SCK3jf$M6_zH4pQZ3jY9sj!2|~Fwqc%AQ1rL zyYlZ6mRQb6kaP`)!D zNUK4E8ZSEq+m~V}ozQty1?dt&5xB295_T?j!51K4p;Dmc(krWUPc&9o{&X;!<5=yx)*1D1&N z*&c2{(X8SU2=Tay>&1Nb(Sh;m3uhnfOHeBtcEi1q_!SSj)MbT#KncPDuZnj}0~CqH?~Yppf@I^5Tsc6E!T}{=}1&M(FF*AQ1n)9(;O(ko2SP*?W}e)1DWIj$)=*Je-tQ3`o1shs+ zq9G4S-p-*r=)TIq`Z7{(rf#&* z?BsZHqanIFSILFF#sKe1(Dk@dNb zmAN;#Jh;rzk*)NZQQySmDxqOFuXgdCwPAZouZ>oI1ohM$*DV^Otaf0ZXW=*h^UeLg zyDEbfz6>5YD6*L%#@ivYvyX==Lke0!eWq6;OTfq$?rT|z#cQ-RkV4(bw zm{xRaH8fSK)n01rx?X>~lExNm)!WGSt-fAe5H0n(`X>$uP`3 zXP)Od=RD_p4;gqS)LNuoDVTr-uwaU2hxF?U6=%c)xAQ`4h=4K#d zNIWX@=CKm~vVe?`M|h;$z7&n#B}wi4lsni(1bPWJ+$CSbEaH(A+3kqxXgR^Dw-}Tu zo@*zKX38&js`>e%8kDllQah5Qs3HU8VX3+lFqMPo11c4-hCaWv@%tS z6cy^d8j&2$%fCj~mAzPr_|X*WEE`N5(j1Xf9HO;MA){QGlDWadz9jQ>E3TsFGkOWt zgccEjXQ-vr^>5);R81}wAs>s7mWg(P%ybpJ%k?Wqy3_gdcKI2aFX~EW)#SG2mO&b&g6y>}adKRkq&7^>S_05f$UoYBz>#>WY-QK71!dT6xn0 z?mB@}iT8UXRzHZEn0|zFuD7Md{ltWRG40YFy11@l?z60g=Buq`u3`+HE;*%$4fjUG z7mBHkTqz}(RcT0O!!`@&z|;D1B&IR4e)1k=RNoDBzsV{zY8!nU;2f8W^u+8iBV<}r zK#`FmwhpKW&0XM&Kz1+qlYVuRdgqP;)W$J1Uvf&EdcPV zL_g+N0tTf;vB5NRGqppY7)3}ik*8~^iCvyTnWM!FUbG3B9@N1T2>nr}(;*<)Rjz}o zBDzD_q>-7Fvtg)s44YC&Gp`pV=o+cdJl%zYIy9k~!qbN* zX|YROhQH4MLrNTChX0>c*z++JsZv2_u0oYSF70@w`GvU*AMeZ*(n98<3)>}2KJ z$|kXngCK+Q)5fk(nY=manhvzTw}cO@q*cLpgn{0ct-Fv?zJ}R7x35jM8ON z<%n-0yN=h{p(HW-M`Il|IIcK`|9U-?1~^^l$Uj1bnI7q9+0f*)*Swckbo{L*b(&kE z7U83sVeRlR>1QIENEuNztciA?*t19e;oILmZC=uN-IXUW<7Ss8&CKRN%A84Jr8HYN zOnx0QJHl%+mgo6QDP$jI^}R_n2|%f1VM1C=WoWtseG+Q4C6UNJdQo!TgNOq5Xj0Fx zK|ph=j2yfGs*LC%pGc>%O4|{V#qbQ05%eWUZk2r)3^!1n0)WB+!k1Pp(&y-u3zGGI zuht3y(zUE>V_(;=XQeODmu~-2-2_`&%UuvY8V#JHkCe@;gC-UboL!p@T&(U0;kFan zWB$?ixyi`Wr-+Z$sIT!=9c18XBCI$*V$@!EI?$chHgURv6@;Vm9N~H>x&j=ICB;Ho zK7CYY4ja|qiZRbv`2)?gW$%Fnf3CNMXw0MWO!3L+ni6GCKP}zso1sI6gf!Lg4rA(i zIPV{viBUVUXH2hI$qAvJI?R(Disudz&2cpw9#TqcZ!|NZa&Pwvb6q3)rp$bdvi28s z;+1!Oe1cJrY@|ZH|)qBF1R zjj|?q2FWJOOp0 zcb2e<^=|osp#-Fr=i@0gFSS-2w$o|S>1ohkA)<06{S+s!Llv9l1(ow9ScB3e9aF`q zpN$$7J_8Y`k2AU58rO2mbV;qHER=T2-8USga?wi=V+8v3nMuBrL<%Hhl=+wPO=U_D zRMBY?@hmZ8PQz~z%`)d=@;u_0f+K1zdrh4^O+Vt3I}{ene7f03Hg}l;7o<0y1Ywc$sR7)>MO-SVJ+QnoP zk;)UIEGfifU(N_;Dq2eCFwR4V2-P96*dke#t7IiW?2ecMP|#W7`cyHKeb-ecK5%_g zCE_)esGcG9WPsHuZQW9*l#(ZAdh;pJ9Z(eNF)1BN^ae>MFg$mSb`r7#AXR6UWGHFDXokuHYFTzJ59#Zbd0h{7`5;A^gDkt&V z_l7>mxhtj;MYFSytj-$v_-eytzFYa6%pmL-GrEVRW({T?i;+xgelYDF0Z>#-N|nHe z`vhyDEd0u(fgHkn1%QHx05Ubewlu|&aAOXLUqLMa@kt`)to3fOw$OtR83ELKX(yQ-Nbj8VwQMoUOYg5_qUd~7V6BP@LG z>hDVaSC;wE)i%oKCSGmJCrH--C+4f20~vyeL$eZAd%$WFQP7qtUc_s;Xh>7Z==(>< zVCv546g(P_4ptw@_p*%)^8#*SMs-ccWa)?^WT*@!05!CX9VWT;s4O66cIt!_yLNVq zS!@xbeN4Di9Fqr;QGP>RItHT-SJoLBts=fg@+>iYBR`_tHu`V*V5h43Q(bsW9o4B~ zlfvUnrIt=};$0hBcbT6T!b~;S>fi(0NunuN<&DCVouy6(ZE3Ca-pOf0Hb2`EE8ve4)f56ih3z0ex0JCWu4#1AC)cTmKv{ZD&n)J z`OKn>Uz1{CWz|w7rE@5D(?TwnFl~`CQB7PNq zkk_B7rt+f_`7*~zxag4Zi7t|=bW!zORqt##NCUe83A}(W;`o@Hg8Q(gq_>XvPyeJXb&&k>uzOkU65_RH%oir(ziFP0*b$c+qe8-@+8 zXfY1n8Ea;!lU1mJj7H^FS!aZl2zi)UVJQOwrZ`-7ul+V9FC!qP$BBX&_wclY;r`(_sGN&|7t5G(|HD7NlQAPEpUKgC#1cEBNDVMouqBzhu@A zkL(8wN2;Pp{g0G>iQFscfe(b`(5&D!`>Z>=-vk|b+z>-8*J}aUO-x5~#Gs04M9+H|090iU{EV7xynpjPW4@QF& zxGZp0W*Xr$0+TZoL6g=iQj>Njuphirpk^yM9u~Z_m??m4O_Hyb^I^cWf?I)K#WH$H zAf)&Zk#w(hr|2P1796TP8FcG`TXcfRaS+$n5=}s;!tw#{(x{^-w3VZyK5%_SG;A8@ z8~smGPYKQ z0APe@ET#~VGCcKdTH7dZjiavjanc#6f8kV0I zBASe{{Bd@|X0~N$1V%A5m8e*XL6C53iD^<+f;lBKT&ljwW|aU!H!6eAnkA+IGq{MJ zAbGYF>JBZ+s{~;}<@!Z5C_a^ps*_zd2AttAjo_)CQoO9rrAhUr-W%x)*l}I*|6u@Vu!JN;-0GGm& z`V>{5Y+||qSfDh`B3eXgXbe>Mmq?NpHqwfZkUdaKg;st*-&bpXCZA8@HAe~ zC|H^;!za^xDo4Teho!KDVq1i#GfbdFNzKLuxWAMrVZ)#lBt@rP2P-k7@Ni7}uoQi& z2GH5GkA_BIVD&-G&}Xu0x-`yX5j}?W6Db6xSt^QPiEuQ_CKpK3Cr5o)x|FJiWd|AP zTcTcu(I?$5V;wAw1dPd)Xjs1zP+B$*J{q`8GNX)Hvc@LUp=`h@$zmF{zZy#psWb=| zlQUWDpCA3E7uq(OoqqXhn;7s!g7KOSlOT>v(NfLp3)T&7_!m<-<5xg2#KyNZ( zehgnk!jJ)MDooB!QHvCoNO_lybJ|La`Zj^hh*4j<#Ih;hfM^#HBYKrttEye5U_CCz9x0VqenyJEv#GA^aJ!P;N292bekaQ+xn z`084sSi2~fEmZiYK>Gt(FN|Edbu1mm5{UKCEn#^ZwNyST@F>NnC@q|5TT04ak@HC% zvdCmYEr-^dub<(L-aK&%qmr!dK#BdUujXyjBqrGWT-0?%+6WSs=# z=TaK@-1!)`NHVMXwCv<#x0p<|wR5nv`1T^HJ7nWPY=D~z+jtl-Wz6_1N}r-}z$IpA zpf-^tEFuJ#Z4t={F*?M9FC}wc= zOJvT*rfG(GeG(3qY6z#=;18$RQfVlUWaEw}7u7Nma{9n{SpZak!xOv~ZyK zEpa(9GU(8>alM($0`o4}x~I{(q5Al#x*3jJKF!~wz8GpY3U7?%e2Y3D3066!a~t=23nmp291`Y+5h zR}OaPK3z{72zLgU-$EN^y5R^NOQdo<}BSx~tZ`RbZqb z`wCN_TfyAu*y)*-uP?lwsw|Pvxjxq&bcJYj9yBaN{Sy+MXrkW5Kk^U>b%+ccuMx_K zFw_QlASc>RE!62d>ptmkdRf*#XmnhXD_CZ-w6R$_QWeIjgKQgZ?l0-sGRKECVU8&L;^2a1BVS5T{cN15 zApP$QcyDigmCEysihY*Km+*H>g_nwb2SP zumsgAP35D8-fCU{mn8|#&Iqldz5OG#_zr$6W8OL(I3%^r0<>d4Z7n+fns%<7nZy>I`tLw`jy znR%h@LSO4H?#BMa1IO8gUHK)JhxS!lCjKUtOdrkh*39j4?`opHbO{NaW7V13e?&5vQevoJRt!{j0%CS-{lIJ@A~Nq;j2FbNT`5HP(DT?FgOu-(S-4d;_`g zPQ6gW>6$txXXx?T9sBOJt}BbNg|`r*bHk0>Yu}ufV%`;w>Q*`J) z2>5w9pp|0s$jdQ!3q14kC!67?XAU=oy?G_ShqvgiP-$gFQ+P^wGk?5f!Ld=?5PCU( zmt%|Y%r56H$E~P#Y@9uMz>_z2j6D{bF(>!B?lcf@ipSWC>Ya#q)B7cVvG>kU@*B?r ze3SpuLroQ8@W5q+t^JNrjtbdb$;?t119v5sy0eg0N&q=#6Ze}|}J+tB!dOHy6( z{rXE%J>=mmCmOuujB>7a{Af&>Q9i9b7LJURN1x#*N6=nzOFx502YXjAe&j9erDEb4 zqqpGD3d;boS^C=lQSC23ZX|!-*fcl>Z`|!{!$I*3cQhx~{Yn1ta`<_BsATwAC?2f+ zJ7L-~g%VQf=)o<~3KQ|4C4Uj4hfUO*rSH@^BF~23YMKhiM$T<`=Rq$dI4Qkf(%rF< ze~9~>t5k%96dA@rp|{E-^^X^kbQvXt^au@aX7}w2v2N+)lPw4>IMO#Ht>N1i? zSS1}XQdqwP0_Exq7x)z11PdH!m|f0M5@AphcwZkTX7b**SxK3kyqVu zdm=tSAFEw>paHQ>*Fn*8yGx$}m6udpO9q~V&;=X~@T5f9vJ`X%DpRQz!#GibT1DQd{B8A52L0=kR~rcvS`7+yi_gjpARogJC7zps-g>|VtOmwU9fP1D zmb8?}9TI4@O-}%zlv$*t+Tvo9Z>)%qO1^6Z%kx%|uI8;ShS#H}UJ*gw`V$tK?ge#% zhym<0e-W9YwJlsTg)S^#B}ia3C%S1sZtd=Y?YFgM-Hq-9H> z^AbdB35N-5AV9fGi9E?m7!%T`(zI$|6?T+Uoi+@j(rI%Y!ozqiy|l5DCo+h7rIgSt zl`9K)QiqmuZ2+k@9yBl*a9kjF>sqb`7G;2JV*v800hue>{^#$HYkqju1MmHUFL{JhA9K&~n?9Ra!SzlyzW4C^=)+B0$9aoAVr|gAHdb6m z7o&Z$A@3z4bqyOSErY6r()eE{dLUL{PKIP}*zH|ZFTY+dTkc>=wL2O74Yn!U+Cc?( zxmCL>%l)am76=F@W~j>SN|z?)LYM4vb^&*^K-O|vcaNZzcXHyRc(g&-Qf*fM$pN+? z)T!TMw<^9`Km|s&%6lH4ZVd0#WSWC*(Hn?KTe$ z2d8v02!roky_W2$!;6L2<&I4rb5G^lM=T(aMi9uls)?Su&TI~7R_ixk_Xztdu80c2 zP=e-1G;1HFvoCKbR&LVLkn6?ETD$vcZ%0xnJ0DHxcCNAf8aLRzfz{lsoW)p96jNf0 zaI8Cm`Bl%p5c#|ozM1K56!?t+DfI8coa`|%d zpO)ff{+q7|=vN?4hG{SaM<54Fj%nj#L#TUZ%tiZUZw1e(3t^qI=`+s?`)5oJ`G={P z?)!BgT6r>=W8a`1pObeEKVURPUUcsRik(_yx}Q_`wEUT_Q(R#;TM1u@#sPlFNJUGzm4F#KJ43B4tprKs1BHAmxv_juF7#60ptIWO z4fr=R+BR0(HWr880}>mm%}aKlIk)cRA&xo{d`)?>Mfr&AJnkwMG&`XKy>kR=TCTEF z33?;G5xoyz4a~`jo=wZAw6;DyWmhJZb4sD)v-%+B+&|A^b~g ze^}|hB#IU2`0+w?^5m2D5d43}u6oxi1!&}aW1XjM@P9FF-96)cxgAA@;q zah`zDhj@lF7ZZMJ))WwkK5Eo99umEGKo<#Xp3#`(*m6i&+GsKnafh=8C{aevn5C#Z ziZm4vYK6(+ABV7Q{%?FG)c@P4G_0@v-uhoZNB`|=*Kkn2CCT=s7AaJ+k-gPGH;X>SgZiL z6FVn1k_-UIi%Gg~OC+0beTj;YLe(%BX?(N~Xk$^6&_}Rjgd&Q9i?{IJn;W}Xb6p5# zTWH>44YU_V=9{bl=G!J;2rT`&vFz4p-me+OT59E0A>ZQXU#9rJve;*yNbVB?sw3uK8>JVrVS` zHH}>3{!cms&vw6pa>K>DD+o3I>_h1HwJZIQz4CSbWwDfgQN7(bz&tAyOGBrem!>L+ z;G=hZQ0}g;so=F=yDsw&p$bZfQLl-!bfW6HZs()79a9!|f44t4{J|H8iQwOz4Mz`r zq@4%+&(PZU7D5&5ZEwJE^V3+3|1iOfk1VWeFq426J7$apA3k|zWd8Ke&gIOXMs5=9 z@p~cdd(Ru=ipN^0phWYXtoX1!rY8D-2d2F8=hK0O@J+JzsPl&n4NbwX`AfA80$R8Y z@B@iEc6PR%Jda|#zARk`-MGB7@(0I;+v-CVxaDWM(D>|+N`>*o?!?Hqo@83XO-|=n zg|02`XrL}8oI^Ql5^Yay`nD@+~Fo z_=$uFd9TRGRT?EBai))(f{J_QzfO^-(0!~n60pAG#sU|FxCo@_hy?_~*UBdWDD+D}dltve}M))y2jH)f|3S>4vx6wWQ} zD*Fxe*De5Td^}^xt<}%jXSq4PBX}Y=Ppr}H>|DFE)3th+>n5^tR;F9EeA`=n340x# zpu8>JXPLM{8~9KK)z%7P6dqoeF!ykuZ=(YBT#oMhyjQKKAbWPWba_F)0Znqx4O%|40Qc6Fo_i6RhFTYq3EDJSXzo1mt<_|O-;_xvm&ChhTubHC*3b1G`wjf8%?kR5XJcvnr2 zYdv{=*R&lTy;)8@a`aSj?d1OMZtFK5Aaf?AqibtjN&Q>L3Q!feTg^ZZG84M~r1{)Pf{AFDvjatIiL#PJq|dF#I2J5PSiBW* zBApLw<&f;5HOBv}RNE-rvSx_bvtAimzv~8Fu@-OBPOo5c2jA$Uqim23NDtY&5 z#`Iy}UL%`DUnz7E@7fSjmvW81BrdHHl@OIVqp1~=yfCKup8Y>pT4gDh&bOA<+HUFR ztyM(hLC7zVN<6M!oOX&m#E8w}rw-&QH1Bhj$W{%yO!#ZVq$Vh9NhxUaQhtF+?&D@r zqokB@Z4SvP8u$wiYb`BQ`n)-i0jp_qq?^G0rG2T0^t%9KR!Y@?8bO2%5EMZ3Ra+D! zH1;+@8Oo4viGv(uZB6~+^+kM!P~;5qz)UOVn_zxUvO^&)H>yW-kT(Synso(Rj%W!- zbP30&79&Wv-bK}rMPx`K?7W0jI^7h>B~*ZU$MSdcAal>nl|(5A^j@&40lc=tY3CVs zxY{_!TQ#170|qpUxQHeQlsL(1GqX>IF||?)cjklJV}U)gh#z~IxcOSS3!(Y%|NP&E ztQ)_((u&w@aVuNRFZ}xb>LIrnEvEj4e}_(ew0VE^;5a{B9}lloR#rZwl-oax++?WO z2M!2V<99n;kMc}sq~x(DFUawc)%@mylQ-Z6KdhUOa{!LGKu#7)0+gdY_+fv+oM0~O zvUcQMzR}<5UeVG+^;qV%Zv%__{+I42ADUHaWTnBec87I}z0@YvtP5AP{0y+PY3KTf z=vOGMjNez>_(Z(1kK1(r!G`R@H~O`7Zpm7rg)4qIZ+3cNMX-uGk1yZ@l*2Vm?H{Cu zn0K;vx|ayAf3(S+s;kKgLjOdRBp_% z+_*d3Dc`{L{JQ_{#P90d3F=@+jQC6S5k9n^pLRU9gH9A{`Gv;9D(fZupKhx0gH8T| z^SFB#Sx>#Etyx*Hw_^FkT%;ZSyeh~2&5p()x0d@J{~Z5dxWaT|TF&SyRX~&o(_ZGiXJ!?wX9iMf>$nG3J*?K_oB5ha91qcp6-L3|-nZ3X|(ZXsI z`7e_o)}n{Ee8aWnRku+;tl7w^FR|0qxH3A#NLg#`ON2kw6<6(PX{Y|t?q|QwUVw~F z`FnWjo1fZA#kc-q5!P&7fA%3h*E7f$OcYyt*|_yInx}366XE1*FdM&d1O%Hj=jlG~ zvZ31=9I7E9yHoeOEs=S0ecN&SH~?H)V{4UJ{#V6sxp(Y6aTDr(>OpkKInIxdTIjN@rr`};_{VBvAf{>4RqoESmjI5V~5b5 zdENa~&B(pP`24||(>sIaLfO@UzHvviW*CF!t==2n5`RrR_U3A>Tv^!P*_2BU?OPqE zZr<_9zdCAYjCq0IvG-GUVa;hz<9EwHNKoIEo)|9CK}YSLo}J^TnK^!H-M47dr{Ts^ zx5soB*YqfCZhr{?9KhD@)j~hrc7wa%!F8OxoAT@faZZz=AqqsgGbh4McB85<_?!0LM9~uolS&zDu{X=EPlY))zaqqmX zwHOWVzX84~+Mv50PQJVdGEJP@Nx9bVyXE+WnpreQ1I3Kp(H|JdRx8$=1V-N)d#7wE z%s&F61$+N8Ef>nxSVwShn>1uT{-ti7{OzMBmG!!h?9&@z8gE_ACD!z}{Cn{9O$e<1 z1odEh3twU}jK*IC4Kpd$ytA$a94vomJ<~?eae!iEhyrOS+CDuOiNCU5EjAQRuu-0)jpLTe5-tUV<6l4gKPTE20Ja|E6D`kP_CpRV^1HS z9HI0*Dt>ADkx!z!E{F+G_?J_+n+6@Zj*Uzqz`acMK;3e9o^ooHX*B0>*E{h$kvJQ= z%-5nRdK@SLJz*BeTaP-RLHQWvp>|Wi9aDN)M_g`nPAOc_@R(s!Vc{M!z zooP1Vd`cN2fUXAS=&UI&-qJT4ej^-s&OrcWbQJy^5llD>MaLA=ff6KOr%B`n1lqJ1 z>zzH2q@4wml(9kw4-Feau|OQvI9z}!8w(Bc%K=%<-Un@b%X6ahK+cv&#fzo}#u(Y> z1l(Dy5AbXUVj~O23MCxl77mmX^>EFGVA4u*B*hel3MEePNi-TMnAt=p}$%C$@s1!Iwe2z$CH@JgHdN7G%DRKZOj;!WgyfODg21uevVH$%gMHk>UcX1-1L9Vzg6n!2TnR?2jMPCyKk0_@2(M9F=>crKKf3!Gr!p2?x0JF+zRBbCRTb3FKlz^&E8YeRD2`869^R7%;E zPG@Tc8D`*_?m!+Fqw)NIeHSjKK>-5)0qadi|Gez~HaW4T-C$f5ep)%-iJD#mrEHrkUW&tS`6bT52T7W#m4ka44ykH4agJd!v2(dK)hSoW-*;7+4DL!{*G3N4-_cdxWXQ*8NSY1+o+h;T?od{g5~+RvJ#$;lCi8oRya3# z;@@W4oI{&7&rp}v6j9^dqc2nUIGePQt=dRp7|Jr7n?sk(hwc5*o4)8L5|mVd*m#uK zuH%Xx-7;3Vamy?KkUks*U-wrg7)hwt;A=j5-Tdk6I&U*{ZkVOpsjm&~)VGz%nevzE zQch5vg?T3@{KpSI+;!ngKti;@Y}vgo+n2CH6jKnipZUGNv%+lYeT|F1Tmlp2AOu9S zU$q4Rt`!_IpFH0`uUqe$Sg*U-HT~fO>y?#turl!Ly8VgAPa6`{1D*u+H&2a1AEscD z1_srFw$^g)xngQ+%cVBZH&%h~{d*G>Xc&*qtEIY{SJwAe?vrA47r6Y-I)tQ+^?;M33EqR za?(sHK`p*Zmaz)EV-f$g7+3Ba6Lzv7;uCFy+sKL?n@<$l12fe43E+Ly8;zXTIPpM5 zIVeHD$oD+;zP(|lUz?yp#Y9gu+XEurwtKIGt=+wyITIJ|*=Y~`mM`$H2Qa90-WtudN<(W-&Z`yX zt^{~V;x82|xxfmOt;tyvw4k$8OP&}9v3_Vid9mNv)f&G^*FGDW<3YZ~zXrKJUWa~> zD|K%x_$NTNp~E293N3tbc_7%T=eALe@9%&~vu(s$s!QrazlfHI&qbV1&G)nvzGw}A z9t8T($$od~+7@N!+1Ap+hl1Og+vH|EDnvq78;G^>g3x*9U=8$J_Da76 z)*bZS1(0it<682ea2Nlh$kfazHIcz>ny<{xp&g$^3R_M^vrk;@w{o)If_LfmKIxMA zf7JI|8bbXt4X$1)lp?`2IoEM}0x|Zkz0`4|h%1Tx_R09|!H*;Oy^@SDC~#a+Uys7v^E_JnnV&Rtq~58wIv zboHu&$PREk!I}^t#i;n&yBRc~Nk(dMO21YrA=WWzq>!azscv z5z2UYggB3{jbO(i^2Abb zG!dZ}lq?3(o|_wQT?vBd@FOp*UCfAgFl|qe6#*))3V7BM<2x5VnA_8zGBJfLM+vW zK?tG`Ua(|-=-wh&i|NlFZ=S;O>$t`)H zxQJm;5`wnuHx&)5mN}mI1i4!QAaru}iqZc7Nqf!BwgXrp3QZ*$|6Wt>-wiF+k3Vbt6?FeIOb`d}b zEF^_iU%4f#ZCKE^K0(7eneQ4A8@7!zvn)mn79aS#cn^fdOP)Sipd|)NDoGG=Z50K6 zOuyP^OwschPmCYJQuGvsUE@gIpbGj_Mub04qcoYFESAB50>VMFC(E!l*)Rx8M({7$l%_Nv7J_UZ*a=&TsK=ao z41NoeI%qw#B5Y|sI2HpoP^u48;SGa&+&&DGx#Y)b8jv>#0Crs6lIMa17#E|zvOA4{ z0?u%PR2vj_OSNGb6q-#d?0P*>@%$SH;iu$w5j}M5W!LrtWgWALzz}(0W=|saT%9u! z*ykv$b%1)jq51z&;O(da>q*BdNPwHoi+2K`%>wqVp#jxMV+VXmIZR(YfJc0F%u(-)WkhcfqEY;GD&7Kl^54Q~USdOcn=TzY3@=9yo9Zv32H>0pF2WJtxni&uv;59i*m&*j zzx1sq`u%ewz9DQj^T-i>JUJPvyGGHXUBE}uJzfytn7X0N#pq;_lHU%#Q zUv?!SXO0HvW>{S!{fViUsXI;g0efwdhn9B`@RyxBK%F^*?R)+X$L{%ia|3yIV$WeX zRz6&An!P)5_Bozk*nK!gE*z_f1)<%K^&f+^st?H*0ZwK)0Lbg|dlz~t%I}8)K#u00 zP|bl?&kiL4bRTB_!i_sHPaZb=V||I(pB&` zAGgA!=WHO9BWg$*VgNO5bUR!xRXxUk37=l3>-j>3NrJk-s}0Pvdp|U}0Wi9f$OSWO zF!|Y+U=}1l2e!2dpl3vEctM3#O*o)}BtcDlHR&-Ul}$a4jixKqvmr48hAOI2Oz`=f zBYA?>SCGFdVHrRJV5bZ@1K{j$pm-?XsXaAqn&Wd~R0oPYn>3aIKn|atVHOmFlKf~K z*y9~2HqwFa-w2B_hui>pb2#DYCwJEa<+ec)J5X&?Zuqc~3a>zF16ZnSz`I+a+ue0M| z-VPXZAwmU~so}#=r!y2Ta(jLu$a&+UT}%)wOcmVnA6qnk-+py)Pt*T0#b9Zy{L9pm z$z!Ps?Mprfd|Gns!(dDjlcN~SIwB^rsjv&C3W#%0zNh$-BO;836ih`t#Lp*pLZTR? zsKa)ZGX-Y=5*n#MC_fDF7NJunQyWdl4xWC;RI3v zW~QN(G}6~7>C@mOe#(Vlq{UKg5Xw(;Q9YNDm%OnIJ>*NkwFra+Fv^GqBUs3Eg3JaM zOTpP+P-rPRGTiMVLd5_X*4s*pkQ@nBFEe0-#^BCzEJY8XGT>;Yu-OPp^I?z*CuV(i zeO!CjkI(3_U$$#3RT$=lU=ccK;b__BRZHAznndd;1l;gc-qy(VyXyo@I-{n6pFveD zwmqt!Q`u3;)=2qU8OR9ZkP-!`o4|22=4CZfKw&i6RFU1PP(%kO3)e!JvI2D{n`~_( z9Wv0mg`lyHMw|F2SsNnBbg>aas%1l2|tk}Rm2+!VnXpX zibX>2DpX-o8fC?;$6-}knb6=uN98bo0&!sqz&>_44f6SP6eF*FJEB?4v!NA~hpgfZ zs<)oVhAu&6AX@IHj#6?8S;cpe5OpD7@THF3F~su}pY$776ee;QHje zNn>f0CXLm=X9%7PcuQ`e0cH3W!RL%Vr7F3P1_~$vQ}hz#17YbiRXB#juwPL&_z<=x zI+>!H{0?!+r&NWr!6Xd7DkQ;cDUc$XD(I8v!7-?@4hO)=e%Vre@ExG+2>p*E+xvch z?QY+d{aKV-cs-w_HO)M32_p%|UpqqMMzd z48%uGa>^;XEl4+sP-CyhF~V8-jSxagF5|`JM%L;sp45>ppL8lOo9l|H!#Ak?EGdrD z!CEELt1yxQWV#+T{=c-neS90$nKnF(TvB=*eJ=I(byh4PJ)C;1!dcrqp>}9oCG-r zOiC#hCN&@ZurWO8$L?yzV|(m4A2tRIi{-4-hfH7wgc@un>8+x-YN zY<9QZZPL&N3fuQOl9P6y_xHa4z54wU$&x&tx#l|eeckuD&wb`!Co3G`sUEU$UuULc z==sU~vnweLh$)o&6*w12k~ z$`PvUzga&%n;h?uO!tB!XPfyYo@Oe{w`j3*!Q8}etCW?qp7dJU?c+}-$cZ7;F)TID z>_c@{@Y?6ysi|O26+In|#mq?i;Odz!WxpV+F?k_;r_m?mgrS9G?TI)7iS5R)x=48! z)%mrjw5%d4{iv2O4DDfFy?!&#w^x!}h1c6w|Jaa{Y4XM-4{ge7yNWewK5Wmo^V;v} zhDVO9_^($A5UwCtG}G81_0x%oJG#@0UTGznA2#gLk?!&Se$*kP1K1vNyPA-E?IhA9 z32$k!Z5r9~wi~@~xA2y_8qFc8?M2gp1Tlyi3%ROKz>24cHrU+lNGF;HT(47~v2T#X zv51_!uPSn1%rKLKPUQlhcE-z$>_MWI7{#XKzpqh31DHFConmKJ_C1;4#S!}ets;T+ zdAt6t-gT4(1L6pse)+ud)9GFNO) z8e)yH&}8p*yKFt1R#&@{72s#nNh4!4TCn;#dwZ-!s+{TP&sN6MB^^>=v#aUiL-I`U zqP=A>_v?>6eZjc80G5APjpX>7M4x@1k_qrFfgGpFo{UN2tDAE>%OW-)>OtVQxB2yNMwGWPFup21PHR z_d4iMC7V}d>iAR*8B8`#QO9~MBI)z;V67!A(Nv?;4|8s+*a?*DQqtNAMZ`kH4vinS zRvrsDW=)URZ(G!=^z}3ow(e~;>5z$)5Ua{8Zj2KHH(YFupBIm`d2=st5O*QB1DNK4sq9_9HLLSyAq zp6Fn$`OG$Dtnt?E?dE|u++e5Wsbt*Yi=-!-s!y~!&kXVJ80E(l>IvRJz0bBO-|N*= zXFPUNt?eEWZnY9q`;Euk)8nViUD%uS%xm|<^=aZ+M~q)Z#D=Cl-i`C}84KBT`}X6? zhO_3P)h+7rA3m1cG2LCsN6p~OT6N(WNxe3GhPEFu_9SX=y|=Y9XtpmjyTwB;9SFtm zokvf6yLXIaYibwD#b?5XQ#Q4?P3m|4)$0|9gn60Xqjwzot9L)x|Epg-x{PT6eG;-> zkhBqc{S6B$X;Gz8N`e)gO0He=Uu(ic!o3rjJ1V-Om=w@A%^%jV6`j>#ne}QX zNqoJ?llVx2^nnjGT8V?EXqqkXnoKo5DQa!b4X!8mJEi8yp%RN`s?9R&e^;bBevnHTK;|gwEP`&pHnc(8S*Z;yIvm%Q)s5P(6LC)NXqxMKnxxD$)#7GT1#E!+-VMK> zOs)Ae6Oruk0G()ZPMO*5?g-K81+7V4iaFQlb4hKgkteA}`UtICqTjLXx5SR|`BSi~ z?%YV#m()*gc6skvb%{uG!EC5}Y0K)w{gu%Zl{b%>hp*{+z1?*C)%jPfKJTIQ?k35I zT2GVev-dwxeVencyVj1TPdQr;XpMq+)Ixhd1>o6YhVEHveRm|YS?gVkM(xuTu~d!tnAKc9AmtL~*CpX9 zWj)_2ta#{$$10~fX|Q{H-RxCG@w=riXhK zcfUHqtF_7byDY1;yulR(?8!OXXWFsNbGrGPSD(6fe$9n{EWpiaUU!hrXliiM*3#ef zCK@r%u}!mcbFH(QM7W+7tx@Z`gXYGS*-!#Ax`el`) zR(HL$kQ$sLUFz38F*i!OtEFwRdN1>W)8p_mamZ16-;fton%xQ8RUl2@RxkAIbfh&0 z;>+OmR;gFsGZ>;(OJ3v#MLFih?-+1rgI&g0mwH;gW<#eVHSAheTW0W7lY%Z2}oyZLKEVT26X;j(4vfsm|5%KbMT|!MNG!DnaunQ^bykjt*=^ zyy`@Ho_4jrZ9Zw=uwi(bq#kNo&=(Tntg~4QJDzFRKAO6(BQW7Ul$-w^t#0 z5MPb89*?$nL~(pE7$2RATUU3N^Gg#&W@+E`XqRSa#FG;hiqs~J2h!YZlX*e4duCD6 z+_n1^L+CS;v6MQ|9`8isl=qfKW)Hphyk0py0Mw`K&pwernuc@5(DV8c9 zYYg-lcMw{Seu|U!7%g#1ogUdBIh4vNiaK1TRQY=AykXM4C!%_loUem|E9J_k9g6i`@=BBYD(%u;bV5mUSOt%X z&nm50(>&juj!#RaiLE+OO%}?3LVfluJH#C{TM?P21CFLxX@7;w&!^FrTUAyY>Kspb z85cuW&#KXM7x`Q!EWO^uqsy#rE3_+T$mg&7(|?sOeD_e{`irq@-B?t*=>OD46I0s+ zZ2sD!=u_iTXntFl(8@2FHH))qb|bxt?4&hC)z4S7uQCYz=dOCIcS@^_JP_f8t#@mG zH|4y&iSsX|Y3=SN!EuCvovla57e;zS>OHjUjCJkZr<0-fh-qo-(Wly<)4QBE+WiVT zl07`M{zMN6n6Pr1|Zh3$^W(pHr~#%T+FTcaV<3quE-`Sv{Y!g!T?^ zP$(hZ2F!Wwuw}D4k0X1l7 zrD~jntWtCUK?=a}8H3}2wJxa^lLzKE5UJGKt=d&HXhKN*M%wVAhY&xvSJ>{pn*LaN+T-I%$(cGMeC z=W6R+McMoHMYUMHN$$2r#u}whgs`G5*`7&+! z26a-gghlC$b9cy#k7tDw#)~FhXNMuWrGP8RD~0YF^>w34>YH`@8|JPOo}XXTa_^FN zGO3gz@gf>})sNQ)4!M%Iml&O9HBTqn zoo>+xVPG!e?2xc=y5JXYz15Q}-##lw`KF}bHiOc(9zFkP~swE3Uh~6Bv zUENhZt#pq_vOSr0Xka~Gtu;l{zSq{jx=E@e@a$Lw`q&8HU>G5FIEKIEl{t+>oP2uR z^&8J5mUtot!c?7TlcbZnKj^DaokWq+MTWJNR3SG@HO6#sHh4M}z41XZua0_wT?&a| zbPr>5mlK1+oY$ztcUWXQpY{#)CN)ncoF}5Cin!+FOMf0)v*W=6-8dfoL&QME%z)PO zv^p;r%~OJ82^HrZOGY=BwqDhEnxA(}5)@301`>)t&57uXbfqi%M7hWiOZCaL&12wJ zDT1RI_)WM=kow2%J)4SECL_^50F%+zKV23{OO_F0NI5lJ0TcCed#d<$w`h zhoTpJKUzKoIc?lSCS`|9{M7tbFOFTD~ zl{p`!LCiPR@Fhs6&QVu40!pyN^yz*;Epw$auW+SdN;Qr%sYg1~K7Qx$zf(p5 zaF-tb9hQvOIUSA8j5JjwNy#aaYzTf=)zHr>7)E+b5zq}3SzNB#Z>6c6YLG^^gQSsKmIEsMtY%;? zun8tscc~Sy`LvBbL2dlBKBsdPXxNgPm9dFIAZ>`agWT+(hU}XdZNxGKPW5}lIPmXZ zxah37{a5U-;W1iyR0U8GL*!9H&xQS>p?7#lQey5fs|N%=h_*8m!H5n>6r_7OZNR{l zkh!2#Z1&+#44}zfsVs?ByjtimjHflxR=9?wB2aFB2mc< z*7$<4T3Z4?v5mMpBuyKl0V+qEp2YJM@Tbfme`S2$qCd0p*JbwDLZ=X8RyGr<}DFbxFf z1k-k8x-y5anOq<}invjOwBgP=N;Y^}!A4Gj8z`raaX^gt9hi!z=TupnN(baJt4|dB zDCc(3G>+iWfGC%t^I1Simq1x5H~Lk9_bL>0?CTi9uLtT?+#F095K}2aSc-vebwQ~- zt@+YLLSW}}?|-{;4Y|~nY*n)vyh#w|Y;@r*R{Wc0^+{M06>cr37uiz4dD62HNhor4 zl&1M1pM%7E9~)h^=kkswYh^}v(6))Ep&1p|;C9KPXuPWXWG*1X6yUsH1r0;0@P_Pu z{Ki1q?A~r*;lHV-35Qg=Uyge>avjKeIcYnfAbUKU9-A|a^_D9thtM-z_e+l6J%lSN zanxtL1H9~6Q+kJ+4)DYYuD1Hy*HcGK${OVVD^my1Mbt0JVgx&&Cpvl$Q4gHP{QBK@ z{o|Ss-esuZL1-ZDyCWv)hQ`rY8Y6B@v&&o?or`ofB|$kWrBWH4(kRm7r%aBU7)D<> zGneMN4&V$UB1V+$lT9UwiDiSNeK>~N-)R-ib5aS`6mr-p%rHySR=0MCI)Ghj46aA0 zaaCoN;k3EvQCP!!oLZ!C5n`py0Ivk+Nt$gl1G{8!tJ~1mf{X&-x6UMGQBU?pI3dvFUyD+vrqyA>ar!NRKUV@m6qc%s8K*J1B8qFJ#k~fTu zf`uTeicWsA&Bcg}eg`-|%pPEqo({Q;c3?z{Wmsb}ynGa$J4&;rm1ZD=|A26nKc_fo4;5TJZOI1Cv&U4M%*(~ zYheaKm*PR{*EvqKYyHwI95=6OsyUA)k#fi{FUWq@j8q5;hNghXeox2d4rLN>=KPf^ zj}TodZ5C9!7y}9hP4cHxo+hRxZneeX{M~ut=oo37R-$$)X(1zkLW(~z>&N|9#Zaj* zbBF&CJ{jzt)6u3**5=Y;^)QkA*F!xyCCxRJ)D19rr@(Vh(Q{(86|xk!<+Fv_^udXZC$r&?kwR!lNfy*G%d z8^uTT2MrY+?)(acP$8IlHMkNpkgiqc6wA;{gC2HE;yEso?xwQp1#aY&n8r`4K~pJB z!_)@MazfZZmlUpuDt*JJS`%V*_?~yKrHqMXYYf zJYn50{Y>S1Dy}-MYOifCzis^5xv-EKbf*rYAN1bHO|Bq(iq2=(#;$)`&9#d2VeO3{ zTqk#@x9El71taQ$=QcG=UQIBVOMvH zG5F(u5lV;t;~BZjjD&kH&|&q%o9YCul8@~at^L(g2YK_HmY}mWnrFOP=ae@i$#$^Z zc)PMgsjt|kW?QN@KXwiO_cwn@@#~qeN=hre=5tlgP5scTOGRqM*88RCiNtlA1h;(X zcW6*D;?yZ&@Az~>U&|@&oV!ohBRLblv>df!S0z@W$3m0$K5q2e6D<|nj6otqw#1LR&klzU#J8z4>xb8>_f=}7Uv;fDk@FYtx%#({rkC%*JN7{6%%h@bK0G3* zE%v_o!G>)2eW$m!lfJV@?^DfdKdlVk^U_+ODirAqy1>jnp)LeDlQTc=Pwt^gHG=JFC3wU#uRSzNdme{rOn9tAFMLH7s^V zK2zSi_0RZ6RkcE$Kjoz#Zsr%VUZqB_`Kr0q9g*hNme-xB+w?cPhN{OJmb!1=L=$J; zj7CS>wKwCd+xmu|7^!UN=@!*3Ik9i(!4N4q`nD5kq^X;=JI9<k*gm(Je9MX+@Yb$?0$Ttujf?ix+mu8 z$&t$2GsuwTY)fB)#hs$%h*DTK)Df+d4izL4Vkm zc&tSI7vcEB6GK9)b!PIqmmKNJ_JlH5uAL|Lz0G$T1DmgFOr`#GDm7joulVZ4nuhMk zI(yKaEw^(mV!IQ4QVBb~kBY*^eLLrbj^iV@Hrqy1>eQCrM}Ph6U!C7~N8zTdGIt3d z(1V@oG5dV-rCIG|b@oT~)&C36OWxv7=Qefhv?doHpW8%tSnIH1(`ofxdy+kUtK(?H zT)la;w=;P`#RpB3txK{g`TI?x5nl+c5J8Hy?xF` zT6q3A-ATpU_KkgH-jvB^)SI&S)g_lSLz-t?0DLT|O&xg|H3+o#sh z58KAAneF11oVMi7e0u7WCdrM}{Nz&?=mtwT+VzuL@uF8eDqZY)%B;9xw`v!=zD9Ri z;-YoY`dXsJ-mapB*M)Giecog*Ha1V3H%Ho@q7P$#C~F{dq5fw6XzzoWV?Vyg?^9l? zJbbL;Vsc;fCE@Tf@#4h(7<xD zC9vmHWKo&k59hY1{8aj}MHiL-tNK05eNow;`CjMj+?JYs@3gf|4()n}W?v*DUDBr; zHj%^0q4SwdOe$OsRyTtGRJ=2klolE`iTX(X?u|LqI(MGs;f;jsE%9huB2d7 zO_x(qKPCI+T@4P`6*gSkN48V66C(-fc!mUQrxJxdOQe1F>0;?DwH_h$)@-7=R7b6< z$_><8St8F0)(uo7ZzYgKl2Yo|2wIkxAa!V5;g-7#;=CO^s(F4P;Vltw1rj<^Z9pB> znrC$3$SnHw3`V7})+uLYX*QxLny5X&^TuLTk0+6^))9m-=)6io4`B2}t4RlleA?iq zyQJ<>tJwMQ&i~B3eC1d2q7?}F5#2)ELFD71u>aV@uXDaME1c5&rjDPX%SAtx@hZUn z<50+g&p0TWKpL-DO^aX8f3#BJd@>i4{VYHTxG4E?ISU8E!>{8%_JE?n1JXC6=U=fy zv2)<2bk6;7&xQ{VuX(s?`4co9!7?OCASlFhNdVI~OIXY7t-^YqMYgJ%y=$RYJbM=# zXu7D4KH?%1fu3!9DYt~3sNF`2(w}^n6f6^WzDn&9f?B;Lf|4v8dL6=!t~$2?p~RK$ zW-5)lQhYnvAMy0P6l*%&GcMP&nTFi6)Q7jR63U5THBpNz+X{}2zs_ML)d3nJ!YaJ0 zRZD)f?zl)<-PZw%gQI5(4t~VtE>i4)?HVA)qU7dC0>?h$IxA>7!LH0)inZHWB0lUD zi0)E$l&^3G{vVfX2fOO1IPCgR-H%gp<5=;%PtuW}C%rhMD=Ajd(Hzkk22Pd_=WFuV z32`@x@Y`PBARH!U+@Rj8c+Wd5r(Lse&k+ikv!{yqnq%ZC>w=ZL#vc%vlu z>KAG3Kq7?Xc3Av7DOc_~v5k_o!J{i^2qpYyDR{buct1lc8-AnIrUB&WT2EP@lT*@A zXsH|bYL&FTyJZ+Xe!A{Bo9b2a$6DAFL22D$Joa%3&C2ASPf7+dcNfTdcLVWq1Ui2TogB z>W+VocpD{sukuX?TU-x>dpGAXAj=q(A93xyfMA1UFbvjE9vI{Z@chpB%t#K!czd(t zLn=0t;$;k`w|DRS$8*1b?4LhM{^c^k|9^Z!?EC-qIGgz}^TjiJE`KF&2UsSz9iJk^D3}6Nt1W-(#~1J^Vhcpz>{A0z_|)Tk>AVpL z;0uLMnZ3cM$U5Nf;8WNW4xb{>7P(`$ynXGOU%rcPut&U^5-%Fmvbql~PYecXrL+PWuQqP212`326h-e@B(T3RYE{!*C@$8Z^Z!!378PMYd*e zRb2{k+L08TQ*^CF@sj&3q|YpVl%mw5L^0wa7px+N8VJ!;u+a`!S#2aUAm=&BP}r+$h2C2>sYEK~(5`IT7Nfh|Hj^&X&7&O2m0Oexb%mNs}~a z5PyxM0a=2wT459!B%h9n0e?_M(ZAO%$6xvN@4x?G<(l|^6rkYZI-n5fIPvpAT4cSU z9EjASB?RYy<|6iAq3!ThO1WBs#2iDTmsVlhSV6IKG5~SVqmd2(53z(Oynq#be5yjq zxebFBT0@ZHDom%L2dp#;pNI-LWv)h?$|K_GbF$s97EPR`$uTo33WmBK2FJf31Q9_k zMU=gkif;7MuPNq4KRhRpM#NU)=&=)}|--d~P{jpzUxqPQC(H-9_2>MyP? zK;w7RdbdZ`vM4a+RbZ2o-xkO;MgD-!pjnn`iqa}4LCUlu3&8l1m(c=pR4{bG$E#Zy zOMuFP!G}GAu_VyQNaApDA)!j@ygg3K3Ye%@ndmgrCQ5$fewCt$xf-d6aYU>o*v=3f zvD49D4ATnLPAY*yc0V}MFGYDE;w8)lQ&Vad#Pg^UPLNogDwi6xmYl)o1SKRc@2?UI zLp~#&iLc zBBz8*H&a#1V*eUUlayQjF(p;yV(`(ZI6_9JVrsWej$^MWH;)Tq-D!jI#bwY~1m(AX zAWTPmpPzOGY zFp36%#=#geKvV&Yxr7loLjVySiu?7Bjaq_y)mlT8q#I@!h22s^sU@exC@&j^8x2P4 z#a>-|rk03Gk!x55kPfAIRO`W8h)5rUJB_AFu$q)8xKPOoQ<40LEiA?3}Di!JadJ$B=74{ps<_LeIh=xM zB$VVh<%O6Wal`IR9;@;c43AJw)cXZnEpl-^eudh$gE<7$|27z6G9672#;Gzx3DleZ zYurf(<_ROM7S^YTt(=n5MdQwUQycztVNJ*H3qWz2veE@zaPSa~=8aI89lUcej~1zP z`=!ScdAPtUzL%zBUW-ualDG1J<&-e?o11iG;|RUPthpNWz6?2hN)(wXnlN;t^o=rG zl*uzoW~PYz$f8k+D8LKELO&iJw&*|#m1O{oam#D8uL){%>Usm%;6&#y>DlXXzzoxuuRJjFS@D z?NGUtKS)h{h%+#S21m*>>l%6lm2j|7R}iP#LAU-qv4SZWb_UZ~2X`M+|!AKEEFut(q<2!Ht_L_gVeHkr5q0?qFBNzUT znv%*bFWx5Py(TQyC2kR8__ECcW>G9?(J>g^TB@j`6IIo#kPULxSOX8#<`6y*Y|%{& zm(ImTMcgK%rw*12U*-3iv>L6gT;P@;k&aM+trWA`1eX2T1W7u&FOI%5_X9zU_kyvjFw{}46Z4nVK6Y`HO&|%wPK{D zB*+m{46AwTRYLj{i=H<9k=90rS|60FBJ#>r4h#%9reFoB^IyGR`}r6D?otVR922T+ z{1Fgc98$0^WA;iOY>Z--F&8#UFyOU>>o73{qWP^B@azdagX}vyykAdF>=4@t9mvE4Ho4M6==EC<5Ft*1kBegGxWt+G8xR1 zjLVfXIW-RXmeQjY(^@fHGt)}BaL!8!j(WoFQzSRatFqyvwInl0qG31b!e|x2IgmKs z^Q(@h3c_8`OXZXxUKSa%^n!xY34(iqNdycMl;tzgw9qURdCqr#d~xo7zu$PMz^bBQ zzw{7MH5j^Rumb`ztDO-rstLAwp*$)@CJ{Uqz=0cN=xlZ2B!x4IkC`iykUjgl4oknBX6DqBu=GTVAV_2ROGyV_lBnUd(UmhV*NMD&K z7^|d5r-#)d(yC#$2$5=$yUSHxA#p~fWsHa!^w*M6v^UlyX1s#1>%BW1VN9WjTu_=c zS>6o^1(dKCE9s;VQLP%YR>K5b3c?e5ij~9i$Zw~$c)3nJsA@Bio<(`z1STONWM z69_$WX^k`s=dlZ#Vcf4&!RtauMT4netkD$x1tR5Lp}z{T0qqGBHK}t|0%dld#{gY0 z5XO#Y%M+PkpaE7#b9ySNrbaE=&VuGVj|^o_y~>mm;1LjjwTd=L*CG4@OY>e(P~Zg( zEf9?Ihy*2<%+;!5RB|Axa$(YymsGj4l*}+Lofm3ArgF?v87^wPzK%@0m~>%BiV)*U zbLFm*%8RNJNKF6r!m5%&Sb1CSo;v?uubdZu zRY1unr>dq*I5)@xXv9UMJ^kFy)DE}P?wpui!?#3KPW6V0-3zAjX@<>BgND$!zykJ$OTup z7xlX&77bcgx)^YAGpJxqeCe{0f;Yr&fe7iK8u)_DQlxZ2W|`|#1*;Cb3szl&)dun< zktMHC<>`gVhhKCPLjaF+mA%b1~#&8XK(&&O(9)8%Li<(5}iuDJHY*YjK?7 z_-r{WTjY`m15Btm=$4EIbT^rXmE)M@X(0_#>;Urt%$=zsG+3T?xG~5!zzswx6v$+H zwmfWI=G`0=cwUJivkK;E4wM+8Ugx9#`oih{D{lJYvV~LBdRRCxFR#R^#F%#i7IdBK zz&dhyDaMEg_%2do*=;f*_6IB1szucr2{QkplAw8)kQe%$dD<~8X2a{kCtS95#}Gx5 zWm^X|MkK-j%v-xwEmBai4$Vm z>6W*z{b}c_=qm*PS4q&863B+moYE{GOdN4z2hdHVJ(9Z08zjB0xLXHkwQ6A`&>YL0 zmN@|jCT$$)39EFFW{A;Dya9p(m8XiUp#M|;QRKLB@uzs-NvUf+x`r)z=#JvbnIVZ3 zjapG-*wu^+>K+!3iz4b<@}g`P4mLk#q@+<{qB)h^R|Qz1)w(O)j2l9-3l8QQNkdu| z*{xoTVkX$EN@~~&D5Mn8Vhv>MVPdRRRFLqBBC@jkP+(4L2{K%$FvTU3y_ng?IG*L( zqA5%ctAnrzvqBxlv8|HTgys_%D_lpcbW_40g|VD;f+cRB>uaROgsQfR0hTRi z0Tr?VgY=AoU=>iV#7G2`fz88mW>n0I4M1{*^_q4G%4*wMB^krU3Kp<;DHDS%t`a7& zQ($ojR<8gfSt(4kq>O!4kjhAgRl*a+kq*Pb7>*%gFJOar$S&80YhXwU>NKRutRM@; zntKDSQQ`@5n}@ej*sNTwhdo>(uuP*-LD>%=mw;qf9SgaL4oVZaUGum(o#n z59)oXA0pUX>y8=SwJx2_gSm)}u}QBfkw%(?47;cR&wQ#ZOttKWhR6PFXw64R+2$Xa zbm}SzX*aZs#pHkrYF>#(fjc6#_9;p`-oFtjT{ZiBk!-jZT&af3A zRC;Lv!mHuFg%rY34HFR)+erb$Jz)w;E=mkUYhZkGXz&a|&tWnr+S{a7ttZ$w>L;!< z;lRx>BZ(9W15%1LgpehayejcQRXQ>;M=k-mggMRlWkNz1qAnC>l1b=^X6j*Vj~V%~ zor1o}=iF}d){~m$;eEvIt~LZE6`DZ6hz>@xYaKp}fTKMN*L{_D0@}^6m<9)LO9+ON z%+iy9a)}`8d59n0E!#`T9rpKNFCBypLNoG9cC4|P4Rauo=Vjhvk~b1)zU_*1p@oX0BDm>d8Sbz` zAGBEK&!_tuBr0 zc?G$^R179BH`Abwt10J)A#$W8kZC z3E3g1zNlbBF-{7&R%tP19&>M{RpQO9+7YDjn4(;ZW(67xj3J^5xK=utScv9f_2{9F zdQo|6Iy0su_ZbK#3!oyG$9H)_&;l6)Eb;`n(9sag3djX|U$UcAdq#%MWw5rU%DZE* zM96;@P!&XgI=dDjKRxEE%)LWNEu$)8LBw%&FJ+uvKtQdGld~UOJayympSo-W6i`-S z2R1MmR7_1dfJQE0;BMjDMV>-8q z4-RW`Z-1 zt2-tc$byBlm)S}OF4@(|Fi^v8XxXMekadaN(C$(vTCp(ErYu97fh|S$FSTw0(KP;K z-W=3Lw6*Rq!Kzk)g>sA1t)MJR5NLWP|Hmo34BqHfIR0he)wQ;&_!=W)GgWfAWAU|pB9fz1;M53EsY3m!il zX0td-*p(PvFWJ`uu2sxQ0atOvTByn6nyN;`4L3E+Qi6w&vvUr`Xu-f<0(R2Age%Oz z>yT#vZMEWeDa zKd)_;MN}#{qm+-M?M%{rgiVaF1R>77M%xZDBIAa#*yXxVF|1N6sZcbI<~pmh*o%(ZRC_`;A*tO zX$@&CH`GXPXmjAuZ$k+L%TvMmujR3(9rNzXYP74uiD(rb=!xt_UW~T->s*!}OJUkS zCFZ9-O4!J+byJm=06DrSaSC(&jkF4`f1#Q26JxXw1Wo_u+n?$F?3%srEEDv|FcM7Y zF*~eDz%iJ}q@hPK>MvRd!!j%n8-w%rCLw_|fRXq8EDZ#)Z*LZekt>XOsL{NDqOpdm z0*sA((fH(L6bHL-AAllDFVKh$+U%{7`4E=|L4?5;Bn~DqGCmWAZm| zKZ8aQL6d5mNs-4LW<9+OvXLEd+G??e#CAR#S+jtKtk|_OkEo5PU1oq5xs$M?7L%k+ z9`ccSX0jRU=~P~lvWz4fOP!R(P7KX3&>V0D5^qPAyP!%LHN9S1j?FE5m@XYBM?d?~ zIsF_tRDg0NQlH;(&EAv^4j_U1N7SSW*6d-lbmtS4h~+fQ(K0vBn8~arW2SqFnaU+* zN(CtMX7e=-P*zB@z>@j-!c`T^j31 zU&3ZuapRIKz3hrk5cP;#3`m)FLK4$t!yN+@mx<^Tvl|w91E^k7rTh6N2~&E>^p#GS z{xL(dYu%_=>E+EP+>xIpMJ(ksh{mFMoEEZ~%le6jqra@5Py%*ImPVK?6>Etn1j-f4kEpjANojZR(KP63kp%1^mGSvlQ`YHdg`rlFvS2J z%1r2S4{2B|G=+<2nK>q);6qYtX<-WakH}icZ7#c{B_i1=X&4h;Y~(}G#?!xb4lY!$ z`SHL15xUFTRKmPr@V~kGJia~{Q|9ZDZd%3{zJ7I{wCLHxrfK2@duoBGESSo}n|bU~ zs>F&f)Gfn1*hRJ>Ov~HTO$Nm2DrQevI92fWMwKQo&CfB(?_AQR(&=5h^GqLbQFsV36r5Pt1Rcq#3?fZPy=`_RZ(J)x2m(^5X1Hlyv2$z5sv=9 zLu>HlGH*ASZa(+)2XP9?&wTx5WHsY=pLppD_h0eZR|?3kLQm8NXb;d9xnlvIC@-Ou zg5(DbIHSCV5@XC1fVPET%1gi&ykTGmzDrh>DO0R6I;+H#Wl$%epxz*Rkv?XYfJswJ z5g|=CE$5$bTmCy^FWc3dx0BIjY05*HmgY49R3*whRk4opBZYu}vWA6G(_>QB*iSL` zmhDZ^3t@BAqBFKrbv)73Nq)f z4TWYITMIVUV|*=W)3?=VxnyBkB8-aoG~&pL{ZxuRQ#t_iXkW1&V$h&&v;=?AMoHV)Bt= zC5!oz!+a?rUQ@rkT&T#t#cB-$GJ8eYe|QvrLKU-||B++GjQQWaxc>L2zP4um=gK8B%761F9xzN3B3QAS7k1de-_H%Tz}yaeFZdQm5z~+j#2~rq
  • sP04B}!YDpsjW(3Yup$(lk~=e62!>T5L+x zDfSFZ5BV!+i{LQ1y_(W{Bj*|c?JC|hjWiCA;=5V`i%INrjR_T7UfVIH4r`vjDV9m+ z2+?P1Ek{uU?x0ZWK96*x7e_r@pVeFEqJpWb#w?SxxZA*%fpseekrn?VnzVvq;cH+Fk+%0<{6aN-pQ82d)a!)bZ+<+Fo8f_u`f*wZG&2Hf-N}5xZu-jE!A{r|#Yz zMfc$g_|hYL+g^6gZTiC0?3eMSZCBmCA8m@iu023-jE<+aReuG$$Y76|`kmEp{?6Jt zb{hSM#ls&gexv&K{fYVuhjFa7>aXm6PivX|3;LAs=EdDDHE0W6{h3V-M-G4Pvx`q! zw{)O2@mIQjS&whk?-U++fAxBQ=R(I`7Z%%!A_g_!- zPvI+M=MnGd;qz02fCvWCQ4>py7w0Z?=Mh8C-%xI26AJ(Jmc z?}eJW!&?>~{&L4hsl?PzZ^O;$7<_SYtgq(0``px1yPYrHd(SO3n(K>Pr} zV*?iJM|Zb9u<1AMOMhMQn@I5dca}}i3Q|9v8L_sBJL`K-BfT&#usCeLJapTxd zNBW@SbxAtn#YZ~U*S83d%(fm8ZflrGt-Yt2{6`0B6V6#p>-*}lMDo$`8s`kzt3;5D z+?EPC=tx{M(uVyAzt*!UGIF@diENfyMY!sh1pe%EF{9D z>zx~h)IK0OB5jWhX7*D4w1Z6iE$)_^k3iWZq>Hpg+esSZ+ZsozE1cvLU3*jg9RqaW zSZaf#?s*agnb8rewY@KsytC=t_kVS9;((5iI7HnLqH;LwJuFD{cANM`XM$GlNzta|-@h2CTWjzN5g2C~2Q1Ge4sT zWS@>=QPjG_7~vyM@yQ%jIA0oT!|@}2S$Cw~mfQd7`>ktI*RgllBO>#hfl}_$w2QVJ zSOPD>G$J*eFN%jtLQ#CcNejLyS4P1y)-rNF{01H#{`aBUTG18Gl+ZvyfD%I22OEF1 z)CiUaOAy+joQQB!3eHbSW05ZY6;bQ}>G^HcVlX^>rvCLbo{JbT%*f)*bF!bEh`{+J zT%CedzYfj;lRmtTue|-@Z~ya)zklEryu`!jnR4l{$Q7aa!VRums-;cAZxI0+*G>mS z8f=^=FHj>Oc_^4qNIkU*+9as9V*2Y$Ef`7X66ju`&tu;YOz01;CIPjc1_b?-WEm!J zbJB5vpqE3D7YL(>H*FBle|N$s@;W(d3K@#F2#QA0?ZlfArQzD7jOq`M_5+z5W|f4Z z?!ctt(YPxcQ?@J0e36-z-9U>{;YxWAKO^v-{ z@o;WI6RRXZ>DBO#g&W7d!4_HIC!CJJ7He?Qs=NOD^C#B)Sv>z4Iukp3`}LcJj?YQh zngWhHgH=isP16#;C<-<|uOo+IsVXIz6Y5I!Os!=WtLV2Edu?jwb!oM77iw&r#>W!; zOx;AeNapODukUQ^zIEo==AR4V^|H8ePL#}Rq%!H|7b>}dW*WPxeIVNDe5R7_`9x<& zx6)>4UgddWTCq2xyYw)n4<+~yGo}=b4Vv@lAtb~NQfp`fV)Y4eJD=$2_pDDP=u;yz zW{s`xt>{R2M?HEvbUZsTX8&w%H7bFPJFc|JUZYd*PMd4A;Va_rD2i}4(a}ytr}v<= z=L(X!A*_%{DAlGfEMpBrc9aaaY?Z;;wets=4*}C|IF~>K!E1Y-Ty^Z2Y z@+3dBY0`F$bs2S?yXBL~-#ruj?Ne75P%IL$a{loXPQO@#N-WDUY+RT-;{~nc=8RbR zTEDR$k<@TPQ-$oJyPu$6dpbOoOQO>L^ zVpZc+WZ_%UvNyTGMg^iDEljW9*yU`F9yIrye^vkHrVED-W_VIlBC5()Lu*) z6_nMO<}+$J0LuzGw~4Pp9KI+maX0^3NivgcuuI-s{MCK z=7h1GR9bFeP%)8=o479d^*m?)1J)j-Sh>C7yeHrDcEa!XeZiT$)DS`krS{GtbH z^y!$hUN^ZdjLHr$Ze?Id6oH*jB)(ey$(lXa@4I0F31XoDrD3k!Y7YaYJZKh3qqOz* z7K&f?PI1guAlWxn#aVC;0zhg;sha-)pNKenMxDe?^4i) z8k<9;0mE{qg4f~A_L0-sH4a}cjs)h2gJoRtbfYzI?aCu~@wDa-Rin3(%~oop*=amQ zFPY1XC^!mWZzsdTCPW^$fywoi@#kzAYv zoW2MOY$QL&pE=+vq%}%sws_VWDB$a1DQ6+&a&FddT}6J#AwWP_pTGMGTY4>_) zq*xrz;#Sn2kmsoFNi&@`fc$*L`l)}ZS-9!yccY9qqD4{@Am^iK4y6PCSH4d$C>|-~ z&ai0QMei!?K2OgR@UYczH^sE-&pWZ(At(_|vZo6K<5yHcrWUXY>*kEu!>nA#aIiEP z_b4hYaXu@@HCbPj#~QOGLN$<9wFSNH=evgpLod^*a|1xyrp{F}6*t8(_GK)2Hzb?V zV9@hEEmswX&6LC%0CZrg(K53nhBNLavvk-h&k!7eQYi5DTlMg1zH&KjgnXwE5rZ<} zw?Q4c={8|RvR>Bte3~${(O)ZQH&rR?lu@PRoe_n|%w^36Z2sG^hL7)AkocdQqL`EG za9^za!O!cFIIRcD_~asXE#nnh7Y|iaZ)jm)&6P5SL{3pJ4v&hz<_lPl@2b?EKTYl& zKc;cCvk!`4N^4wE?%{Vd`891e>c#Xt`fw=vf!e%&Bh9vxNp zHIUTUdW&ZHJ?dzjv{Q~4+dEJz*euGW>$le5NA;XyPA;KGN=WMtJf1A)M{9!(RL&&B z^Fh0%<45bq4!pFibkx7Dg=8Z9$sdtxCv0?ou`8L?EfvkFW@F5T-E?3p)fpZ5$Qd}M7dUeZ*8%o*5c*3DYSr7dXkOr)>!Dv z?YT!3E1S%r?&Y6LN=Wzp3-2B%Vgn&=*@v5Kix#e_`?}@9uLi9*g>Nci($vXF7p*jL z3DjSX*~x+V-OPD$tb*g_Gedb3b_3KW1U#k9ByDYse#|u8-2{7RNO=TN<$@TmqsHjB zE$Ij{`?d-5?;c=vK2J5cTZ*JaR{z}m3-m25*57N~SX58@uueVc zu4go&i84FKEezyUYCi2&nluac?-zY$X9LOcY1z{g8PLYX`eHFzf2;14Hs|FTeb5NFocW0om=uhh7upy_6koCiv z@`eaIhX#&uQ^86;pCl%!OB#tXi)nG;J%VT`p@i~%l?AF|rz^d#Z+`UOxrGaV_&o%) zv1Jc1$-`6C3|P)#c~V+T=;Dwj6;ThHY501hnsAv9 zvSXY%z4#Jy9`KI%k{YtpiZ-f1+yvcN>P6Dn*jZ^jz|UvUfV&m!uk|=ZiiD$EEHbof z)>cNBG-~YaG^0B%jNjmLcb$ z+0P%f=pCCpF8{8g=~5P0_K+NzA8&#^wJ8Oui@JyRc!PP5_J>2DLQBHCj#%bgr|J*1a34xV@)jJ~0ko_MNyFFjvx&w}7_^{PQ? zySiH?-|dNDb@>_~3VKa(*eH^8lrT7#yPX3l5MKE(?>MlTNJG3fsgIGgO#!0#rd^af zsO)a+N0F!FU3A+(4zb1%IeSrEUMe833Ex9iE)wLr1vTrGPQ_ygj0HB(BZAtbQJrXg z!P1D>QsI|A3M@QY{hbi|TL4y!P>XDkq1b_3FeT^$D=_N0CYOfGl?z?ObQ4FYjT8`E zDV6I;7j=lmAz!6OGeThfaIUtbUsc=?v_Uf*ZN7*Ng7p+%plOtQo7>ofaJ-)V6cBv& zC`Is1Ui+v-STehTj6*0^G9yx&q!Y_ozDCJ(o5W=ijaD}6K!^;hIH#3NFnTuE$cT2b zy5H*0A&q6g?8Yi)%HTnxJ-n2TdN_klTZ25q3JEovxkcfvX$KORp%j3qbA(iBhZ#km z30dbWw~#fE%_bOAH9cK#EYfv<0n_)F&TE(d_}eSriK3Me+KVT)+B;v{u$yDw&5P1Q zawpzjtuUsVek~|IqZA@E*azIP6hPS`?{fn^M?zIic|=%CcweWFu?zaMJ2McF@Y&aBu& zPjSV=B#Bq599`wUm5*+dD(|n0b_=b1lj>feSU>P^8YQCNcCcg1kwh6gm8umIw;wMq zuJ~f)pJnEM{1yg5>X<`LD6$0bM{5TEw5Z7f9v6$5${*;_o>$>N0y!4ZD%Y^UQ!+1t&}|GmeT$G+h|`c ziUa~~1CCZDNU}-K(pOp$d5ICU${M{&kT(@U_DZocNJrwPdfqiq0Jm;uhqW%{d2Ojq zp3|L;=2_A@#`kCx*UD-vG5%DDkK-iN(sU|@%kGUtzYPtl?C&nP( zf~`o&)Tw-`w$hrZI_gLxOS8P7)YDv?HxnaV(mf%%1+Hfv*ixezNZw77`;?oIL{p6} zh$ie7pxL4c=may$bs`iZV$J+&3}iCZODjN~14xz+KzVxgmAOE0r>!Iv|~h35}jlw$K_+ z%GGnBH>E%rtk4^C9)ofSiiTtn=VGmyZxe$}=J`}6WDG$}f?#k@z^gp$p%}-_Mrn`H zZIU6)r>Rj$F@n1?NSf-_=R;yj7l||rK|m3$*^tU63RI>dr;F*L0p~HSL>sJgHAp&w z6bXvTw5ldIEb*)+Hzm^2%<5lSPSe5qx|u<11_RG@bsTCaO4C$AP#6ZXI0m7U zGRcsQGtqYw85~R_I!z}z=(eK+pFhvg)O?MlfgYM@1eZsk8Uh9TLX}?)|Id}^cXvlH z;ylJk(N-dvMaS!ChhD0KSpg9mtq!p}Q7Mv07y|Mffhm>gS`3(M@odnJqMFS5mx~q%W%y>Z<^G19T(@1tOWv zx44PdG=~+w3tMD(@sx?&!?BAYYfR6^`ldfwc;}Pf-K!%D#LmG;&p@V;CvRk``(Z^q zhr5Sc=!L|nAZEHnBV|EKIzpn*>}+1{W%7%+Otk$rdTqVV=wI!X+(X)&|{#gUulcX}&@+#$rV;CX|2> zam=a^ZX0c^kR=jEnP3!CXJb-RtR@zgz7TKhi#5>LzbE@(hEnYz7qF*wPMeA zx2@qZ+N=`eV1@~`X>Yj#l7S5lnDnKfl4_h3Zv)#V6F?VE^z&BOIDoO8qxxAhMDSJu zEFIAKnu)n8KgOdh(MV!lCwR>a;&!onImuUa+M`GotZ=F3FslbUkW@ILf3Um+ISvF3M^; zR5%c;G+ilx-PP;pgqzj~CMXk~ zP&PqqHm7$6fusT)6ETlB$_q(pT+!UzJlKJP%1u9Vb6{cXbp@17>|mJe4B&JlNFw0j zsQH3G&u8Z~0-RnJ2`V03%eg~J&P2ePX$AtPDb_?+bC-pGUb%ZV@tsbI^hXU~%*SM( zAP>7GPVx{2Q8w-olGG6(qZ1{b%IF6lO)KuPECnn80hI)#FlObDl(GjsJ`g+16OsXz zA_Ac_Ske<9Wr5mlVI#E;GL1UtuZn4gz!L6wP8#V}5 z18@TonWA--HQQOtr>bz>nPzBE%5X#zXErjN8C(!IjLVX4@IgkF<@ElSBsiSd!rhU|Oaq z!ycG;K3NwPG7gtjRhXXOUJMvA1FQ)aM|!69mLL9iQ*hx^-#ZZ7B(&4|-zWfih2bTr zcH$%|ZaSdSmjk|@B*X*S3r{}n>p#oQ*zJR^jgCYP<^LqRo;wD}Ssy#2_f)pII$X-a z?CfP+DSK>Fcp!}qRqIavnS!O{TMD-kH`Pt>BC<2|$X?Wm*WTiaH$mmRJ@K{1tUquOHW1mCXy zH2)3OSC7quw>s9NMC0Z69Fr2Er5LMIGBC!|!_X>B*P4FnL)I z3by`x50_tO7U?m;n)i4K)pSN_8%P%$*XMnBv6b;3>RG+sw zEx$L09wldn*9b|phJ2ugfk-v9>m%U8eF)umBud5kt;{L39T)Rs<+xQpdH#isrz_p( z9mguEH_IR2b!aL1bmOBV3v(|2CmI#~)y2#ty2!G05UP;um;6r*5a`;xP9GSM6`7s~#E1W%5zS(uB4;_jxiy za5dx?kK@&3i9ZX~CWsSWCmZ{+x@WpvO^fq8cMTVnPIL}l&QC0^%XPL|XB;0p z;FDvWwuJK;Z7cKey|pav3p`EsOY=5U#(rO(Iy1r67Tzn)l=%gNzRBQXwlP}fWTY^gW ziyIz_KE>tu`eV$=-xc}#H0}v)%f+U>PqsfxU1tl<#g^?Kv4ZRSz@QV@^ z8q>d~?t$U_uZ1#dP2HNr%L8{%4N`pn%L8{&l@~id@V<$IcS;Rf>2Vy)tGVRWw=WXc zY9l?;^1A&!7qzB)yI+ZFMNj{)jk#K_V()$V?PUv(YlPPr=ui2RQ$% zxJ$k9(o%L!GWBHd61EJUIClCk2=PLJ+;%UMdZ^pKL|DY<#0OFj_uP1>u8mi)%td^R z-YK+~Uc}e%kGq6+?qbnDa6^~3ox8?85Tviui9R9vOZs}w!E4-oOf5aKR@h{lrAwuY z?AaxbrQ$2XTBaAThDR^{AZyAyUWR*>yF~U>S)xx-;`Q5KL%%2EVi)&&@*0MRr=Jy| zzEAvm(QTi%y?s-?^Qs5s6hLLVhM-J0xrBSP2t%XeHj`r0gDYslh%~c7??KRAC}8bz zNZsnFo~gu`oE~J{?KLaobzMe^^j*G*xqXH3|p_WRivy?i`IP7luTh0oqzkU75#*xppSgCIGvuhk(2j@5rGq zkeLWuuVLU4rtx5wj!i(^GoZPrFq?oj+JmH*2a9IvTmGYO>~}X?Z%QXa!68U6xtpxC zi4^5|lw_caSk?{`wxK#AWRY!uD-0^*bfc<3Mt-DvbQ8d_cP z?zE^B77X+uzito!h{yV5R~}QT0vS*xw-1}@wUut4%%yn^ltGwB9fVVqPE*ctMcoGKg#988zac5DsG9RD}5Xf=?%*)HR}9Q0MBV-dERM zl0SESH&8MTi4U*f+q9mxV<_Bd%5y1Wa)Pn;=c|6b=uQc&gZIL^iBTLZ=)i!;>Hsuj$e}rz1Xl+`*5L3(Y*QnMOSfdn^mSD%zG~G4&`pl zxLk+g{w;utJ22*-M(2Zk03&?cOL*ImrF+MO2Iuu?;oB;tL&o|6TKVz7xd zw%>O019d^Se5w1Zi+!(eUijRzH-{Xty|U(z*ft!G-7%hv>dCO0EJw;5721V6^VRj| z*!6=@YvW!YQ56>xD_x05(-ZYfq~2Agq@mS&DU* z1NM-V*j++%mEsG<3$hf(ns~mNaZn}U-v*$VNa-Ur-WXAGGXIE)aeHn*7_l%ktL`k=t=DlN#-gIgW=~YF9RE ziM~5wxLclQ7{=DTif>+>%ym4^wdMrXzP#bl&YeS}m>I>+lE)5=x9vQ)mF$@eqjO&L zpc`)Q(3WU!NN1$3R)CpEUCHyv*?mPEG->d84R9u?BoU-}aaa&$v zjNBXWb)yWZvS%WZ_An@*N^f^fc+gq4P|$c+t)*G5YF3v_c+O9F$Z0KP*$srBpn}u% zr!L=FJ1ugW6i{=+C3_#`O*xRfOf;H%-aExW*;xclhizkPntRCQI(b-V#>XE^Dq`SAQxZl=SB}x;;~^; zNaKPuOEyq(HO#nxd4gF;4;%BTP%$3KrJz$iot_nZQmgAP%_l(8!A+X;fPl{eADav& z)15e21bF@wVF!J!+&zc$KtmS*d7r7qtrVVcUjuMdT6|!0K4<6W4FacakS;u_jh#as5I}OiUVjb# zKuUmvNgQO;xbfnmkoA}r1cZ>wou(PEHJIf;1408=&80)HBHuxi^{N!4trInN;8LVP zZgQFsg3)(jcg*stM=xOT1V zE^WB=saby?^{eUdtnIn#;0}EGt)0S`uCAOb_8I($cGRDW5^_HG?^@LG2zNQ_=cQqM zE&fS-iSIp9oy1Mg!WnOj4!wD3=g4Su*0}`l8)}2KQ-}Ww_tTt}4Ouz=*w`TCOnZMX z%=#n4vsrzZn-ZGu^7`9af2BSChi|!B$6smy|8U*=V{K?A-?Mp^I_lUq#mwIR+$rI) zpZ;B{edCoc-^)V(WKP-tCRD@|PY(SV#~>?HXzOTYT06cd1MCGoJjoq9wz5GxeBJv4 z-E+!!=-sKrZ1!9GkNK~^SR1W)UmJ~ohQs=G;RhS{Y3JIil+`C@mhDn=?|AO4u%m2}yLb1- zr|4JxM+NF?_wqt|xc#>v#_Xqe);<95@BOrC*0<Pn$By$k+-RrLu_9q}P9|+X z<80Uba$GFr=^4btToUzyYAZ=3a)-doo3SwC*i6qjnzjB45^Q{l-6m>9u3A!Jsd;SWxf=Y3sy55-TSm1D`H8Mom9wdP?unG7alncg;djxC^~`7Nq9pK3WoGVMNQM_xM$=j}i~H5*NmRaRrl_oiI1 zXLnCl-gLsJ?nS{TMXu?D*6yD;@f$r<(D?(t;8ZqhLwuO_eTu5ia#br;*Zr(gppk{0 z)Ele+_K@|<)_?s@1rbm|sN{~dLTpjJz$;C9WjCcv>L~||)9<_tt3dpY2k0|gT$ZY| zz8)&EuMn0!d%A>qwzjgsLofD3w7EIA!a}oyNicT-*{>5Uz%6{OOUiL^;6O30+8Cw< zmYL*uiGzokb&+;Gl<(-fy)s->DceV(QcX0*v!0!z)* ziqo<7)M>o-Beg3((d#&LnHo)k_)is=OfkZ&AeMOqMTpX?!?x4X@~UT-S9Rm-ypXT> z6kf}M#b&s7NC7&a!Z_dsdGH4y`@9LyyW=s-^MkP5tc0ByaK5Og?X7co2!tO2K4<1o zI0S^od=4MZ3uU8l+?Of?Q-xS+y_s74Jlmb?c~;3ruMGh9f1unYBqQK?FH9s0fTHgR z2;bt1k+o=|sNhiK^jXi?04~%4YLocf{@1dP7QXpCT>%p+R&Wz*i$jZAA~6gp&la;U z4u)TbF3$$*Dsw9ZL@x(~4F3W_q_Z@Gl`*M|74P6~;oTXW2~kC=5h5KUL$iR_fo5yq z87QYakQ(kyR=Y2nA9Yp3?jvu*Op&+)XW$P29lZd*gcO!a91Lz$nQ^uh`djimM^{>1 zjS;_2dNBk+h&Om7&b5i7fB+Q=n#|yE(*%tJfyso)+GK5wPuvK17{XYL(|L@meE{+1 z!FhvYEz;ZV7*CMhb^HLMrl1}E2{&vP%D~M{!tFsU#foH$Sw9|q|H8r*PkrYbwo}kz z%q|UCX~WBb8jTno1}0W7_JB0M2lBtQr?u| zHJZt#Iq05KBdl7>S+5HbELF!<+8VF1Lt_zY4O+~BOND+demQ9NarfXseG$ZmD#KK( zo2?KJA_}VQ6Ee^f(JEg81Vb`?3j<{_ybsJ6EE&@IaWx=AL>uGkSYH%n57;EdnUkQp zg60eX!a^u+&4B)W0I-Gb5+J4=N}F$|;%);|jP)@OZly1wMku!eZ2`Fn{Wzt!D*-A` zZ|5{aVwY4y_ru2(iS0^@KxzWaJtFK~K-IC8TqGgQ)tSeIf4=i?FaP9M-+nK(pFC2` z2j7}%n|dF)UJXQ~ucU5vQfDWH71r1I5w@(k*S4*NpItfjm*)RX>Uk97FR<7qTS|g` z4{kPN7^ZuGJ?T_U(WF?1VPqzd1}k**im&xZ9e?@OD)++XYNN3vj~s5j^sML=Vu%{2f^a9 z{SA5LtFxH)rVi)UC*Ixq?YLv@Xe@8-hh5_9I(RDm#MVIB#v--dG4kUY_Om3OrB1S6 z3MX0LM_T1y>C?$R`c$B#`p$`xYRfge`@ z&K0;id|)v4G(;7rQS3m^Al1*`dEit@hHawuj&spA?i~3edo8_Ws{|W!Wn{7N5#Nr( zi5{Xs+sk}A{MT0e<&XdPmruS|*lpV@ zYs>1#THiWF?)F8xKh|3$YE8Znh=fOqD*xhZ%i9;Ve7RCATsAnWD(BTitaNoxF%v3j z?)%L3sQt@jy|}lzi@Rp;<22p(TWCyp|B5bBh?Arsa@c~bCMlC}RtbE>?djjUeW3G|hww`1g{bI~f-=8zHe+~LE zxik@q)ld)uX4KU1 za7A`fYG427v5@5~{;(cjDu+NQr6!;gEKr=Q4^ZoJeu<}>f;r9MK@>iK!uli%Cnxm< z7Wa8=OO+P9t&P)zPakmG+mBkOBz*&KL7Vkgt#I;ptJ;^^gVs;1yTw zua=%x$0hwy*N4-fgRvNQ+ERu}ENhVG{KFpK!?pZkEe0gAQ3N@pnW{f=4O#16*H-_G zQy+fIS-9KlEY!Szzx}-rPA|+}@x6v_WCY$icl#ah@GbzWOwsex#2U6~^{n{{K zrJITd4?m|RlFth0DSEf}2Bpvs2Z568@KWj-9Eb7z5ZA5o)nxn2A>hVw?mlW)(sbRC!W)^fmek^``6-bepN#e*Xn;WzJt3qT!Pm{ zOXA(=x>tP8PXVkNOXC%E(FFu2XtTm|f-suG7RQeW*M++$@pW%44cxBz0Q-4>9-j60 z3QMWyG_FJVf@{!l;1GS?yWV>ZFQubsxG=6=2u$J8_$8pp0z|d{DJ{k|3>W#9`e`7) zu*WZ;VPK6N2KYFDho91ho)WV2uh>Her|@|(B?@{t`2$=w=&?U8jFqX``@g`o0;&+G z7lD@$ECuF(a$rL&TAJ7RRD2hz1z55lZZrQPv+6$F=k>R1ufcE5aMeid`b+Z8~a3-@Vji?Y?x`2Lv2<-+G5rQ+WRKcHWwA|U3eB5{^_iY-HH5BHsV=Ii={ zGe7!%mkM&L--p=Ay$Hh6RFDmXD6Qa-cMCvb>I1z7tV$x5xEW}FBSiUO3r?}(K7+YD znp?h{;^ch zy;$qyXrH3WzL!u2rq0v=YySlF^Fr~6HisU$+pvVL!Fq^=AMmMG)pyjdv4+z8BJuo< z*gKGlz0u93f`6jM3q;dWyWPtpvW#qb6j4DrV2m3ae9DVd^()M%C z_U){;m>*xvH;_P&DY(`Yv<;1ZQcAWmWU#0sgCDQRDtKLM%lQh`<$UDrf20Vk8#p&G zZYdjQfA=>r$Ghuyq2?ji*8HtCSGR*Yh0JD#dEgq zt|{5NTd1t)JOXg;w^A<1^;cS;X6mirf+pMjN2tOY3;q_zT*_(qi(W#meO6)9a?2+{;lZc>>Oc6 z%n^2=)Sq?)2Cf<n|C_~^<@Bag1UA7Jl25F7MVZKJ`;X~zeq$J^nb zV{uQ6FYJ+g%1PKYt@;zX;fs!cJSnYu;#fO=RA^6iD*9)GXJO;_LBjSGe9#puJJ;M= z`3F~6|M2f8?cdlyUsEdw zVs-%dH!ohf9lZ__^Z#32t@1^h4_jv9kaeouMz3Datav=14BBujv)LSiWL?1px-I7o zt^bkbFQbQPCS=2*+nalmsrB8;bL-nIe|C*_hKqB;&(G9Qwx&SIW8lTMLD>A?7RNj9 zpP^^bRr>Ya3F``6ymg9sv}39nbX6{V^UxUokp}8YE~Hhe-G8p6di94?p^8QdMN6BG z+H{L+Iu{M1-8rw@u5N?%0;sDnasagrg1#O>G0Qm;7?G&+;>{5a`ueH<_LE!D(Nc{~ zB#OI7eW3~(VrWp*jTlyrbx~CSJj>Y(tL$df3&{IlZF3-m>t$tP+!RK2;G5&VK%re{THa&8d}f2yefw z?b!RSvQtIq3sHn&C zteuHyu9T)mgVbmP1E8mKD15FCbaec?c{OL5e)^7ylld3e{8`-tOkJfj zwK>8l87kp(A!vSzkD{>VJ3}Wt+Gx^M`)7!_U#UC|N{Tfvjz-aIyM4Q)6QHCj;7j@q zOsW&p<2Vo@pSUrl_?fD!Y-;V``Q(h}qohzWY{QQW*FOGh%Tu@f{K1<_GFlT9kV{V=M6K}*T6c*Nq5o|o&;xb?#aVoUECMhU~)>AX~Y9n2QNON_z>RV^Mx!D5Ak_w0E@#o3sq*76+wyi*xGOus1CM+*2UL2N&iQ zP5)xyzeCy8jRVT@ZX8A#D%}k5J`3(^MgV6d8? z1oy^avYO=7lhkjR-0;sT7zO#p?}IaSe|I6)WX*sNHk#+FCI(7!b;2k>AvJU3J7B1e z6ZXJ07FPf1t9Rc1U$4Q_)WKy^tk{i;lV)U;PCIOIxLA@>-5HuzscS>3s)(u9fb|?p zWOlOlgyLolyD?B0I*JB}5!FK{WP=XPAc{3?lpW$h0%CbYsuHk^d7%~*+RRuRyBK6r z>X8YHQaMyYw~$>-T26q=<$y_$;f7eZ2n*Rd=v_NcXA+7gSse#~b*a=Rs9cCcwqXQi za5Y*wqXnO)m7ybmI$#)j4?nU`>ld+mKt`n*8st`3ym5)R4wgxCQ^mYRlw>qxuM-$p zSnO7em>m!ppnZce39v@dL>GqYzWMxLzqtLjn}WE(#sQj0X~X1JQZGUcrOBOX%@7DW zla!!igiOpsND@Rq0}#+Z1+#z)Fm_5R0R&1QHxbHXjaHB;^zr226b3;hBj{ZR4FHE# zCX#_?2^8dp_xn@Nh~rx7C%h_Brtj29%o|L-W{?#TKExpFMz?_@Wde50Gi73+uXy6C z-yi&&_+aWJ&?YqiR6*3f|J>AmWtQHR|A4(^_Cn6d*;CJYe~>tOLEE_zmh4XYZyVpE z;(znMN53!|?_+`Rr*Fx&?LRNFPaOufpQhUA(R<=EkX}CmbWHyDDi41YpUuyOb8J(G zcRVz87`T3HkY%qqHhSQ?@av}=66G@+AF%7Q-v0*5CZG)}#ARQ+STb3$?^w~K2R?6# zOI@e0Xvu|VKi~LYb$#}_FA_`e(T)2aZ~b~rib|<+a@+ORK8pT^f|K6gKfCe2T8^&t zevxRf3vi*scLCSZ;nne_K+5ErDSO~BH%tB3Lr3Av<2%oOfw#sh{QG7OFFo+W;R-E9 z%5<2!ancU_rktUwr9t@A=A~df$ixZPT$~;Ilf$&YXQ< z2?OUXDQ&lZnG@A@d;p)F@!tGuasP=7&z8JT@8X7u5dHk)=gHBy%(OH5uV$&Zgz3Sp@$Ye6uvofh*s)N2vjZy zASxS(33N<2;7#u1cLGPE@GC927;JL`@Qz$S?O2)>EYeOXn5(^-+=qWJ1`7`ZIjau* z-|&WO*1r!Tg(+Y^{%l)t(SWdMXbq|k^w-jTMX8?N_Woim_2!E?`1F|!`eD~&L)3mUv8nqYsAgkkr z{oH{LZ$GrI1Nx-{OQ`~_MA(3wdk2AH>Bf5!v>~w5llJNQ8NMD!O%ZIHZRizX<6xf_ zuN{VE0exmIy-u^?`(naksTW)qa2)0iSFDE(qfxED9*oNdKJbE&s}&)jSK93_P=6>@ z7Z29aS3zcBdpmsVb|7^dOmQzkTzVbX;BO?5TDBdi?jdMY;S37IR-zpdf`u33Km-Z3 zNWT4$H4`==2>d9Bq=D@mwk-c%C-rI|Hs~6CVQ>eoDxq?tC0aQ|(laeWp+-;Ri8W|# z(QdjAOW`GfvXB4!g@J`1{6CyHV69jqV6(vFgL#n{H<5YXvu62Mo*fPcVD3R9qg5eBpgX(gb$Sus?IBMd3*Osg_ifOi-) zUn4RZsLD220hC~}!UbrN01hJtu7r%bT~*-A2t*Nw1XDGW20$B`l;CCnECpyH*v$p^ zOPNhFoIc?uRKjP5%MnnZ#HFse@NxT$bldEv2jT710U!`61MWDaVz*!E!56Tp96>b< zDLeB4Kr6epK=V_cR@Y^jGpG*MAc5g`>>*R2V*y(EBttUcL;Rivq}wmoH(4}Bq2`bp z%P9{MW91V(<1()*C(#xtT53|O>7dLT*hqDTW1P)mhJm!NUJYuVrm$#IHTSt1-V2=50R1$1&;GF=&UDjhOeZ@YqZ*5g2DH%7uSxMov~W-` zK!i5KTF1#hCv6s=yjP8zxx9DC4;)VW1l^JiD9MpnS1EOE)xe~pUk}QuQ!EAT;pD#f1Fdy zbmI&~8$$e&M6@PJiC63bI}|A<*j6mv8u#Gjp3jOZ*8#V|8j4l*(x-`u7}XhY1Z1?# z+7VRx^-e0(l%+Zga74MM6m8EL9Cdduw>tLN}yb)FO3L(YBrvSQSq$K^C3(p?M7Lf+A%V}%=6{%DW|YRJZLev;{b zp_rniN3yN1pDcu4InYCk;WD{JTn(&QTi5Rr)$2Sh&r^7Tw4D1%2RR?-s|mSW$pNHF z(``27^CG`k9C)2NYrQOH`c8)EiyQ!p^80!1!AmNC$c8u`ha^Gh8@>JdW%>H4JvYUb z<}%7Sh&9ZSIyvH=uyF?3COs<;s1K4Yxy(Vz#HnkC6tFXCSfdjZw7Uf2-6pu_b;{j5 z#b&Vkt)f%HUY#)jhC<6EEu5o0lA9cptYZ}7do-8T_wZi3I)A03u7#lUNs4elUt1*t zW1WgnN~R4UJqk0%S1PP~%&G`9AxxNIM?kk+;4%f3&+~JlNsyJsGUO)sq=Kyj_<3kl zr&y^8pxTM4_ydg8-Mm0Mjt)iop#*MGh%q}p;$A{T07D!G-PMJ@J=}!gzQ;K}pOq>+0jlc~A zy)UJvxLG6SO5i$3iN8!$H`%~-#2oT`2}?w~D~+cdi0tAV(ZRV#3vD+2aYC+vt9I z#6w@=eB0R$+UOk()=U4=6WwvLQq;1r%D&I8+N*glXn{nn-HJo9L0;x=`H*vla=Yvt zGn_*P*66=bqNOT7VQW`{QD&z_VJ}hPfF35VlM0SSNgEKFPeiH9dSjl&u_r_=3tp~f zo$f~^-z!XYfw1W(04|S0X9fBmU)V9tho#G8JBOQ)jY7+0$1WktNKH)EK4wMWNp-{9 z(6|rh={v~t+^Vm&>MowPT_nbg}euj64Wo#?fA0dB9_LuWa6W^KTWDl`H zIdJgbbSJBP)^hJAAzPRq@S7{UFZmbgwHC`nI4&7Z;m%dR>xmRUFOTE$1e#tF9+)Oc z+JP8Jt>cLB81nB|?=pwgX(+f|wOU<~iz$WT{&f4_?!WC@&x0wE!eNx5_+m&S1zwZV zDO0)RTBIav008_T1_Inl)~a!wX-sxOg-a#y*f!QV%XSdqsjXasQX6 za{+9ly7K=-EuC?OQh)mR=oP8=ypP{J!x3^+VWEld!U z7R_j6j~zQkMg)@vh#aRF%43y=E#2+zV#g#-nnG<-nzG$?O-(nj-F6)u);wCiBSODP zWLpo*qkHDwd+xdC|2xRW==x+qmd@j{Yc*H$I;XVUiw%0#M-xK|*Q<4wB?Ql@+bYQ>PMyTWkg~bzDA9vbsP!;Xt0z06!i^L?i1&(ui0)-9aB4qavUb2;0baFx^L`-8mSxUFfd ze|RL?U+YeFkGsB2cQcbybsf@_Y5!6(%B@CCG>AlW`=gEVaa*q6H9?wl(UmAQwym9} zZkQ4*JUuzC;%0TZ{EnrzLv)5`^Xjs7dCT+BW<`WxPpnpo^)QTyiE885X%7mKYPN0T@E#Ur>eo-#WQ2Esl73U~NJ8#NMGo0p_U;wAy2uLsG~O+03h2ZYyEg5{8171Kxw-5=Sg0PWl5mgHTB!l{}#qr6$b$ z1uD!rn5k7yUH`@X$nJ1k?|xh~~q=?pRrpdD0OYqD7ltX$!kYsB&BlN&H@5Ezx3J8kaXB*MYNk?Z6Ts zAt*CI_y#sc^XL+UPC=f@zQDL)M;|$~pL<4((=v0SpFT(WTuz0AGApuXm}WBFibK`; z-JoM5eT~IzBV_q;dR8$=deSK@<#abP?P-ZPhd7y1Mz@~liOg!smi9hDW(*~q3${Sq z$dE&ppspLu$uvl@si2SygA(v6W@-mL8RZe=$9D%+n?RnRg?A%CGspzE*WK$mzZR|$ zx#Wzhzs!I$s~c?j{43>u+joC`84@L_B~zl4QObIOi++(`##j6(9sMm{CP3{6%?H>u z4@|t^LN8;zzhnaYvf2{9QMka9xk3_%yi9b}!3Bt^9npRlGqsw%f$5>w0+%Lgmi4kE z><(?13Z9czASLYa4Ws(=ve4Z~a{|N$?-yV$r7^2f3k<3UCK{8{rL{gg!xrO{WZi^k zpo0u2vvM$P)m|s(EPfjXHQC!bZRu70yLmSDU z)$fu-pO=|zwbK_R@qr|*UkC1ddpEuy>vM-ePT=^swIqW3uu{~*;N@yk6ar2{1KuF1 zT?2As@%Il^fAK%xN&J25Jm?GZ^49i#7E)lml93ji#mog9245GvlbE4eqvjB52M1Yy z1Qn}5^I`Q`dbAYu>`^8;ghjKAKTxEIw4Xn6se_iaq;L&KS4|l;*`)U^>o02(L>av) zhLix%Vo4&D)C5J;1fp13GG6xqud|XJ$qu;?St_}eD3&4AX6P150WO@@SJ!G4a_l-< z&w@yzd>j<(T(WFGWq=tEi%WQ(6b%!IP8O>~sU$At#Iq#a3VAeqP^!!nKd%cr0I?Z_9c$-iGHCu=Ng;-sa{UdO6v$jw8Ylf1NqsS8`DGByw2!+Py>(P~z1wKF;~Md?K9R;ZSNUVyDpludaFc^k)7 z4YBFbWz<^6Q!zs6+U?W{OP+>wU7Bf)cHhWeoPhl9I)0jFJJs2!xi|&xsdsFTlXJUj zC@zIc=$j41?3_2ryp>X6Y2cD-7+;s71bb9p*4Ml&f42v<#=^cbGU2zVrE=|(V1?#( zbqiAl9VcAdIr)|>DYs`0I-l--C@E$D^nJ0maP*E>g%vm6ccsw?rkK|GpdbT;tu-N8 z!tFW9fRM@N7O^3!B4J#{S^Pv7RFr-;3a(P~#9qqQT*PMmc+$-o?9nRDFeKa9DbcXZ zLP~Y8+Cs!!!$5 zHS=6(m^Dx(!ciG!Q)bL3(}37nr%}5-kRWZFvO5TgLQsdC6=un+OvG7OOlx?he5Vp1 z=&NXwk=9J~WiFrn&o4eXa-~_IN!n4;t)_+~elksC`k6*va}SRJFe;~{cqXAt`n4Gl zkBc$-C==U^e6&Im7no&3`X;iSqHICT?5yabm68K;qPADB*dTXXF;5yMh0UZwPugzn zmp-VFJBBN`Y+6x6KG-_T7=8hoEuk|Ml3L*h&mr=_tJ>j?{%IMQ1plBT76V!4L0-BubZN zxV`@Eh8rrE6gU!vql8y7YBx*hEmE{%3~E)z`q(IKn{A1Q756P(8C-DTcs8+zI4?3$ zAG3_t4WKb?6=^lmf=FLvaio!*R$XsTGPN>yHO;WksZhj6?~|Y;qPW!Rf-nxFhJ7&@ z!jzyh>+a1uhq5o%mMMWDMlBOGd$?qmoKV$JatHV3AJK{*(lL-Sj|bFXlj?(j#5D}L zcdE!ZtfQNF?gsja(!OBawoi$L=tUM~NcnfPinvZ#u>7rHFOH-ch z4(e)V3NwOe$kLn%5#Ez1rdYIPYFDgObdAsLR+qu5;H+WlKNW!x;uyR;6Q7f3Nhx_N zOSx`3&aD_qTxlkP7a71|cF2BF?^DVQ;PAQpqLtY)Rg@p>5Q)spnmAo!aA3az#&<)F(Sm`1e=oxX;xmJ)Eh9?abg|6A*U70>>CYl|l2LXeZd8k~YC^b=)o<{6HLFcJgw9$JQw5v5ak(ZIvb z4XimakiJ>E*s4gSilDPSN*4l;%~z3L(Ml2BSVuu_3^o-`c*LLwR)yZ6tLCSCIWw)> z?ImsSI13+y0GdqO&q+lR`K>_Q5Nn0tYqYPp2=T!{FJIGWCL^lZp8czsu$;8z- zsfT%3BM2+yh#0U3EGS7j<@tMV{NxvJEXpoj_3e8L7jm4sczApvuuVO)`Y6&UF#b53RnOqabE z0pSvXhC!$c@8jyV1R%f(FG%W|A=!Wy`z!>U`wXL3t?eQuksw`3MGPDQTohG0!h4@6 zVr2vA@(Ggy-$%pyYC*;-0g+Q9+)0uY#*)9`ihGx?$0|rq8)GUBUM!_4OLJK zB)~P3Bm_j8U0jv;_#y7{60MSDg$@ zGQHSqbtNULjJ6SMk*e^Np_YJP?Qk*&C+Ay&3Mt$Kz^rUKnFkH69CC89d`}X`44H8o zL6jHmhmS!KA=$?i3z$+l6)ulANtiPYI7%8AqGg%5u;%v{jxMiwllb~5VRK2=1q(}6 zmN*5Lork-Dt3&7nxSmD$$lym4I-lM~1bq`e-s9(pWvE0{icEbW8`3165~v~-BJ!aT zTP7)C*mI`@JywBM4-4VR~vNnkQ>dg!&$B0$|h#|60wzV_DwLw-E4cho_B7&j7 zAB4`2VUOnR66CijG1B8Lrb1=#Q|BWi5 zlE8|Yq@SRPer~C8^2myjoBsHN6+gWF%7-kBAq*kAHRvzPR2}4uYd*24Fsum#T;+Nj zLr4H#(Scf;Aau3>(F?nwWYGYf67)XNjzm-}@_JvtE?FW1?4*Px!0muO%_Ianf?d-I zC=sAfijx$~uR32Tc((=AT1*b@rU1G&O>$Js(8(zQodqEAxYekbO!*k1D6?;=pb}P2t*^B&cVVt*op-L%5g6L+p5R!S`q%cGiHKo zO(KTH!qtXg!x`L=A|xM)8L&u34K%zF1f&t+QZd=O5E+Tcy?UK)mLwG4i} zm?Mh7>TaJ%1ZkC{jSRt;bQ7&bZ$dlieL7GT&@g_wfX;JO^Rno6XiBn3P4appSwi6w z1$ewm-uX*?;OgA}!W+X;DzRl^l9S6sh!wibGOaV?45Jtzw4ZiYqYl!hWh4#3=Etl? zbRzg9MI%U4(-`_P%Sx&(!wVG*!Sv){0MwJP@6tDN5>6qTbo(^Z1oo9t1#^Rh!zaqc zW1c^uVH5|OPsNs_F z7?_}=6^dXiZ}#yevTHZ+9+NW0WeQzEOOghchfE{jWdR!qAY#e?*f8_jio4eoJ|`SH zAD6+Tt;k2=r3@~^fVaxgCforfIdwh)!CAt=3dN8_Y6F%XWdn2{2{vGeVV};>*jH#- zo=_nppp_uXV4i@>G@6uwaz~7FNdT2~7=SUQV-!sVP#9fiE!3@${G}l4hxwFG)5Xnd z&L%{YQBtFmJWuPaNYKQ1bxbsCMSU<~!^jQT0x%<=Btj6y_K_G)sRZiG`64v5f=p;J zs+tqMPwX@QZ9MtqT4sZ*nb#wDinkI_rDB4EYfOh&xUy72m?T-79v0fZ;^wH0fIbDovad+Qb#Ov) z5=*S-%`#i6=sF&#gsA9R0tpPve1t9u;4UU)BT8W%O7vzVDD|O2yV(Hg=&MudlLD9$ z##Um=rb`wXg$ZPHlVFFkL7My5eJd*a3(vwaG*rU`$OJdkc<)mK#`}TRq4p^Ih4I$x z-CMG<*^V;4@J}S+9fp#RtFuzab!5dR7VToosb6)6%43_!%}~qF6;Df;-hOvbUQPDc z2SjASmzd4ReYdf8t1D6u31IRKQa}`L3*b!unfSaSb*k409>;xmT_9`lIsQ1`rApIy zGOfDrhQAzLE%}C~!GK-UTuRis)V1$?iw` zJIT>T;>BRMHY0me+>ZLGp6ewt72eh)->lZL z@=*H44>juiKtdGoOb>IOzpItn1=3V z$rKf7;+v{%w z8|5p$-1BzcK0eg#eV3W%+M^W`{pF@y9T$CzAwvDm4q2XCAT41a--HxNVZMs1q;k||$XCvU8sctc3n z;dUqx-WKOAG3{GSw#9h0_8B&+sim0*m2D3` zJsz##@3J!O6HntVC`+Jjqk=D>n?`y|yi`ebLULJKA7cKAE%Pfao~;RUoVqKJVJ`A~ zyJ_@&qp4%?+zX$E-u(CJZ<=0MK{FqQ1p1!08Pq2x>{PT*i2U9v2CG^PKdq)0geIj< zTYm-ztAob&?W(qJ*Tl{mGHQgHln_>)B|~=e&Q@W=lw5xDEvqY}bdR+UJs?+;Vple3 zgF0!TuYPiX#rEE(pBC=Z#PE}@*FF1;^JH|hCU34tJ$d{(?& z3)M>O){330*~a=GS4XQRNlU2nxkcgF>Sq=6lecULeyf|hp^7tAKa<`iCbElUQ|Lxv z51mPyvavRmSu{4D4?D*mA-yc$R9)c;icf~xtA{h@dnWwbU3R%7eDvu}ZDID77npM9 zmK)ZwlTFXuPE{!9Ew}YWylvg|wpDgd-g-jWRV~e1?ijv4o|$+6Dl|OYetymHpwyo* zPEkE$|CQc6O1DXx*=w)(ZCBiK-m=KF)us0}MY}Wq2QeWgE~)d5a*Xb~C!?idMcVHR zIdZd^j;Hwd*m-*U9`6C!F-blc{4PtWdwz``F#hwqspS*9uUO?8T=imw!p@-Cs#`5^rBoxX5m}rRdPS3?&!6Quf){m-q%R(1mZ3ndYwOUjQd>q z5w%|mHNP~^z9Xf%L$A(4tm7A;|Ux;kCG@p7c zEfu~s$Q@y-4?QHEZ{{zNHR7Py%YP18>HDRL1L!vT2U3gl0sWb@Uy`<<)~u=?mp+oz z$pQYrc`YE%OwCGaM;a6B*E5& zxCtAE=%T8xRP8Dy1ycx`Hd3Te0cP^ZGL;@Pt9k{JaoXRv?bn@YA6;2rSm@&g6F`Cpp)hJI~n*nH1hQY~;QXbL`F+UTzicurA%;at% z+`<6L2uq)w`u(R{Ry+{9(n3Vb812JayG^f>KB<_4>`%5V2QT$n@;Q5!TI*V}6Rsqt% zCNQj}x^kuJ5#hDH|cvLMGiiIT(ix3Ykac%YlTwe%&HHdqyiP<6I!%WAh4A5MP)4wvN-MO>h+JD;R?$VPd?TL?ygbq~Ci{hsl+Y?lMK}H5 zi;L#}y)^L;-?VIMjGOA=wE)(F_JFru@+CxQV%e%DNVam!xPFvgB~U_cYfjXR{xJt) z$#z#csTLX-(p6~RHL6{nO14uqL1^G&0Mf%Qg+2X@J(UtKU=nl*x|I|K#1!%zcK( zZfL(=0TI%uyFx3p^ia*gNI4a>ue~6Gs?NwlZ)8=)*EQ; zuwosh|G-=4y(1@6TwcD>H2!F30)?LwY6NSP>Fh6I6QZ}7ULtRG-K-eN1-J>JUa{2m z=+scFrC3PhJoIFvEZ)~}^W&eK`OaVS-wbo56JxBqCINsg>L&s3kHlz=Dy*iPQiT?8 zx1*PhH;$UD^CoU((B|>w{IM~+z>;>76$F6lHMr$F z#!-9vN01{Bu2g@B)PU;zH643a5FW4KOw$L+1RFLhdo033%*)2C8Xx|(l{R|=3YTC# zz>q+PC*wQkgl%mP(a`omJm8A;Rwr^x&bn$+aE0F>q7zo;XM9j_6dFEQLj`M6(CS_G z#R+OvBX>0p@H5s}FPAu$spFp_a}4JP*sO`}qSMWQ|BeQO)tv|vb}%YSTZ zzjFou_c|%<)wn~FA@jxZ6iz{zX^9mK9dr|QNN}+Z=5^rv+T@}=s2H;(5&&xn5e^|h z7ulAnMTSKQ=n=XeUUJ~#^pFGp75k{A{V{{6#tuWhInz$mKyhTm#>OaeH~@Tu3aG^m zcR8r8aGhgHc*5116E4fX86cB2D%1u;f@0k>7>e8h9^Ju}W-0epSA&Y;XhuQpw(M>O z8aBckk5LJzC5@faot`w3Olw$^f~;ximG&4mgkG*6@u=#)w7? z?k8L=>@}3!hFCr?dypMP%uli5!+6gs5;0z1@I{)29l36K18K#mGY8lPA=J#>=QBy| zoA6c_=STB@zG?4)6+d|9%7{TorMTU$mM|x<*N=l^TRjNW1UiEYbbKd5w5QO3#ds<0 zVIfXbjMj0a%@rv8iCV?&TcN_tq*$&7RbmMQhc068PIjqAQeqZ1vC{D@6!I3f4}s

    qQb^~cf?sW4m8wLEN2z+{XqvD2;#}bOH#2jrX z@Ecc9>Tw73fV>*vHYsGeyt@9TpIln;e;2=L#4a{JI)8SHqrvnt+chvbn11a9-g)ms z4$Ec71DJXv5LwAI_1%`+zw%bmef!j^bp2(yCj0a4(ZNt?4lRoJipJ6F9!GpWZ9k4& zJ)!dS-C4UCGO+JK#8&($P8f`=Mh!FK&wZvtQvhT)sGTzxut3)6yMIerD%+Wgl}fy)Tr{KJvS# zn<~B?ZomgWnpngSFx8$roV7E|!bpRC=jhF@f8N>j`fDEozh>|uPwd&zzo@x)s~$T# zWBJ~TbLi5x6HWdftZY(Wy}Y_&u+iE{zrSNKwEbXbP4I<-Ut#JJ^%J~GTKm|sMCkR= ze0Cue@V?*paPCs}^zEHJmdD`*2mg7zp#`nI+p&7(EzBl+`QFWqyTPcTF6hSC&|xG^z6EEZ_V)C`Trqb+vQ$xGz>d3D-YdL(T1G) z*WV{yZ@<`t@9-*#)=#{tvzMJW;hE2*o1{;?{>zuB=grS993SK=PIQ2DVf3{63U-=- z%4soQz`uGSvYC0@>CC4;Wh>FD3G|?I0gSLFv})zsaeDq=?i*dGe-Pz+9$B4Fe@<08 zp4Dy|`Sy>0w|sc_l`BC1W(QldO9Sj*6C^SIH;vG4&z-VfOWimpSjSAvvEU|w>!q%y z@3gZXH!_oM%40MOuDfZ$W~Rq%EW5ZZImXx-Ji3ZihMM@@UTU^@v z+1p+|uL##uQL_>@y5kJGn<}sPsB3*k=lzVod-^#t0+Y*w6{vIP%jIZr9sMBluQdPU z2We{pfqMf%;I13mVVsV{nT2g>dd3`Vq=T-Sg9+o>F61)FsFQ=4ch3Mi<+XO6&eS}Y zDDO7F%zGVjgNsub_T%cLQGTk%>U~(XlO6u!v6V7KxoN}i_)cYJxKsVranEWBNiZZKj+%kE6%^Md;Y{n+{UgxCSea(Y24<%RoyM~1ONHi#{az1eyqmtn+f16#?GUK2k-y8(ZiqeOS>H^Poz=!^I!x8m<*Ay^K6$ni<)7e$K@ z-6ywO$CsUq4&2+r46NJ_8go0&=W?5rRZP%b&RUOlF;ku3OoEe>n(!JYyyeHpa?Xf$ zJnQTc2JTFT1R2@8BYTu`-Ghjnl&xo>o&D-9<83PgO5&uHPf6rOySQu3=sOpxS8N}> z(#(*Ve&kLYg7ADX2SNW1239C&iUvLoAxjkOB*19pk)86RB50bqoDe)R zhbVXBAa?KhUaX<_?ug*G)@wAxP30Nio1l4gWLNipz zPftMXbu)63MS`P3jcpZTPb0B~$@OxU`4&TmP=lY7s9RNL&RI5+6oy`+q@9Re(?ase zm_eK6b@F~o1sj3=?2s)(b2eri7zTbhSVNBq<+3dtu9aC5tibqoqWu!~<6;Lu{Y1Cn zeJO09Ex_FqWt%C)2_|`&EKVL7vZ+DDLTT8{Rs;#~q)OWoEf8U^mhwQ66^oIlp>6-A zbGA?CpZKQT{&*30T!$~kelfY98&7pVs(SD4Xm(F48HYG8dk4KFYkJtb_9xM_bZdBL@b{11nJ?r0o?gxoYOrLjt_%-H3 z>hjy9{gJu17Vi4(IoBW_j?*7M=4XT%YTkS!zoz0oCb1S&Y^gOLQ)btSA5ybUpm%8v zOzvH3e*X<#JDRp%f0HqFkBN_&yyK6}&d;BVZd@hwdB2)gxcM_(gNIkuxXef2AerAr z?uxv{B>IC5k0~SmySVv4P2(DM4STy#jtTfFy^jZH5xSS$F*Ps$vb}0^xnS-MOqzf+9Z-MRqt5!}OH*xh<9icb=)NYr z@~sEb2j~8Ih2^U&RwL{eaeJM5siPNkYG*X>11w18cd64##0eOdd00cv%apxxF`8Px z5Cx!$3*=!mSPd-aZBjQVaXVY_eXslJyjt!WEqC>ry=@hu*EV%pv1<0YS@z4$es<1< zAG98yq&IdrO`l1tneT3J2nDk#W2tB@7O~1Fq`P_x$dREx4sD*-Cd|-O^rq9 z0!&-#t=#vdh z`bm3i9=TRgsaQGcj)n%!+3xwybJ5Q6|6(@&HORJE%caN4**C~<11iBf8>{s9Fbzz% za9ri)4`Bb@v72!feT!mr9ZH$rPgvirvu6INQ60_3b?ac+2_`#d7T(SeMBkiY&9s@C zm%CD?B|_C1v#{61pZAoy64Fo`BNjJ(pyh=bFxN%33oU-wkgNQ^w|xs|uKlK)Op8bs0h?6=sa@z39y~bXdGOG?8#DKAxR-gr+npDH0w757 zigQiqfLKnxed=lQ?MsKe$F-w_s4qWD&2MqpZH%*`D!l zwUnRy)>$)}bH%CxRTK~=3SI3O813kjg`RfZP1Mj`CjKsPfXvxbtIUT_m0Q2n*~9#6 zr&6%m{CNC2q5WH`k$K-6v+jxZXs4M5wmXj#?c98Kps@?h9&5Lo-sjg=+T~xk$Ioo+ zXf}8BmS67}FDzK4bN?uua}C(#0W`kbOee}E*LT{h&Ok@Y;W^g|Og}0r^Xj-?IIiRy zhiY(@(u7y_;l+Eu`st<>-@fCUjyB)2#R1@(HqJTO$GFpdmz_Z5ToIz4X#@>qK0U*9 zK)Gf2b1fDi@n#1xy@OH01ZIts=qo1Z{EP(FGzJi_tb!gi${?Sk_EzE)SwjAU3H*e0~ z<^+i68{ACAWDjtH03npmZa*LeoR>m9>L^HrXOzqE>#qSp=tUC%h#UY$9XcdVb1&6o zUkyD!ie{KuARTo|fJ~)xGmL9B)8>FrSh2ka*r)1Z$TW-qLkV~xYUiLty`f$TJ=e0b zLTnmc6M7odp$DjeQ4>&*=392wE^toz67?)@pw%JxLloeB;6$C99n_Z4(=E561xGy% zr`tm1M<=#X`7C+Q7HSo8?yPY(wN&tz(dr@iLoM5YlXNf*?PP`}$V^2-n&!G3GuoW< zOq2Q=rrevI&q>?V8EKBoXQ#^59N4M=W4a(h#;^%cOgXgKQ3a<6(5EX+3DfxdA{QfX zLkI9y;0HP2{LZfWe8(4Op13sWyJB5^5+-x6FzA3(Ghgu4keUQvKoaw)m=43*4FRV2 zG6GPb44n|OZi5bbAV~CL=4=_I9)O7F)rztPGe~W~^l_|f=BSG;`LrS~V#w{30*E*P z7HdTw)NGnK2q6tAoQzQ>3jl($%Gadc3Gh!iDR@Y5Of@neI?-7Rlhf+aAe}4501lE8 zl3qF&RZxagQ1gFu#gWQHj$ zux%NkQCVt|kPxnFp=laE=GW`%AwSmg=^;)^p?K&MZLq0-MQ-k!4s%~MhX0o$UX6b88r#NyV$Am@5+vfOpxlc!w= zetpRM3Gy*TUbJ4`wIRFL) z3WMFaca0HdC-Q~Vyd|-A&qv5OkId0{1&njgmmfa0syutj`18}orqku>O;(fVCM(@> zy_j%@Bnwi;{P%=07J57&% z5-DHfkngHI3yX$6d0@}@z@7mnx|!LX`Ob8Y1o66Dd)3eBn?Uh8=bHc7Y}?9HO8)er z)yyL!Fh_AUc$I=b{q~QZv@LRPUNK+k1mNWGrCn#VOOZ2DXWxf>=Yc=)&?}}D^Rz6^ zDpP)#pi&h?x=&}xL~sRs=< z4PP-`B^zlV_l&2&Y>CdfDB5F2Uq%mr-3}PRyRal~0`r00jC-!w4t$H}&4(unwkx;( zHE2J1+LU_Cl#%7bEfjIXkd6 z(4VV|f9hd+ZLObLXFg2bsn7-LB6c0r#Ex5wPF~t+Okve^_$r z<<`d%bK`O5W9V);3$CDGot_yVH0_yI65Hlx6Rx@FHQnID&w7UnR)gLK7C)N0?ps6T zO%w^{I*8&G^Lx)lXZN03CBO44s`IV$RKh=h#t*l^(JnFub`#EBdycMr^g~PNond_4 z9{jENHk1q1u)nD35q|N$y zOt?p=lFY)N^4kWmxKa4-+w}b5jt1uR`%3099=e(X0Fe0e$X{OGxMJ^*zcCq1J@^`j z&nv*Pw}YSPr7}M1NrN=yx5uag%;>TmxVuIb7OgduA;kHevpF=;?Y3DoMsIaRkb|Q?AZ1?X zBuifruM%RF1FG3MB)r7r4oE&&v?g{;HNmRY@RD!thlMI zmPrTqzQp7?pl`|Bl_iWx4%x)T11f#LLEdQU9-psiJsioujKRwc(6SQiELkIF+-jvL5M)h zCkQwJh_{qM@>ZePpuUhShxG>jn(H6`?~j*veFvU|qZ9!-M4ZKdP2QelV`hk_0B}E- zB&J2r=*?-~7D?iaM2jr%q5Ul!6-}I9KOcYk3`GR(=rSD3ZjF>j6C5RI^d8U zfUroS_G-1XLm=FeBNDOgvO&Q{P89ZAFcS8&avgty67uS}O$v+b8v2P~QjAl&XfijW zD%Fs-$cTtN&q|ZXc8@?}Cw(08Qln)VUV_AA?7hMsa}8$5Bav!|d`FyOY-`Q{`X;B2 zGbzL^JY@S|@Qj8|0kg=1((Ii!f`V+pN;#OMqR26&mvKv~7=Z?RNJ%Tj)Ly=Egf4b@ z{b&{`0@SSF9!Fnz|M#muZN1V+gboU{LV6vfRH=WfTkcI zJsu8CZ-XRLNLz)M!&V^tT*zI70}KTwBS3)%KSLA;4mjxocf&%0uAy+haDa@faKLp? zIEoBl*uv`}BM*L;n1u`l8-=}+jR4CR9)qK-;Xhuvbo-I~jw^SG_|QiH8hYTVx~{h_ zDFpydcYWQ{A2!tOos;7&Kg)i1*JsWx?&nUt;N0}m>6VAH4Ial%AP_CQ&`{qDufTt8 zZaVhrd*7m-PXlMCY1bf^o@VAlH)mgSY+A@Q)UTeC6V$()0RGLMHtO(H+mPB>_el#7 zh(3|F4_z|<8$&&E?}xeXQ?vIzdIk0WC%jMnoa)*2ALyNRFTU5;x#{wY_D!=W5&x+N zUeVHK&MwA#_QKx8Lj!5W5;H8&Pz9kUf=oKk?%Pt)BkiCoj&&J%=17UV(y^S z&qDuR1>n&QHxKvhdZ>Bf zXw7^7+ERY(wNu%6=&zgFD0(phFZw*PiMkCoa&B|JaPWC)+e7z$=!l2D-0Kg`wZsp9 zj_;rjUx>_8cQ~h@07A=Sd*{@|y$i=`jx}^=@3}+G$FpDJ0rTc#Pi?-GeaGb7`Ipfz ze(GN_S{QQ*N8Fs*!~}Nb)#J55#*w9@0^qap&?Ri4FYTaTP*)4p>TI*)OE!QODR`z8Uho=+W;9qy z!m}i7=Q;yWASJdc$7eQ+1N3J8HRKe6R0_jJmfAqmF-u>}GBPc0S2ssrpy&grwFQtv z2NSpyeTKJCmXY>!q^o}BpC*`Q2uA@?2+k)1#G(FIsjAT$Yyvvcd;c`UprG=!>f8a( zwNZt2Pj+#_Boxp|+m11Fa;JnM4RJM&^J;FDisXMnU0*|oaGG(|(0$~t8gG+hwYQmC z#IWBMx*k`+JlWF8XC1=}&L)SGj#K|G0yr|4X&U-5Dnuz7hxY+l2*oX*@d5OOB$ZSD zZrSYo38tFBu|GJ7fh=?kcuVkPfk@O?nc3`o0W;+xPmTJTM0r*V&|m;#`W*Za;Wu>f zg-{g_%ef}i4j*s*&8VT9i&Y(4%?QuaoaY7VF#pAYf7`rs#lQdciXq{vPW8b^fe?+a z3^g!V6r@8$k&hcK3@lJfISJ#1jo^orBrBEqU~Yl*aG2j!f&&T#yrckrxpKge14k)_ z5f9!C{_Qu1ARHW3<|Y_lByUaVtUF>0(u|X+Z&TRtcWN9CxBH$ zj4Jp+6G*U79h}7yRH;qPDP?cTrILUVK`S1KN`t5b4G5*3=*QwDpNAAG&Dk zmG4)+mf7Gtn7AxiIjI^=xJ@#{WhgIqt%~|MqbuXP@B6m0L)*pJ3*XZ%JP$`#jvv1UShs1R6eRg`j zFCb~{&u4}NE_7hdK=U)A%QVTqI~na`IyCtmO$!B;jT)BOX7UUa{nPu`2|%c?m-Tm@%vnnL-QXjxpy>dVcX+~h?LM{$_lBB7+HE|h- zlk0om4ucR`P<*khytR#W z$>Ee!*-$@{ddnrZR#cxuuk2}|#H*P%1)9N%%et{5nikHJ`4(ba4oI|&=6ca63Gy~0 zX2VYk*cfTHStgk;c| z%`kEq2ZgMLxZ6ZKd0q=wBPMFsXz42Ic=t&1$NR&!X-3FSHjfCDM-gq&%@p!f%UYR1 z;kudPysr6!#19oTeiF_Ejaap)jh#~Vv#4);8Hk97_|3fZCde4J)729u#p`1`ttD2B z(@=!7q}ofnRP|a9Z{~*CO3_!;iXD^HY$;vDQI(CRUPq}2;@Wety$yWQ@!?e_B~BL6 zf#KmMsrAmBfzyx#x*>kb1`UFq)IJzr&d^o4UaEw93Gx8)=Be>0ZN&_}hJOlQO%K~d zAVmf1dOZ?q6t7ECSeQ_s!LUPRJL{WBY5MB1ukQV7M`uazHw`AK(21`aBiz1SwumzU zQfN`N2co`Zs7@l4eN$q)p$yX~xol*zR=SG8lj96fNw;Ef;}HXjY@ldu;}4o9dm8zz z$rW-6zY}8pY_VvGM%SWR;qavLtK~4vNHZ9PshY+S`HmC%Arw1adu|RIjfQLNKOWy zA(x^~nNS4S^hBkawYyXU$y*`!vo*98-6dGDv;8EAgB;#?H2ShaDlxH%GIUO~8#HcA zvG88o&-Q=W;(I1}rBU?UIx5E1YQcLj)>}g4Pzten+lOqtO9aI_IvpS}6rJaim z6Jw4tI$IV7c?szZQ9h?}bsBLE=NJ-06z3x+$bKZ~c*7*wD)2B|Ws<|)vP9?s{UEZE zjBTSfJ;PbCr**`o3RZ3zDE4%;Y!k*QXnGq>k|j!WSy!JlZYz;7XgM@R#~*N;%||I4 zsoR1`Y#TAL>{S&7(u>XOGwXVXbWxhzBx0M+S48`C@UFbRT2hKs9`UyGf)`jyTnS`F zYC2oYb`{T$m@syr#mHZO_scJ-f4I^J%Y|DL)ae0SHNKr4Ybxw(Qvm(BprWk*a5l+j zG>Tiz%4)07-uhj4qZDtSXp&*K+cM>>ZA9m&ja8I>r4c7fCOI|HJi11ySKmU5_-wC; zTC5X0s17sv$6?n$99PDz>~_EQE6l0;w8l8AeV@L6odpG^CR+MCPf**i>`{kkQVW-KBIRvo!)y^*6wub z3I!+jTUxgr+d3+(v{XrIMLQi!D^~9oQK+4C^^2~J3c~MYU{$!3Uf)}5Czey6Dg*NBz?vG3?a~@OnNn&JbnbEQv_bh z(ey+=Vs%_HN?Wl0KZ}wP4e{41EUqYp@_9+!MRkoxSC07$(+zvbwuDJ0XclVv=#n?8 zfY8E40TKcO9A3hMG6!q|enYW#;UG741Z7llm$p=;Cs~E!1w+QchY-0&tpPNit(eh) z5Ua2ZFHr?rF6EafB+B}(_B$}OY|IO}sF2bigWB1jYn6tQiry|046TBYECs*m1L&}) zmX7vUgY!}3bLwR|xnh%Z`iCp_egvPoa2%hSGWLy`$XV%D3nQGMcJ-m-@-9dF@6=n_ zwDx~mdP-Y3| z=wGQTIF8nwgy1@oXqTn8rJ51n7<=yJWonKmnMO}m?w^PbfJjDu$8()H&)Qc_Y(mVN z7gW7*tV>1hDRz>)Po^6gF{}vvYMgyU!$WM_p$c`VmA@48 zBJ0VJ_be&yYHvy-!71nJjhq@!%Xu;D=SS3E64bj~=J5J1 ze$>;^)2hbK)n=l;&-ragMz~^#dV*108}Y4U<*15&m!0b`HMv($?@>`n2R(Bi{f@l3 zSLhw}s5eq;Se0x3s7qO~xbsS*62M6)BjquW=07W7WA8L5jHy^tD6PU8A>19**~0a_ zf3j3UDI%gi5%%rfNb`bnfpspY#ITseR}F=2Ufc4puP=Ykcz{~Up9=as5G4|vRF`}z zSyW5rXb0zli?LbbF?~T(vci4NU@~eTwY+Hc8n{f4)ZeiT09QGZ#R30?R;sZqW(b;q zDrN{KOQhmh4CaKSv{T|q+aa@1ELMt>B$>9K^2wfBGRT}UDu6AU;G9~h-oI6wpZOt! zTI1qWjBKJTLK$b4#IqGheQC(@m)vcD?GB)a4 zs+O)~+0-pnOC?*vv}l-ykff?ql1f6hY0;3zA%oQjBJ$ggCTp_nm#WfLyCh^A2?0t% z2HFw9kTAp^&xD!HGqHpqBFW6eiidSFnIvYNtY=j*!jaw6bxX~-bwVhCJsQY#V^S&*Z@qf8%+l<8 z!}Q{EYoc9)1fQD$N5H!80`}KU9y&87ne?ggHs12wQp#TRhiJm`!M4ZRLR4vDH#7~Y z-iAK0e_xL_cy}YYV994w>D@_lo!b;2k(%Kh_O$jJ{i!bBsF}ZT@t!^Z^IK~cHTb1z z-2|21kRKAUJ+0Jo^fd4EbvJqyH^$E{tV0D%2HB6wCK3=S{{S`=_ChLEW9h9f)>yWS zM`SFdH$=}~j76LoyaYb}T7UiuPmq zvH3L@ku}<5&5=Xa)o2u6en>K+y9nDL<|Qkka=|=ihqx^4Q*v1JS%I`VhZ5Ehot7og z?MMrB7(%2+Y{wc}vd)ynAyJa^vCBoy6gw7%`WsiA!Ng!%W&O;=I#|Jbk1dC-D}I0d z>-WcAd;j;>P%;DZy3j%WuQftJ*r|Jx+X zd!FJ@=NV&cv^zQ0HR=z2gobK0x6)g0SVg9?aTgm3?Dg|ZtM%J2BhBt=Q|w{Z;!E?S z-QMTYeYIq8L2)|u%lpSva~KurB{{O0$YH{^dXg7Es#wi4cG^EGVfVs5vit#-II*{< zD#_EEr38DZPqG_xJBhS%`q$#9_apI*-~Lp6Z_S5-LSJyODsomq8hzP92TK%^#5D>? zawE*P<&5v?A`F!_TXROgCh{TaZshY)qZjuhUaIi&isoJC|GhMexT#^_4ZttQtOn(`4)?30G!Mem%8aG6myLu z_JT2nyWM3EN?q7P?m43I#${(k8RbRUt3-aVSuw<`z@WZLh!tG<_q z|Lgz|9P7NtX;0^JkHN8pn@YMoQ)FPRr|tOZeW{6-)Iq}Tv8(4+`>(Yl#Zpx|MFV+tg((wJIMYgVZ|UU+rqQt8SCOfkda981`l~y2aPs9#$+A7sd{L zFW=6aP7~pjH|*CB#4!=_h&z?Hmxdl_sW7;>0D&8mNXrNM;;y;KrmhxOR4P1e>e(^l z$awRciJ!jr-)aukl>rkX39;%_B@gjgb%Io+yo&7pX@;p7!Jw7uh*t1Ci4SxAJE(s* z9mRl^zLO9IZv#(R1tlk6%&V%6O3C!X(EN}rq?-n^yjzj7?M%D9n>@_W*B{Y^>e&r9 zs2OI1)HWT>>DRQ+SDWG&w!56F5l6^2YI%F!?pDHQJu=@-C2{mCnq;ZVTHJ6A>2l(1 z=#N@Dc-^9>v2pL1Qo-FyBQ983SOw{G$$=63D%)sN7HeH_CWBN-wFaZvIy+Pd*76Ap zqGtBH*KJ}t%h)k2<%$xL~$cdOTs}>jK8p2%DUat+uP_+?U4kt%diGRlktx)#s1Rz!;Dn+L!Z#2>}<9*r^_x^U)t1VrDGmMEC%aENd@GIs&v|LgddS zCmgFXOfBR&zZiRC@bNc3cau;)vZ`88D*G9x+(bpJ#PuRL6b^ z2^y~T=!_%oZ#5#0AR1srp$RdfN{-N}UL{xxgKP#SkA#RXM|&jb6p(fU_Z|}+F)Ys$ zW^{2#a|8obLI)+g898F4W1$`|$4Ly6PnEV1ODTI!k z#ORtS;Kr#UmpE%6LW7&L2M9%5xgHvWl#-zOdnpmt6M`6nPu{HQAy?%w$d%va6tRYK zSssZkiZMv1%ctDyJE5(D6PyX${UbKJqf1g^rvV7d~ z@K3tm7b2*EPNRM(OOd-w4=F-bt&r_DDawaA)@r;UlYD5xP z2rA6cmSDdADq{xSQnLzmqsc zDmQ!;6gE8OX(NT4x!_!G5Sue@sj@cPE}6nDvaOn~$1$L6J*w(Th&y#vhAl1Ve%RG0 z8%sd_Ubn@Nt|)Dlc4W%yF^F$rm6wLpQT!e;3#Wo=y(Fw7?Lm1;fG}EyI1t(*9dBOAx-|Id)`kZ<@=M zj0=DGi}(MfUGvPP9lgRX8m&eaHil<`{r@~dT zm?a3Q%rQ7dxW}do+6kV^InPUQGlC%ed;qt~j#zarxJ6hFwwKexa;HUN3?*|$LylRc z0&@{F0Rv1JBpD~|Ik=op`i0CAu=rlZyR7|K)!^VrQK(r7(TnY9ihCG+8I1xF2 z7q=QbIKcf7ND3FGF~EfI6?eS+$1gdn&!5D3;HZ!k!Q{fCBAa1Zbd}OfK)=AOv<6u< z0d;LD=R{!jw%qxGi91JciZaISc5=FRlq~U z9BwCYctN*RG359N5-1%)b4FBUAqOv7N8CWaWmt}5e;X-c$#afpaWUdcz$PYCj04gJ z0iP04L_J!UwIFRLJXafOl!jtrIPfS*E!kj%#7C94wmp0Av6}z$>C$!Kwuj^+x>gN= zWQ;W{;t{N75poEEwxWh)0>>=)tBOw6aXovDg6SZ;y4fYO+!>Y(83UAKzX`l18dFpn zmSpbPYUF!RqmtEihNpJm{1ssXWWXuzXn0(+LvrQ?KW}Bf^c|T<=o?TA3wQ<8kpwIB zvr0*bIB;Osx_Wi|6^alFiS+|kgB{WZd%7XtX}QMWfKr_qp7I5u$mI&)qS8=-s7)cCzr1@B4TAMwlQe&#Iz#}H!C=6KpM4d8J`}F@jze> z5tqtQMNT1{4eB8ox;IOkJQNaS^eHH=>?6F1qe?_^F#Pa7jSC?LUCxlhV3BidldIr@ z@eE8zPP&F3mip{UMcrps+Z*geR&MN!4aSNMA`!86O9<bD!>kz5&^;3Afz;3g7L3=j9wd?+>W)`ohAW~G*#JuL_d>N8 zK56?Q$(eTWcTx^pe3D=ikO>h>Kc%emgMNoagSxskBU`zXM$KpE-}>Rn->n2^i6h#y z$BkCa`6cr0nT|V^xkrks{PdIVjwe1$zjCD5KB1mfPMNRQery{bXsJ6|Yjry3?CC}} zdgPV^yt(ldS$vWl>{h-i9hM4ng}=G3yR&cj{YU(GSK>S0{);;n3Ur}Q{E@Yfewysv zwijZg%58%?JG-TG*64zFd!3egX>00W+XuyZW2|d`V{vn`Xa4EhnZ0+N>Ru-veCV#Z z$`->q?&jp0ugu(c>h$b=6U)(lnXg*){w*hx zzuY>ooaxxIQ+muL#`zulGm(8roIbHyI|IBz5KJ?xzE8*R(JcJ=9c<9&$jg9ANBEIubU{$_Hn z*X`}o{>(~{QDvm#98Y+e%@%8I+m)<4%}?Dwy`8mXwl==*C)8uw%wb>2e$q0Bu3LmF z*iPZ~-&($NW%16bGva5+%I+g={iC&fuXo1!Kz*}vN9xG%mKSQLGXJZNp1m>=HwF@C z6y>y;`4CBXjoGgn&qH#2bNm~BWgKY0+N+ljp6&bJ-l_9;_8%?sXUaDW_TR5KluoGP`u8q_1>6{P2cRjA$~D_ z;!f?Qdg~t>Z{FRP(z72o7Vb!sQ4;d$O)eT4KeA zkG%3<_~J+JZl1)FDs$uxl%%!DBsrDXtxkO5Le~>~cuP-j%T;2H6vt}YYZKF5a9(F0 zynSf@&bF4~2?N?tr*@bQP0?9pD5Yt+OG#@9!-%+~rJ zO^k82@BvTzB$+U=ZSOVQMOCArd7ppAW1ZfF*4O>n!eX!Sm~ZcY@0=80+#S2-WB%>eG5W)~{ZDTiw0aA~{e*c1 zvA$>Uu}$|jTc_+H>%;78efzpjCHB&xT4^A)iFDmnlr~S^WgH=A?-GAFJ8E4f{p`OH z@80Zv#FC`k0qg{_zr!CssBWRpwMtWk+;rS}Y45oB-z3^yL+R_y-hg-Nh0q6-mIdpS zXPQ&fU37orzp!J|PsLV89-NN6c*LHs?>jWrnk-~;?|u4?U-hi=2i_WZyo`O#AX)Lw zo6<$LS-WzU?KEldv!8CC)n<3~i{CjoJCXfG@kjm}{lV`q9G*S;(60R87Y@xnLw6~I z$F*Pe+pE`XReojK`v$+b~6FEzV{S zjoX`y>>+M{Fe4q}BOkmi_PV8g@HXi%H($81^lRaxMz-`EeWSkT+NtCkCd@ zbe)hcy7+zLzSL{(4&K2ZZvNX_&zP^<4;NPxL)#`_#xJ&Q`B8f{A%C;uG=GJ;-+bmY zKeBoD3hQg7-;edopXSHu5Bo0WcZ}S~p5c#XPZy5&&mA6Qr)O8Kr~Fr&>x;Y8{znfF zCO@({@znP&HvjqL6^Z`SJ1!QntzF_Hr@Jq@AM&>+K62|FcKpmFHhiwT!+z+@BK{%n zvS*KC0mmuz;>1qgYd$7Q7mIgeZRT0_TCpcv{{6A>Q+;QPuN6Nr-XT3oSBoEW|E14f zJ=W%)#xK?}+hIAYiDzbSu$HzZw$0tpb6P#V`}6PZ*^$2y>tC)g)tA5Wiu)z}UMVcT zG<{Lop|xwD-(p_ly`lccYd6s^4LFFjMVyo%*iAmKRWAS6bq#yJ+YT^(ER0preh!! zVL9YFrLRJHCSsfvq_;l!t*?IM^7xvI3~i&>uatqT=b(%cajk$byzPWEVZ!n<`UC`2fano%=ViADWYuQSDG;&U2Owch7_vj zt$Zt_lg|eqyljl|#mz}y-*2Y2VPgql_)4>hpEpB0*g-ah84rf@7&8wmas+83XNOmf@Vk_wgmxg`PB=5$-U~Xjrbo zqf0m=F?e*5)-v46Q5Nyy_~^B3U2LS_?pQ#AYdvIc!71^yF~D%G&3Neu&ggQpjx7(E z(gr;E&b3xCoY6TZ82DhE&}ZyFZ2$gmfBAjf3LKX;4KVT86uEt?q}Vl_S-)Ut59mpM zocY+92%*_M6+VwX<)oGq+GI%H!IUS;PDvYtkawM!%!o zXS$vBQ_7Yl)zYwta0m;ikMWnK{rRF>&-a|qkI2i$A@c_B2b8A=np5w=*O*mZ7kCVf z{wUb*Vm`iyx!Wl}GKP+v{fqWnW-Q+{mH8)=?!ad)y-2pwb^IgJ>v_rB%*i3lqWN8g zm9%$^ujAX&tzC6|BafX+4wETUS|wA)1s@~+0_|e2b9t1lV}(Wk9NWmI7LP3jr;JUM zw@O$uUf(0_%v0RVq4dXC@L`2*X;udntZTh<$>5yH0LuDybJqoG*9V_;mhVHs@FI)7 z0)fYOU;XB#7*1fBb#TZ~spj^#31!e(sxpEPVadD}H_H6vBFGR7Npo z1!Qlf(H{(N9|@EYc1mLwJzC)U!LufQxj~m*F!1qg!~wT2J&Wuic-9j_a>*@Vcnc8+ zh11f&4{{4(?b*Ov;Mr&}@Jnt%!!JCG{7b*A`~PXa=?_2IgGYknNDIT1J@R}-%oD>m zJ$cS#@{H>!Ikk64?oisxlr6Y z6#ISVpMUzpnp-{xYbhNiWP}VXqy+`!02W^nqX{2oq0omsA+VUNGy#)YYHqFqDC@BMXj2Ss4FqzG^A|^Z(>cR;;g;^PARzBLo!xIqkgUQ6xXyM!^GHXPy z)~<_1p%~zLh8z}~7b}v6w9I3}s;ASu_<2`aXU1SKE^twSOVP$w&GF^Slw@Epc^z74 zl?I#WB#IlS3>BWZzue3Tn{#pvQso>Q?)H*s5QPW#0+XTp(c&tyDt;EyyKwGVB6}m2 zZ09L=(P{e}n?}Fg_OHJBho67{i&vF#!L43rigL+hqyiK2SmUWQ_`?Zr+Ag8f!X_~7 zsMMR?2AZjDd8wHN@Q6_wz>|(TQuK{<}WMO>|qiB%YaF*qMD*J96%kL6>tig-<6Nf9gVMaY#j zCa(8J^kFX6nbjttFr-14>WIFPLAt~vNtemt*bSPP9OL^LraD4eoJPhNHr)&yj|+^{ zN}As!nMzFW-kixw#;U(0!CJ$Sr9rQ?Pa)FJ0_dCtwhL+Ebwo+ zIyU2*bzM2FkqXMpxQE7wvu>rb9>sivE$g$4z%f^wc}ReA0d45gvn+ZHo zw*iz^=xxrmF*PoP1vhEpdYZD5&Ia7OvMyigpc*wfHXchlFpKe_u* zjrr9-HKRQnVMX;^i3zTPF<5IxSpi0byA6*NV_6vLw5`6#YOC0ibx6D_FeA~R_Bl2~ zUrHEJioC=__4DtpGOGbNr4M%+fTC2-Xka?Fq3Wh4WX zlpEx$<21d?FWFLn%4J?{j~j8j4N76rIvzP@9Sw}h#ya|7$hD|0+!D-b8CQq4z!9qrmRpbKZ~u|RHcPIfH3_Byh@?1WL5wy z2_JQrQL?MRMG?3tQ2DZ96&O3pMq+(#$+WPA63sVCorv-T+Ir}rAYw|Zhm#r-n_M`l z9qb{>0_&<=^HMJu1Y?Uoa4jH{RPdRSZACY;addEzz)JzMfIkpC=Hv-RCVUiZs|?yy z=I{@G{Ob8VXTR}|agDN0#i0NIE{bc=Ny4qhpDDSDa~Ffpz;+RSuLysC+Dd6ekLWP0 zs1f%V!W?B0gqv|MB6%PLjo4g7+3Sj!iom`fFexESoh9BKc%~DWWEJRj)OElfJOnr} zD>vj?<5Y|s;94yFkg?B_hE>-n1-O*lm9NE|I-#Mm9hK-%Y`WVJ;GcxOKqMTHp)B0? z8XFROjC8<;Vgl}dNTJSICWS%mV_Mi^m|o=;Htc~BNS^c7jsIc)d(DCFH597gp4Yfg zEttJr#WW=dn@rE)Y*Q`F6_?szo}(&BF+JKV#yxl$Nh-gH7;~|eB3JO(hBkqD@ zyNLZUFd@JZP@!(m4T2h8fEh#2MtmxVG|LH%O&?__PRD&!G)j;VDlIR?VS<21Xv>m4 z!>S)QGUFQ5o4mIpnqNXiLChCO^(;baL*RuD5Ueh7rp0&w3qroxV$;v-!?ir~z^K}Z zU2nXA3|%ggAdV4mp>Pk&%wo`oun`newtx%0dH?(4x7GY*z~X~LQi5UCDOXYi@DdwR zm>-fW{R(89c^db)i%Vy)ktuU;DcIXZrVFrLgCp0$h6y>jT4P65((Gs6u@fq!iE3MZ zj0X`6FIYA#-VG{LfjD9#M;@Dw;Cpig4p_uiE&~?d$jCrN$k$DrF;e=lRx%aRYyy>( z&ZfJt*rqlZLV2SXLkMvm8fxg`3&>DlFMe?xPG>yu&H)=TKudcJX$C8aLI*HTLJA~N z#fHFS;zrm}O~Yo(piCmVaZ%n0tmcEyUO2Jw+Mktx5kft%ngANmp}0}9nxY2+eaUS0 zn=l)YAf?U>tY(9miDB9vLKL=KX^0sezPSPQoDvl}+ChMiVFzR=X1hfbP-pftOgc$FAg=+IenOPH&nocz=i@F%IbeYhMX#v zc>p%hT;f6jRNz8aWZ(F)TjS4^u@b^90dvg5xi2A%wJ@wO#sVXhCj^?WM>s?DZ!sjW zp-~pnZ3d{oh5}+*WzK*%(r6!ZBS9FW%<@DHu{|H-jFnx^(F7Hm-#!4~1Ooe*jlw*~K04n6g&@huv8XlGklCaCs#qTv0>?DoT zJoSUPb>EpYzpDASN7j%Lhg&YuAdHtfzE1?5fgNStdd|Ru0(4mD${tbcN z)#2#{xSx#S@P-msM2aIBMDY_|z5y+i!|_sxKia`uI5MDPmWj|&jj_N38M8rE%LWlj zZs96L5Dx%?g0ShrLqYyWl9+@c8$(40YzY1(UUEW+Sz?(DRf8~+T;PQmcA!E?D3hTB zg!OXY2N{ARiUFGOxr(8RfD7HpR~ptKFN-!I2EbFst*T~(t9pd^qRR^OGcyw)t%% z3fK^hnMl)1qlq>9D-;yF2VTaZU+j9Mq2`yDl-25B zS|~$vm#!e?AS1m&WGi|K`4iJZ3V1hso(PExQxX7B44uCPU=}fgaTho#A+LG?BRX$R z!$~b7_662;-hiJPr|Z2LH(_CtDX=c#5@gvRt1S_2HSkj<`?{-aUt2gp8zmWc7iUHn z#$doBM=)4mV($bxz;=lj^_6H*iARDoE(gA9jTV){9X1RWNtqS}W+ooN zm+WjB_YRzUE2VZm-Vm-c#U$=|A@J?7^b2%#_H%dnHUDLHZCKVRc}Z;bwo+{0H_F6) zV%qS>_z_f$6j92IQ+C`K(VxRu2^T0NH7mX29CwKyM+p3}m6FrONlO{&0q`lTOMzj^ zMb~TePQh%!@Kb5J-Co2u=YpDaK(SX5NjnFI#IrET6G4K~%9-h$MNByDb<%`a)&Gaujzmg%<5)vH}x=}Ql&nfna{y%sLr z3=u4;iXG?VKHEKxu?tRoBki4@G~5+~K_a1_5&)vCOKwFQ;q#ybmI4W39Xl%2@uUxa zB^`HzwEY;b;;4M2l*VOLRzTu=P(L84R%$Djyx@^uEZzpyLLDmC?0c*KczDND&9{Tv zU2uR=NOk7^pz;Lb6U&S4l$Y)o*__AP){w>6Zk)@hLp^0^p)0b?J5vQ9n?rrPsmjFa za<0J=t62`=H2}B3;OFXjGtanba`+OsUEEvmWghZI)fZy{v=>!%D@L|;xrjA3v#n-> zhMf}VEF%AiU8@GjW+sP6*=^p9Ko*&_Hx>YU#x-tk1x4F$qP-V`AYU-S=@+}{MdqSJ z75GC#Y}CkT8P$-|Pxn$jy+al0Lk6-BBf}GJRZ`1Mf<>?_1TH~Y{Vq1rMW^+<$&H#w zx>&B6S1qAll2U4U2~^KSsiA~v4i>O`oD&#_U|V**U&ssK_{9FK9Dn=;Gh8m;9`LMOJPK$ZoSHZZnh zJV*skL2Q@P+G_3MRDklDtsdQucI;;LMbsY-viq%;k{3)5u(QqZj9qLcfD|>*08+6{ zyRM0^N4|AiffpSVak@N6+%b0mdpvZKG2nT~6u-o4Els(tgy;#1a~sj|aM@C`^-KOH zB{^wyl2#QHCZueu6DSgd;5)67sZK7WZL}g;_fuTzd>ofrs&ie=;2=wvYV3y}!&}&S zJ9Q=Oby4czz;g&B4{>5y=^}18ZcL-zb)~e++_rvu8P$Nb7{jd|5}W{5WCix}HY}XT zZ{}l6oJRel-a=0Pj;UI}2)2r$5qJS?X=j1;ouV{9WmkX$FCn{k8wq&aPGy<^g0N20=#1#<26kNbL*0yZ?iK+7L zcT6=~Zw0WzH8z{Y0{}HJ)&^|yi&9vL%_g_eZhuNz6u}Sepe{Ba%rGTgnCmXk3Dg^4 zuW!RT%2gK@kdGTyswGG&0(;E^RRa%A2j==_>-J|qQuF2uYrqDP$rx5pjKwS*fp>+y zPDo0m*Oc7uz$uR!RV>}@Rq!F?Z9!=lMFEc3w0TK~%H7G~ZA!^p_nUlXTE3eh^F%eL z4X2p?M>alYE;bG@q+7u>*o-sW&T_3LZoyeU`j)P>w zua2W;V1g(fFr^8}F!J>lQN(d51O%8`mgkNySHn;rwcs`3Q;%czkAkatB}h@RE;-dp zn7ZZ)onPF-%bA zM!K60@az=PET@+3RO-acTp7@kV^tx9qmUT9>Hvl_Stziclp^x7Q(d~^-!@&iW6$dQ zzQ2ZNiy1_!Xv|n*q#1pM{xsmUMlow+luQ&6dEBcm!D&eMmqvaYKK6Uo6xhPsHfk@m zwF!5#HJ>_D$D2@tE1}uwm3%5{r3zvh&;&Vo+d>z&i0|VGsWkBSn?=4E-+kUxgQ1@+ z!FexTUmE#oWh}Wu0}~~!X5dpX@;CC@o}zQx{d7mLpX9Jw;0RLx*+wz$%wv40v1Fv+ zRVk%pq$neljPz@mT8eT5+pA}M3&F?tOtSNBm%3QL1lQecvU7H-g<RM%5lwo*RjfwKRpks_kNN~cS~-@>j&^!6zerC+*q z$xhY19K5dGoOz%sf61Y?B}clZX2#!bri($vt~B<;fte}+T`xJ*?zeGuX5WT&ZRB4T zg#N%vlkakpCv zWT{iq3Rr$OYIp-IAONXy5pT_-V%ENdYAsB)-Zph@QA8mkz%-9KN|~sRT)#E8sQa|0VKraPx3h_Tx$4yizEjJkZ-3Go23=d}<#3|%^ z0bR|OJgQJ;t9TyPc8aKA#-i(;o4Q0+F_;^@Rr-KZu;J4tE%Q7&AtE}0f47utM*jh# z|78Z|L~VDUN)e>!d{EsQxe<$*gUM8l%%J&*W?0-$DLghZ;qJ52J!Y;H{ga?m`;mgf zRCV(RvXlvFrCiYo7!^QWHDlNUNOcjUkfk6(_*{EXln{-An$CQ!0bg2c-d)SVQw3b4 zF*1PtPEhTJ%u5H zOr--%aWI{x9AfvfkII#57Uk+GCOJ#3n0kXeGIzK^#v5oCc+LNX(W@@}UwHoa_2!VA$g zOw|C=9aFKJSupsGDxcJ8!wfAcYUVH`&rmglnbul_eRLJ zk=|nlIOi|)B`ZtwJOQ3VD(Z)TGc6L6A>gmUnYJbgjI}fwmb#MgI-ZXcn^~#mz_QV@ zP^tjE=YL(iA@(o8LQ+j^Q)?K$F@9)l}n1$%N4gl3rese2~sHxxRHYaK^;!`2ru*mtqe>w z82Gw*92bI-zk^-HNR@hwui2=$ndqpL2mI77QJe2jjC;Ras`h)WS`*V9K=l>8Ad3J6 zLpo#zqkap2$4)JE0n_89BIJi*ro}1XdWzVZ_nR9&_uId#X};@ScG}`;xK^A_@P!*d zd=z%ZF5?>5sfvV7y$6x1347fJV}B~BMs8l}28!UQFjL$&+nkwo0AT>^J7y}EDJn!9 z@bG`KQUyo^z^<8Tv%8fBGqt!|0j^El_*)PxiYY!w7FjA*9F&0V3|av+BVUIesXR9> zS!$(MDg_*Sm!-zkGChT*vL8woEHwjI5u#v?bPC7^1hq8y`L(rXFmXGS?xmlZa@ZI-+n%7*v>rXxEaEn)T7Mn~GSgQklGo-G@B{CHY zk9!s%ZQ#K@495Nn3EZi@fWJ--x3N-~0&AU%_1J*fK`p7a#7{kQannHHA_IQ9LZb(n z+iKcsV67=tJAjd2qNghoC?{$^2>uO7-r!L?yJ-@rf=lH%JOZGGxsDqvR64U@1TGc0 z3U-N~h5}fPq#IO%*XZe&OAa*yTNU$NC35;vMT}#8WtmL{wu&}0zb^<<7B(Ra$kede zg_+)-beWx&A{1;@R7{a$u8%Kbx-+H*h?*IcqY42z#fY(BsOgiR>-)_QPQKA|DMby& zKe~d8@TcJXWjjrqnD8YwhJPI>zi4XZqc1q5}bJpBE>HnA!nAR5$e z-i8!)lc1skOi?v+f}-aoO!t{4fC>2N`*;U4TEM-4X*uu%Q$#diIvs4iVl^4*{{+d@4tj;F#c;fbbm@wA2A9>DtXeKCNvQ8(vE=d8^1aC*uh(BnnvGc zqpBZ!mx-dR{w_fKV54-r9P+2kz(xat`lpD3Ud_esR-GX0-@@BKOQsOV)ed%l$x1Qu zfhejc;;8D`+9e|`F{$%r*-F!IBO0w$Gh<}gY8=jzcN^)(DI`%Pr|K4%jVT-~qAv~p zF^U<$pbU7-vhthKMiBSQW{T=mkeq&0i6M!?;9n^P{xYg1JN2y!?%n41AoRee0@O=B zbpVd}98**_{GSZ96seX2mx}DPge$*n>hO23z5F%33~T6ZL!Ho;7&M~F4z&su`Ab8e z$S~BvokFCoH1wA`kZ?6ET{6^KGhnCz@h@9y$)C0*{}fk5pRv;DU+=vGEG%{18bvJu zJKWJ6WT)jqJ%B}ax(4k10i6m;_1Y*Y5C5{MmXQsN6@#;z?xUen_}?VG+gjI`>C|zs zb}5T~i#3uu*v$9aC9evn7AspTfSof@Q4Kr*(*8d+*LvG>5SK8gpK34JYbOq8LN6<- zI_MR~<7g<3JdR&@XYd028P)}@YCbIE4?7|c>SzzdlV(JQ)M~JT7Rx@-E^HSaJP{n| zTU$E<30y3KV}elg`M>_nY5iNPYfluv#C0Rxr77w_7+YlIrMB6k=#5h)JZ3MN)-3xg zD<%~m{F>>96g+a1a*gW6()|t8l(uGMOs{T@t1s)>ch<<&G*b#ibYQ>w0G*AUNLo(kT(pu?K!t5p}MM8Yn>2j*wC3~ zxt(JugNT?QO!!=6_6dI3Dd391jgjP#47}ZtYykKO$FGQkKp+Sr{ukUCC-^npr-hkgVKm_O zR7)G-NbqVG_cWwKU2D1ktvP{}kfq}S>-d|)kW%g6B^6g^ zo`eK#{@$UB-hFtPkeUpSN(4=Y<2;e z*rIx2_fOl*)xk%wiN!}>^;;i)-|i1QvHjr_`$}52((Az|O&)*dwb|{`Q~T|eFTQ&4 z!?XXeyBC{Y)QWHP@07m9wF~#`e4;h?({1+Z?l1Lsv^~4~#oV*G?1d*3h_}wpf99dr z2k+m6Z^Mb)LvMNPBmKE&2VdUbf1%@}o43!Z7ZTV-$i3fUbFq>Y5bpJuj5Q+fApczXI5vQjgK!rQunRhD=EAnP#C`Ti8uP| z`*zK@VP}sg>UZC9PydDfpJ==MAN>CJC*S<3UbdA=CG%anQ+>K=RUGf$-=BKq=j|O! zy|YtG`^0^-iyizsbhZEf^gUX(ezrraZ*9%`y?hc%wS`&pFOUiRy?=rBrB{&BtTcRf z@IDisdMaOk=ML{M$FnQBV^isai7T)y?(_MZ&4*f-lmh!q>h3K+M?K3vYtO#au{ztq z9y3qxH^n@&uH@ffJDk>}k&ph)?}h-P1?n8IVLjbGU2pbkh@ceDK43o3v9r#Gi!;_r#6c#*NR~ zgNF_A=E-~Zo2S%#-?hathRs?yBdfDxTAlJxV(bm5o z?eK}*Id&U1s7g$CE6@nu#frz4_F_VIm4A8Y^x}?u&>y%D+p27O?z^;CyzN@_ERip> z6Zwz#AV}{^v46AJs`(?jmtUX*WO%#Qzn4v4yL2w0zS?G9!PTZ!^Cu$TM0c`p50WRv zX=SHazjUPtfm|iK>xH%pnjP%d(knhP`yU-U-Z-lN@g=!@4}+hg6_I4q6x@*Kda__6 zK1^F!O&yjkk)pO2hU^2@kvOIbZxzk^au7T4PY~(gz)E>9 z7K%>M6=elYo@P|h@k$8b!abdWE`cmW@IXk1FAK>*Tnm|w5K{3N4hYm*q8Qc%Ot->= zxoGLLLZX9N_P0L$+rQBNc&EaP^k}6>ux{U#@uH9ePm3nVDqK{bpjjm919bx zmIhwcz#?#F>gb~q2%M^g4}fDA87WDXhhmzCYeGW=o))9qCw_k6YY+V4xts8+;8?Oy zo%sYiIpj4Hi-kOydpWR%^d5nHjL|WO-*LktAv>uV^(tf zR82K6gC-glpAA;_3$zjqo3WHS?vsew;Wv|MRLOC=>L7)-|FXVtKjo6+xy|<8o>!Qw z5Xg$~W_w72x|mHRC2nAe!VrP-%TDGLYtcaCpIUN99Jb_CaOrnH-v0MrsrkgUB?L-8 zfEy3~5!`(R|Alp|hzAA15_Z=Cp1J^)rI2ie@F;{#%&_hW5f?WM_e#KW|A zXJ1pZWbB_>HRm>~H`wH8i#nHZB`R6VpQq=)fOW=a`&F*)e*C-gceary&HKqV!}yNq zvg0?bTXb%d2SWBO?F-|#tXQ`_vgr0f8ekpkNQf~bD;pJAd-c?zx?PE>rS9fR?y3*5v$DjVPy=LIyGOj{8qh19izv-#1*!DBzx3ll{kaLDw>ET8thmFaG zeHQ#k_=M-jEGtS?t!=9S6|N1o4JSkB?QzwZp1kH9vqHFeM--C59i>lQvVbK+t zfV4wMeQ>ZV(rsl~EW2~5i_Lg@GMzCY#I0qy*PRsI3Ogm6p`BfwYNM`CsCFP0+zoLt~(dp0Hu~_9a!`$ELEezQWxDJZd^^ZaQ|2=Gdt{ z2`R-5>bOxyGs3ZqevPKvQB0WkNNLj>8HZ#l8fIc{(wCQGJv86d<42F^=3=elG5*S+ zM>mo!SjS~Ek99-vz!I0yJN~HOSfMRCVeKxFZM8FM*W~kZR_r6@^Mgh9&Q|6a|87c4R+AS=kh9B49ToOU_C3#O8g#fc=WepPET34jTc(USKPR5I1jA4b z)w@h#iqXpBZm8qAE56Zo@f$b&{vrl&=}31&ApvectMk_(3`8Akn3&wvh1^Ya(4fz& z)_~Ww95`atjh+m}u0vA}cRr5?!cHg_?J@;l@+y5Rtw&;F#KHUV86Fk71!>4&GNcjB zYVj!5Vm9dx$wFw#5^ZYbL{AnhE<~KrRz}T;sSBODiJPydbuQehF$jJL*WVO1dwU1Mak|ccMCElcgeW^&4n%OuFZQ+v@(KqOUCG?oNvLbkgocoA`ZUyQ?m&*RQDA zt^AhFyi1wbpmkC*K&uudWgoSnk4?{88$|Z1_4w>v;@snz7SR`=;mnR~Q~R5Lp|YOn z2MT?2_t=ZnSytLc#1|9lmgJtfx{>5R%y9eh`zy2WRrL$6A82?c=dAO;nUg|)FR^2G z$bFP-Vbz_}JI{~tcxUqFV)DuQl z;cFl!mOL<2CkYU*t7ufiu_fB%cQ%NS;L?(guwXcgGBd(gp=%`4qbhEl@Cq}a`9Y)s zTHG_@R7hd3;4VAow5T%9tYv4mTbz?Yb|=HmkCt9~GNQ)VMM$b4S16?CeTrXgu0r02&K@LT8wQlhIJ*QbSO_Q@3E&r z)ih~ymtz2;<(RucixezVjZnkaHCoXbmRzYvf}9a=_WZ`>S{||$eHxp)yLChF(eo{t zs0djdrizy6M@?-h27z!psTLCBw`ez=$r^KA(6$^@J)`x=4O5-yQg$FxVl?Gg6B`=$ z_WmH8HRDFep^9)S<=f^0*HvFor;&|Xx)Gl<5L9M^Gm+g|mj>xuK#t=s?#SA7iAZAkLJRhmFAF zTQ|XNy#R+L`j!t37(p4dV%P}brif`BbN2aW!cEDNXGD6vf}P)W`@@UQAMSa)e2LXW z${tiK=Weau9C>iwPwGN+&o7K=%CM4RB4_E7*R@+_#%=R&-ILYE*krVM?4OKwX$7?} z>4(~iQYrfM;pPEPozZjF4OmTQ`HpEq!maC~B-IjrO`jd|Ho08$KGhO#GK_P%4gUJ0 zSj0{yybTMt4omIm?Vjg1L9#L2u0?de+N~5jZ5LDghM~KePZ=&W3x(>T4VGP5mt%c1 zlE9gEb(6Y}Yx}kfXH1CY4C*&a^#qEkS{ThKQa5@2n)pjj=;UDE$Uc>C@H#b@i|y>1 zud9V*hs$qhi+XyxeV!~lZx&KbgQ&h0O=>4k+II2=_cQ36l&g%WTmiNWLKs$KONs-YUSSERJp>04U_)4Q#xP&dMGl$kh^8kU zzgw`Pkkiy{sI-njL$>l(&O+LQny~1A%IgLtQ2K|n&%`VPYL=1?zPYSJv`P#Oq=cw} zrBb7A=pa|+2v({|@{kOx$<^6DH8ymD)OyLJCov=tzF`ldcREbQh#T>E3k%CbRMsRn zEny5s>+5=7+q3%NPpw@duf52&Dix3;SKaIMqOZlI2aUcLNq@>3XM+c<*k@blu=MSo zL_sk~NbZFC_k7n7AF`SKz;~4nt<~(%+#QsBTv8gQ*;8DVrpK37#N@>B`^NlCTAWfZ zx17`7kXXxJqn~7&$H03Im;;jvIwZv|d%3N}J5DDlmojS^9#qn__@UGXV$J8@(d2aHq&Avd+b4VsO7}lF_I-Mxu$d zkZhBziXM*Uczq+;6PnPb<&YNZ>2#`*51uwj_@+pmFMJFHQXH|S2#uiSRPcwezdY)A z8^pPjoutWwR97sGRi!Ix9D0@zWk69@kfcasMha^&l~#zMLNO%gW0eM$&!v&~gsEeW z$m%`1HRK4PF+SHwz4iX^SpQqeUHhK7j5(L=1u5g0FcM4B!ibn%tW%8PcG9H{%}h-5 zi6zn3pTiloX%)Ov?}@07TgJnloR>q2&XlSi6I+%$88Iozon8VZY$Q`?eIGrI0%FY0 ztDe@Pcu}q&r`C)UDk#*5&|WomXD>1PM(jeq(KjzcwI4!PVcUw0CPz7ZW5rZRv{Ihc zE2-w=icR_E0+U$YYL~jgImGCF8lpa}KLP;;ZO(}@PttBRXnt#MSc#$U~2F*={o2LS1Dou2>x6gL^t1qjGlP@Gt-P&Ck_b`^_~R;2Zo1t<%0BhwNq- zStCnCus2KChi;^I#5duQ_wfz<7QOmt#1W$=NFC|~8LsF^!>jOJnV30UFfc8NN~+sj zi70@Qtu9zTvxa5HF*AgQHeJxvC@J_6+l|4O>}6?vy@c|0gF1-pM|A&R_TE1%j_T|i zpItVaO^nIRFu*_v%$c2qSs)=0)QwGb<}i?fki=b4Nwk{XY$EYj3ZkWHpFT6g024wW z&^4k>H4vg`tx6iL{q;5wno{ep(6se=AK$jY*eABWwrq%^wff!ziK&lX-~Zn4_qx8< zoD0sJIdgvA_c`}D_x+hOOTfNS^tXb20W2nTtJI6pA zft2!)d2TQd6NH!dXmE*g@F#l{ZV5gWBEqPPNwGhHT}FXtUJ7Cfw^R;c4@Y44b;LGO z@sJ=ZJse1-JP0DU1RqRxb8(4?Nsvx}B0zkCVTgdKvQmL+IGZkra7(dcgl!BWym@Y@ z1|DC>dwKVU5E&zfRxZ{c+1Dj_%y+;&u$+Fgd>QLKf0>y@6(%) z5wp(jz3qVH+MEYpMu4F0z#s&5TyjubIW*h0Y}f_yc94L^LHTn+{bJm_pbMvzg2~oyz<1w z2!g2B=Bl-0W^+S!w*)r?d{Gko*){>g=h|_B#4sy4JISYRj<#;ejsPxtBpCa4ZUhX4 zi=BG5AG37`5Frt^6A7c;Yxdo2o58mWbxYuZQ`{1aX$U~+;M@{; z7aKPlob%k$#T%#&cnVe7bN~w*($2+8kVLXBT77 z#EYz$jf5!&lM$$+0XHtRZ|;FTH|>yhp~n~sD)&gBVcFc6>oPeh_mpyV-R`-$ZxO<3GVC0 zrTEGrDb5X%mF_Jupp5V*)63hc4+h*Iv@eEgT>+HatWel_#xyd**3kHZS2xDAK zBBI(H`(mWM7!d>!Tj_`AF$m33Ic`@Hx8)8jNH&YWvY|nvAoTvoC*R6n^1VlA(*iFI zFn~k(1Xy@L8L(RlDd8tYSs2D{i4YYc24Coe`s#pMyuj7ZE*~+K^g_eH{;$a}9s*FC z1bVaNma$}a1}G4f9L!E{nw$LJQ}6$1-}~=gN6b2Ry4h+lGl3 zusp`gT2CcXbYgU=K^nEF4M23*s{%Of3c$PJCe01Pfi{y_1V3|c^%!{oYZ?RkmFW=tvY$Hu zXF5LHd}oWT8zYIXzS4H#^6n6-ebM3jC>~TKk%6+;&KJM3tr_LxKS})z|EWG zT}H39!h9_70uQ0K8z$IE>IGh@&94pC-E#{S%Lg0;zGimC$TBOyCTq=&yf{?c!Jiht zjzhb}p}g9&_=C9LTEO1$FrG{s!%H(ant({wj;-U{aZc-x7+O3sbgDmD+s2pq&HMQN z?r?ofT#7TQBki@B%xU3Fpg}xJ-H^!?44bEGj#80U)Yv~^Zp*X6?WmaP8(UHFm}OIG z5Ko1xb#r1S>9@R3L%0x_mc}V+3C8& zb!WgkbKMbhj)Zp>w@+92&#mT{R(NM!ceXV49?sd#IU8AL5AUcij^^elt&YC_g$9aC zMhnMO;aF+D$Sid!&M{CqwmHWv3HE5mBI{UW9gD1Ek##Jxjz!k7$T}9;FMyUEWAr5x z^retvk#$}zaNeqLUMO+irEy*(a^9e_-zWS0D`S`99E+@Dk-cPn{i2X#k##Jxjz!k7 z$U1LEJ1>Ph@54Js0i071pBf{b10a`7kY5yX-jQ|8lFmD_&S5X-oSSpR&pF-b9PD(? zv|c#j>sVyJ&@Am3qa9=P7nz_h#W}`k#~AGxqa9!srCJaPeV6))4i2obG>AOz7%qd(T*|NF-AMaXvY}s7^59yv}25RjM0uU z+A&5u#%RYF{rQjXIcHxSJG^uD#X0-poPBZ5zBp%JoU<>^*%#;Ri*xqHIs5Wi2+BDC z;s8&V@U<@rIhdP+xjC4dgSk1Fn}fMIn45#SIhdP+xjC4dgSk1Fn}fMIpW3reK{-h4 zB_cQ{o}3d;&WR`I#FKO4$vN@loOp6hJUJ(xK99LNn45#SeG$@jDbB&%9L&wZ+#Jl! z!Q33o&B5Fp%+0~v9L&wZ+#Jl!!Q33o&B5Fp%uNH3$-&&5(~ZvQM(1>+bGp$v-RPWd zbWS%qryDhNEo8_%`FgFKtb1*jtb8|2^2Xk{UHwSZb zFgFKt`~N5AR^dJ6WxNURnCG<}Qgq7>nCsiPyQR+$_^7t+Q_L;;X=pBPI~V(Z#SWi# zL5E|!bDzei|3#5u;A8o7pUY>xn?K#dXA#7??;M1!960!)--K82JbS0K_0x6zLf7w} z?RtF6-O%;umgtsQUSA4n|GmSX{f(#Crw{~${x2N+p`e>{UAIFQyZQML?Y;Q6o#2)%E*MD-IGvIA8=gjpT(p}PeSlk>j=SX;Gal5#s`E-T~-0YI( zyj9_>>&{yh&RZ4ETNVFbzEwdGo_&HxBB}^Cr+PFZ&JijEiF!MzdQAw6;CpGpqbXk6 zqrn42cojE?2)9H;NkSpKl$`^)HOLIlD&3^$k>Xnr0X|0%iWd_E;oc(J_g^Il%PYGz zh?ODM4vOGIGo(042yPN`fX@?l65AsPXkLsX;u7%><-dOO_cy{2HS4N95)^rmfc#LO zYzGA@szJ0s5!hpQgz(yZf&!t@ZV7v?gEnzdjR=CCE)mEpLc2(aCXk1#AiS&xdSyq6 z-hg`_K@43-$Z!{2qpUcOLznl(G@{5Gh6)rf<>7efzwLXZayO|E9_Y7s8}z^< z1>9tm!%%kxvCvxbbNZi^2mkroXQ2Xc9u2%Nb}sUB@lY2**i^jeW+OfuHT%e?%_h6> zcrLY_?=um0^oM`=#9FgtV4w{hCV+fzP=mZ+!T*iN!O=KKH-_`2;8@$_Y>$caaWmi6D6J%3<`lIiUT8 zj8Lg(C4|fEw2{jp=9h`COD{kiA5mt>uo zeFh%36I5QfgCL>KQut!bT%C4K``K&}vw@2wgTby{q@GuuO=f{V>tHkzFzmqDQ^UTH zaA$W<{u~AEO8@b*+kSdr$@f08lg_!>0`0sW4I<#qt<6Qv-4Pz`LI55>2#EVH&ZhRP zvpMxCQ5CqE?SW!vxp=3{MO}CngV8^m!+s2@pHF{dc*)B@v2Xs>T_EdRl-(|`0n>Dj zS^t`DHvE~yb__&#)mg^QKDTCl+q0V@etP%c0zfBd)Pn!@!OoQ*{pHxjhjv#~+xu^B zwiu80udzT3k7QE>+=U#19hAWPqQI2$-;(?v`yhJO zc^S9>7qETdW}_h6rx|DYQ#Cgd+!DkKcH#>o2ClOl5HB*$ZZbRukz|X_i?OrAYc`ji zAr8_O9?gneNT}NK9H>m&llGqtJOAysOLmUf>1N$5!|bMkvq=sPNC*`3TC+Dcb?gPh zzRorqo`7g3quShK5o(&FBQ)khg4x6uBDL88M#MBcOJ``=rB*}w3j~=h>*V2cul#AI z@b7a4gYVIxz`3y@+SPkB)ni{ITdIjLY&1w@yCToU#(8Cr1QgJSiw=4MZmw;M^f}Vn z18a_SP`*csYRVk#AeNhJww(tGvTMCS8-iF;`;C9pef6VNoc$1-TOx=EN6b28E$S0o zaN{EhpTq*8c^4-Tvjl;~RI?Mwc3&t0Rs$Ad>~^U>(kJQ?ryf*r5cYZ zSndLT-ewKa$+sXe)`kx>c7>d&tB_)FAg%Cmc{UXAE$shYZAO<1~`Y=BW) zCNaI7vPfh`CAFkRq+CKPa@7ZzmXOpisMlI;Jdvn~?N`JHVtY1;{%RviUU^LDZ!IFm zsb4T9*%n)eip)}>yw_z?H3BnA-^KXd)l8Ubjp?#Xw|G)x?kzaZhyoW=6*A95A(e|` zqnuYlGN;P}ni|d)u}K-&^aU8jcMN)D3LG6W{ke1>^>%ct zDJ0smU5ZAh5gk6h#ybB$Z+mFnlDDpyBMaf;TtR-J3|lmpkR>jvZqPASeF0#}q{@gr zow3?JgY!w_h(x2>Xq%}pDNzF0+AGllBU{xLj5yJgu0$&l(Jy(CN8OJ)#J!s#c4rgGAj&~&tdd?} zz(8OO7e~w!=om+fK87PimnJF^P3RCt)5;X0Gz||Ulcr9p36d}sk0`0>gj>~AVO@|y zu0ZM{)L>9W&2pG@Z+zqCb5BX{Kh4eceSttcg``N8*-W`izcP|<22a(l?~u%zx^h|P z$B);XRQ&5uSTUFmJ)NmrZ;g-(RF5K5=IO#AhGSsTjt6*`#?p&IwTJk4x>4?7(?pl0 zEfg%K)#zmEggRd2JZgnv5+!>r$+f#Iy*By_Oh*(&sH%;zFi_`Jx7Aw0vKmxVBiB_Z z9%e)&`*n%!Z&kelVO4^V7-&@u93@A}>bIQOCb%STXGCifde`zh%3xnJ9vjz&bW0OG zl1rlu$}N>?C5l{Qy5be)LUu7bc7wiXM+0pHWMo{y(28HitV_sDosZb=x6(ftK6dW5 z3nU?U3=;vUk!sZ=%;}|KDj#AWuv`+sSc_Bxw2nYr z?St6|a?-8Y5pK zJyxnRPtjQdSS`_ak?M#lvPh$a#jFW4!Y5g58{v_!TSm0{WK~MZ?j=9K z%8bT$vtlDF6Eq%g@hc@=_4(yF!j&O!U&&rk%@p*Ks}w)|I$pF+y(15?lOkIpWQIml zukh~fpsE%3L#oP#U!uZRW|~o3B#8BS*nI+R69Sm2mFAhuXsSWb$dWPB5iv!ig)1nc zjQ^uSHTCUYj7Za<8E@SZ$`ryyk#I;mLn}jmrcLqYCCz*x!_2eBqXG!%#ya_a)|HlX z!+I<=@wHr2cqrc@CzL+TNJnm#>0tDQhG0d=8&yB6vj%b>!&WF35MS?!=hSd9EtXMN zMZ?-8_He#Y68LrU4xL`|*KHp?|J4uHzcxp$MItRn1XFWMgpwiN%4C@12?eB*QC7ptgL0_^ z3n*+Lj8aPtSgg4a76ip5QDdAZ8w;*2grXp05t5Nl0VUFulsHMiQsE{WnQw2nx%-C4 zmUMrEm~%}bukNx2g9Di!xn2C96f2|G4WSKrl@lbsVna;+X{_Wi0h>gKL6q>4k!sa) zkt+sk+2hoym@hB2Cr>aIF=-PlH7dPMQx-wZW36qHua{(0h8W#9KYZ8nP4z7dp(i>= zuY9U8L3%1E3uM-4y5IFw3!j2qOu3p;r}{8^e2DVp)wpWq!4|)|Xx#Tpth5G3Xhc`Z z+XmZfH?S>uq(#~7IfzTM1$nYIP4T7b>w@Ls^do++c;_}jSR~eRo?3>qe0ueuX$VUM z+0BlykK>xHwb^J9=7|*l_gnNC)8F<9Pgf3l>9Dy)4vR?4D;dXZyI9T8^&6l6+Xwgj zDft_6jzXS39#w3o!%-!uZW1NA=|sC1&Eu8v1j*1cO;DZ<0$CyqVy#5P*(zoTPo?3l zwHVj}VS??2twT=%qt~ic*(RbF&`$j3?# zicC5)LiVhzmGw;`SV_t%lp@BYLTUPhGE^a|p(;MKyE5R8$`WM>qQ|fFk}j%{k$hFz zV-hQ}Y>HJAMjZXm@7(t8_9ahz>B3mKb!F-#t9odi&1Y54V#ax@LKurNE61=!ch(Y~ z=*q}oe#dpIw@^wG9*fom6!m1(BP|l>pU_89ieL8#oqdg?y@yJFAl7Bl&6#RcF;pde z_d<1{eub*_#4`CZD@!o&s3_(~Rb7f@_9`iCM|ij3Ql#=}M$Thm+~q0RhXVZ>27}+o*;8qDS2_ zFRr6;ss`O}-NoEfL+>?}B1H*}@Y*f<;C5j&kH>;ar5MNMYswfhd}4%7QE%a@S2K^R ze14FACUWW%{k4DoMY=#AgQ&#fyiPh3wq$0xhrB_qP|A4~6nrhBfz6Anufart${Q$N zNM#x@8f9fHORrZ(8E%L-Tg47uXI&a0xN20NB*VH-)N0uDkg`Jb2$_nnQnqNq@+g^@ z5XOv}(YQ)${ia5Eu}%|8WSK?wP>klK6Ed4gGbanYw43M2n1#4788SvS>|;qo^so~0 z!Ior9Qyaw*z9>%ks$t?r_W2B@y}~?bE>d|n%hQsZX)!_Nq6OHN&a*rmZN2y9n@sZU z`!4jiwpuU6>L1$0!Pg>=fzR;&q!U)CA%pbJZ0dZZlb zjRwmYZ&uWHF-p7eT_h|=`3%b$@m@b;g|lJAgl|ezs;+*+GDQ=XX_C1SRYWgGydjB< zl6{61zR43&shNrJd(FU=u%TF-D)q=piG zcDR-oNBM(M%3r{;V*^?}Hu`vp;CU6cAi5iIF^Ng>*M#|U!C+p;K8hTNjk!U&7|mVB zs7isoJg5pWc5s|mNroW|pHAaW9IhEaBTA7)yT}2ioJ}#z@D|o$`Pv#vY$X;XLh0sx zS^5GNcTmO%m1-FcmW!9Ak|HGXU;47>M{Nx`vabazs#n2cufh?*`K;!W+{;=?B}I;F ze|uvpXUneXIWiC~#4nd6A;k3s_7n5@AYOo#cpL4@(pkDr;^&#Ls@mJ#7*CE`yosqi z-mC{D_aKh*ei}2b5+geZiwfa3gN9EcM42wZJV!8!M{onh_{U5INLQ!t0f?F)6R^$*Y`^>$l3k#! zHH*YTN=FLlvIeCaENu8_WKx#s%T^i7RvpU;533Ovq6TSBcELUq)Lo4rm@s&rR=+`j zmX8R*l;X8Vy3SAKd+9iOlSG0}N+?b?Q>Lm_|x=cmYP8z7gQY7Kx+!SjZ^GGSy zJl=4#tf?_-WOqG+1*=!*C5oYa0&pxIWOy(XxL9rhf}IG#mXWNBw@6qv6oN>RU0i=Q zn%VaAE0arN4aA&N+^}h~?cQ#-m(yLe<`z`DnJfV+sFi735{MCwRm3rimvk3r>%Oik z+9&C1ip4Ut2#^6w=#)y71@Z{E#Tat1z5?2%c_fDD8_~dg!6-y5ZUILL%J66>)y3$T zK_ogE%1!zNk{BmlE0J5HiB~kBG=lA!Yqc7;ND4UV6Ij?{i~C0PR1A^~jc9dTM5731 zgfSV4BbJ1Ie165VKiNOm9m0*p)PSpFAjZjVSVttET#2bcO%M^SViyBNk&_m`{gH%b z63D+uabe-GlA;?v(|T6r`3l3&g!A+e>GLOytOO^^c#*0!ilV;AR31j@Jg}EgDBVZi z5M$7g{35@aRDD8wjym0Zhcmc;$i{Q5ke z5cPANpPb>BUIr{p1c9QQs$zl;DFNC;BQYSj z%di&aK|L$U7d6JDJ%cO@))kZRnVN@GWi_N5>9nf@$ucp>VU@6GSc5k4y6ECyG+~!P zx)XYVPSkOXf<2OgMmZ178NECkMrNx~33d&}lRZ!ZQwI!Xwa8?Fz-E>J=B-j)BF1Qq zq7_v*n+`mdd@v0aImM_=Rot3D`HXB)wh^3v9(u6n@8^f1M%#f>d>KvFaq46X_Oc7A zM67$wF%4$i!AcMil3TbduZ}FOM(G0K!6eJeUX`XTkA{#zpiY)>QF*(0pTP~l$~q4S z<4$m4&B84Fe!qL#L5B7rOg9-lUbz_MsFL!9zGip$qI$^FsOo7 zERa?V0{ZE0&hmxHFl$QF%Wu28Zb|y;x&FeoK~x4nEvMY7ypfF?r|NOv|^hZT=h zfdnR#=nOi5`vs zF{|tHLK*k)t)>ENt?1goq$|5cLKI9)dvFC+!Wfw{H0<7XQY{oHKMuNz$_$| zAd)ax7rjOH&}1pdcn}&YmFmutXTI_KuD`#3&1-fC!8P$dvYrEp0lgv0ws;L#Br%U^ zuX&lw5hEIKyp$7xKnp~eBhV>vh>LKt=X$FL$yyASP>~?|X}wh?R%wLp6E@2(PF%zY zS(Hv2Zn_q6d_N`Xtip4$Zwo=VkHNq$pukRzsD-jYz=BPbNhuU;BnVWm`J%hoh~&`? z&coGn6vgN)E4w9+!4W8vB6csdpb;!B8ZNR<0MgWwba|~*X2CdIeC^+!8C%lv2S{MM ztCvSwZ>X=@bm+A~%KH%^;Y3QMnxcdJ4O zb%3pcX*OQSie@w466zmDPyRO6ES{tKZ|B)^p|^c^OMKPCraWoHndh_UVZQFL61yVp zjM)rU$jd`dpIm8t(?pGXH{XQ@s9dW4?oMM>=b(JPzpZl847cNUcAIfd?t3}VO4qaR zp>1LH2jWEAgn!IWH?q;c@T*FN<*~mp`Dams)zhj(&@+8%$y33y^3|)Ct2F_XJkm~S zr=roPy2>)+Q+QAK!6H`gj#EswbpLmLpSJzCbSb|+b+iTV z&)5BtaCR5nlOB9Qh`hoVmFa6w9%%lLBl2mnrtIbZ7P)7AsP%MGX??6we<-!;{KH-B zkI-<%8_~(tC0j;X*=Tvoh}OVfUY|D-oG3`j#gvjr^;=U9jo;2(FJ@WistOGDmanDV zJ(`ne_SWe?zmNJTbEiMCWZ>WCSVB;fYfFpMjYt_P)^Y4-=JM)0aemdhv2{zQ@;Z$0 zMg^bF)TgSSX7=;+HwRFO6w47>pW;hzzC);v8D~nTR)1IFyTMMO^Hsl%$nmnfPU!FW zqn$Z-w(*mqS@I20t~MHWw}s!>KH2|6THM?|^iW<*ucpo${)b=MH{_?UH+NR^q4jbl zDt>XRWJ@~rNimj>CV9SY_fy0%N@&`V|D2+cY9Ib1R!|4c5 zGFPuFT3`0VY_arVHsc(XF}ATpEz3-;Pe-TO6sjTd{FkOxZaQbn98>0tyQ??hyoY}% zlx#F2J2ueAm&ci>zsX$B-%mA991*t%0yhees1=Fh@|7KtoTt+}5&?D>jpp;W_GMV+ zT4VDe-%ZB1ih2(i$&Q8lSJjp&FFyT3Hl^+GCozM$dt)4}?8Pxq#6&mPJMF5grt ztSz|{Wgg@Qje$;#{F$SqbB7C4n27mU3K@_{pv_Y(cWKG1xhl6Wzolb*HO7> z0^PVNhLb4c1{BDAf#JWsD!VtEI$BWDjS6aSv#9&%DF5=4SJwabMd3(E*?Q%N)z#e@i2K1I$G4$@0FQ+|%sTsURIqOPy5y);d9VH1%xOe|Y>|p)XK=97S=!3YmE}AcHI;%VvT(g4Tc>3taY0^iJN*B0uI5qO)g17Llo~Fo83R>^@UF$#a z(2d`(-N7p!>7iY<9X~>+pV*~L;-3ZY*nc!$ z_~QO2CI?UB>FVD-ksKT-Ru13s=n#$oI6v>BWq2lp4*|@f2Pse?{M87N-kGnb+CA73qZ) zHF{qWJuTF3CPWq589Q}$a z7al1d4V*HsSboKq@TT|`%M)M1HwZ@}{}G<%YYPL*TXQ28! z7C*s{R`s0cD@sSJsNV+GP2!$qksEuqEvJ{^TX$zf0_c?R;mP)hA59DE`wvGN;eKNC z4SD=gW@2+itU#XFJaXMpdZO-hr1~hGjC3)AUex~=bOzr+J@Y{SU_L_Kt!U6s*LLe@@G2Bge;RzQEQyLAEImJS-PFie=NRe@5OH1` z+Tt~P4=D9gSWFN0i7R{6V$)B|%Z%kEc(&in!y}}8nQ;r-;c7$8Sm_k4_#tzFr8J>> ziwB&*6tgQCgJ;?-H>#w0k01AJz+f@rUJUJvkOuBIr~>LJ1vV2Vy0n8P=du1Gx0Q{@ zeHb(RkoAdle3?5RO{f!?k=O zQNf2=@e&gaTZA0Xv%;De5o3z5)F4fT<?Z zQiRJzj!&V(RwY6cw7FW=_)t}W!VB~bZ72qMqvY15US1PuH?3+h^2Jn>xg50|CVKoR zMxF$8e%_`Lkqhr(Gx;!R?4a!TJwjU?+rOI^5)v)+z}7G|;V!}=O^@(0LIBy3CCYVY z&^+3M5U)X(MJ7QGJ zfpA+`4BsIpS$?EYAcY~ix@B=c)zRNzPGp7FqxP;%^fjC4E0j(O4*Zi8Cqqet`XZUu?@dBtc{7q{;bRSLnr96sQ*M$bhwhDZtck^ zOXQb~>@7E{gf-*-kr!m@1w_rDp3L3TWuKfxOX?3_7=JwSpDn+4u(RRlxWDazJU01M zw3wZwoAVIpG@RKOFy(<(Cd3z9wx@Yi6{4MxcS_+9zC)3N{T zp;b8whZf@;)Da37qC=X6XDb9Y~%t+0)S$_e_*x1Y#RqEyCPJ!wy{)5r`Itx;DgOwo^`Ycl((Oll_Zoj(+= z|NGBxzDVt}jM~&tlG-pMr=u4rJR_$G zOL)^r=A3GIN567aYT31HD!fp**uOX0wmQ*`Mo#T-cy+(Oyg5Tig$#&VT{XVi`X#&k zOXjkYL%1haMW0sUe%W=Lt^H5gOrzP6RGeZ^YgRV*dN%sml5F01k2<*j&{)dY{Pk-q zGb&^%?QLq;4jdWTi`$v#TA`l)_4BFnPY~6(i7I=vC-eQC&wlVV?t)a|F4NoSF@K^X zyaKn39l><}!Dd`aa|$(>Ch+ba43d?t2-8j0Gl25t))2#2#)jCld>^<{1i)htgP6d{{dj6vGD#0Jw6i?VGaz z&#en})$OB2u@~_N8zV#ThDt1pXU~Xo_%X4l8PC5%F~S*qhR$c|c#xbYTqbB&E8oUc zX@<^u`(@dT^%W!43{m}vqBttxvhaTl$s_#U<(fVq2V{Oym{*0E(pFZ#9C1_wp083F z39gpOqcVLpsP~ZRW#+3GhspZuLi@%9xm8HP?hYZoZsIz@b=#|t`SaA+MYmT!q*i|aQ9%m;)NWHN-Px(&0#5Y>eP)ERvN@2jBckkm}447x!&oarAW z7vp6j$Y(ioDb9iatQtZzB8%5@{j3`sD7k)=?vyLmde@ri*Mb))zfki=I{u+uHv%;91lpGO;mFLfu`?)!%z z$$=-&RyP+kZAYa0ebYGO>PL6r`~GGmvwwak zGm&-55A@K#CKvYI#w0$Za+$s#qo(hEWIkT~-O%IK-$GeaO!wx}^MT^NyeVaAR{2ELrDUK3ek7EUI8Lv5CXzZGSe__WCtfhu+};13%uQBO&ON=k3H{DUn#EDNY$K){ zPtD-s${R{=T7O$$$8c-%@dN0VAM&|s)-K%h%c6TqGnVZ%1Ji-R($vv&>5npIl35mb zFhBQu>(Bk-Pt!MUnHv_~mb2ye@ab7EK7{ zfx_jz;nDq;D2nl?&Ai}QqcrQy#*%ki7GOEyH|&+Rxrc9lyxw2(&M1F&U^EBbWy=*U z{fKd>1=fjBVFAiGT*b(HOI0bgB4i%u2F* zr&`RZjsei)ugoy=E2(^{)7(~cBAR3LF8keRhX@O9QbmO;gqFs4&{bWS>cVu+=(o+@ z$Jis*HEBluTSol$5&9cCQ>jc-)H@uCp(7mupmqsJYK;_k!tyd7iG2t{i<|L zFtse0+B|AC^;)%by8H^0iH(WUWVT#bB|<;>V!R6+YH`|V^`wHE%DMD zWjO@f%X$N(k9c-8-evkrp1K0P({foA+5^&n4n_SB@XZg@`%%50dS_BLN7=PQL)Qi^ zqj}&6FTTK+X0-UxuF`=ld4OIT9RE`AMNypU^_$5y;J|!C;Im7g$}Q=912&M|Q7g2r zV4yox&=f7@<@{Q68(SI8$s=dP7sYcd-QmIS+w|gs@dDlR*Bb8 z`5kFS-i%EDdmk0cHL2KA`2j&riqer+d-HRq{N?qjatRaM4P0p3(RROeBK4Kt!lEzl zh@w@vIRja+3ODqPrf0qp5`SZW*+u9n6#T-7G$3W4LA4tyN_LmJ4jHrLH>@>5eSxa{ zx#w~oHk)*r>>s0t$C}ZawUwzwnhJmb%Od-=y- zI+jdmbM$xT;XCMbP<5;@!mXgGxC%g_D@TYKRcKfp`!%m>D>qqkj7A+*GQg5_h*bH~ zY<028nX*@OaiL7!jWQ7DrdhE(Vf1R^gyBXM$78|_$(_gqUB}JKg5;^F!#*t{(INxu zeF40r1)HUDGDh~cfCU(YcP-7+X`tignS|3E;Qzg2mFsnud1u&t&KEf4XkD4mo z-(uF~*?Qo8A}p@*L>9!3vP)TP-6S84gT*0tDVYpgQ?1nniy$p4* z!zR%*I7=k@HYAP-T)3ldHN3!}H5GAN$~u>n;qid(12O zk}moCfo^&5R4BCb>uqa_*PrMuW*?)cUfijsp2dGQ;>+bnj$eyc{Fw^x*|aU^`R8}+ zVeesE%x}_fa-E@b-oetp9N!mOEBYO~2Zz zjG8_dJ)<1dQCt^ep)pM6`sLG4mYbJbh#4-IC>d*pTOyQOw~&~U_a zeSf`1F1)oQuX1Q3-aLf5_;&Qlz`LvVh);%++1<04hzeq>Z=y&Mj z@E=oI_WGC6Gt{q7A87d2z;Su126pRTDf?x4VrT2>`>j`Uima{G?;m(oeEFwi!c^DR z@)zETZ!q)Zc(Gvpt~puLx%G&3Dm1Y7#+O1<)-CMRi`x#3ZxE}E#=zNFL(xYiyNli{ zxf?xGo}Pq3c&#{kb^h`-iEkWN;@7@f(m&TUpTu_eme!@Y)5Y^6C80 zwdI4qW2djK0G>9qHFP8ofR_5_9^mVAOFAAqfsZa9yA2nOUBN!z7G9@rw?go@_RiA$ zvn$Meocq5+_~UctvglY^&J;rF~nA-ELL@d{8M$@-PY9Imd-=F z(t`q;dCe0Y0q_4>9Z>qDPS8!=Ad`j`a5q(Z<_{I9$kh%l7G8~ohW0IIe*DcroYP`#~-}3YU|Yc z^yo}^BES4mp>HhLZy85koIbD<{rdSa;o7a`*W53k1^I)LJ33>N>hEB^{(V`J{reCk!Ce0!Y`Ek8cfnfl9fom7U> z1Ds6Ke>}PO^6HCIv!Er$=avsngpLmW0k{}F(a<){0vAuvQ(Ze%iXUskM?+^Crujcq zFiSUWW1in%-_izu_tVz>-yZUpeEb`8=c}R1(b%%+->5cyiWPI&#M_lq9l*nDN1M?{ zkF~OAsL}KiroUSRaTf^rJ$7T}=u{=O0$2%JakNQ3Bco56M_xUb5Ptw%4E^;QRG3rN zw)P!=Gtg-r?HDP>oS#0UZZ_9v*z1`^D)13|P z_8;Fm^-?PS;N>gjnezS7rq#*SozY~bwGjaqZ_oZ~%~ss}=dxA*g=R3FYof_b`?~{u zZArHCPT02HI|jW{r>vXKWSifVhw@|pYs_ZjUi9+4pJij9Z0+}pI+e56m*j32M{}bO z8_#!qeN;?##@c@cOdPvc1}2*O<>|J>DuzvLM3qcZ*uj)tD~^=?d+7B1wLKY?kJg|6 z;KqG_{N{!AYItxojen>dO%E1F%n#|--lK_`>e~>WMumM9?DS#(;UwLuPO0TVP;DY+ z#g27V8_hu73jC;P)^%gL>F@-5YT$*zB+gYMQ{u+MAm9^gac;1BD!9P}O%n~nqSReY ze}Ffj;_Aq7;s~U83pJpxM;@$RYj$J&feNecnbs+F<6y86dX%IeRjXr<3XtX* zoQNO7(*ghBMCPINbWzbnt4b&zv6-v_B^S_xoL5IzgdI9 zD2l_tV6_avex+I|rI%yndN2)uNzhc?dd0v{el=q*N9{Nr%n=6@6|_EV&escihX?EN zQo~qLeG8K3j07Q7=y-Ve@Tm5JSejuj7c5K~hdVN7%>Q#28}(e8Z0L@r9AB5N7+18O(wYb3bnGe zk4)gq-HoP)YB^jFUFbLR%(CKy9;3+_ygz76u!$CZ0JcD?oQli*hrwphgn|$64On=u zxEJlNRaJes^$v9}LknVi<2tpb=yiEL10Y-9~$>A2sRq zI29>pT4hh643*9o<#IgSAIcGxwU`v%|IyBSADRF01tIC3M0XVE)4SHc*)bZxD2PZ?4Bh_M6;}S8*I0R5zaDBV zNq!sFx<5_8S|{e(qH@nYeLLBsZ@2kBdfd47#rn~l@@1$?&b6ha*a=Hv>zf@@9k=#@ zm~4mt$Ltj^7sTbEM;i95?rLZ;2M(EUX3uXI*0!%eAS?4%;LM_*TS;49!h+ZJB%ADd znz`L5W}?mfwp+(C!Z}JR^GOirzIWh=`Gc;3Js>ZI?y^a`G3$=2%AX#+3U%WbO1fe{ z4vBBFM>-~R)Z`z8w)W}P^b;SM>FB_z!jV7vJK1DN?neK0x-*$`4SPH`_0p=?2QR0i zrqyVs{K3_`)qDGX$2PqB{1_Moc8r0zEW4`vet8C`!+&m|?-7uh`@50#`%Vy?^&{P^ zdD57&HfGC1#W}D%-WTlrTJRltg8gCoMD`EU?S=0e@z?BC-!C#7Cu&ovcW@JR=6VpB zw&YBjvGzW`{pGK{m4*#vpmt8uISAbncdLpE~e;uzi1X6(kCRy=z;n z$>)eQ3G5x~Jlg|-_O?4LC&2apsWlL?W=TKJq3dT^8z_1);wD zaWeLex8mp|2$i>>-5}G<5ch^_vhU-;$%zjKn=78nRBQXTE7c&=b(;@4hBm*|*(*Qu zj2fA*=m*<(E}8|~mop82#T{fu<_hv0F39txf-L7-nrq7r^LOl=M*o&Zf7|;e*Uo(c zaqItrC?|8_TJN!5^Fqb}nVu@)h-+%_LkgUnd1>iMHhCKS7Z51fG*d8t1!>*`0XZlU9|-e$5GKT~oA1#MkJOBvrIsxJc2|4DSN0jF^}n1O{{}8htV(TS$Nm#C z8E|$;n}NSSfFLJT5a`6>j9HnVxgb<@z4Kb|P;xyQ3hZt8>1A1dqu|Xvke_Y6+XiOt zOHV&vy_MrnfHbXxOJmH4J;y!x`<_Toz9$l^mO8-_CdrK!&k4&ESV2pha|>9&E!Pi= z_XR@b;@=J&xascF+it&V1tFI+e(a{!7d;SX6r|Z+kmkv&((Lddh+wW4&a$U(=WX7= zo6;TBl{Gq<{DA_F*YWd0w`19qM9U_%ntzh|9RCX?tpk}-kA0k+eEIp^j^!2wLX4cn zlLvpM2iB;4n1h+?8lRl_i`HDxpQ(7Z9~_x(3QZpUkUBmL^%S4k^)HS;^+ZJwDDy&9 zP2Kd(Z{_=`%krFhBQxTfT@+2sVvC8nHw=9V<;c;#+5JUZZUnqfa7!o8g_}rNo!>sM zbU!fMMMWnMMUS|~VYYA}&(g*ZrT9bsK>UCdfZ(Sf&(~b9!s?tJLBAb;t?CyR=M85} zd|_=~@T4j{hvSF);|C){4PP|0vrPqIe&no$r5US%bq9h3Pj@WuI!FBx3T+y6);~M1 zPO9@WC(+^pcsuttoT-ieXF-^eczxu5xg3NK1zG0AuKYW^qv}j8h|;AjaWA0Gs|$04 zdb=RX>nr$mVg+9fK395Xqh;!?N7@_qvd)L7`7JjbD&xB&p$c#H_80zfll!agt1DB_ zu;UfwYMt~R6=-Tv3sHEz+3l=rW@fUj1XC&IZlO+`fNtqYRaX-(2Kg})<*1XRPNX3Y z|GA3ElLuM!e63*igR_=6LFUK`3D7%}eP@-`RC9&R-JC!ntsP#5%0XW)Q)h-8v%Y~i zW@c2^4h=$aSG(;Pq413)!Tin(#n{AT8Zw-mt6fBOl(Z=>AC>fxq+LmYH9O}lLMy7Ehtav`_uG| zN^75u$FungfwYpkJ~8EEW!HBkkKn>9Y~oh6dg9j)y;PjLx=b$L;m|=0U31WI2Mzdz zK_}q}gZpwnC$#gy#$36@uo8{t3V818Ej`6ZG`KW9W)AYFIHew_})2(GrC= zcK{(^g?*r*S7(%=3J-0AGNw;lZnKLTDa@cGpmP>5GgJZC4;%E~!9SP09Y4Lkbi;Vz z8Q2_bM^NSKBK&%;0dhMt6wfJDWCIc4JyJK4#1aVfO{}6u`xQoj+JC=vXESP z9o~>L39E4jG;<2a06j3+OynvB+-7heyH0FLB?VR~rVNU^S=0upSZ&nJq=wO2JF(Ix z9vj4&X)cjcg>Uk_L1vW(LK}698WelEoUup1#{A|2fDM;7}+ zttxI3c*Bo*KbnV*#rH&6(YJ9@*hH`=Ns1HdM%^y_SwY#M4l>AjEc7hk7NB{cSd*fJ zJ8tF;A~IJtw^GJlf`YV+u!H=c^2g@e5z}K|eznPF2On87 z|F`}7=6_HB7rMA}gh+qk`z`t0lKPT!B7X!zi|3jeVW-;Bm-6Z5%!aprO{6cuUh1Wt zzhx&rRht}{`+ZBPUqh`T_>?agZhHH~1H_m6JBXtfg1=SNC848iBA@zn=LdFaiTIW8 zTd7|M^WSMo!%i3Xw^wfcsNcSo?f2bgKd|Y=&(km3f8~1u{d(uy4>T{fwPs+q#l0QR zaf|g39NqYV-FNScZy)*nk>z7|41V@v?ZnrPbeMw|eXaXDCa6X3_6cr@xP7;8Dfq$u z;QenbHy(gMs^I|a@kYywA4`k-t0wB#-8M1AJY|P{p4z{2N&O$;sW#ushbQwFx3xas zl+Jd)d@1+1`Sus>U1<5`mkL8utG?8gULxx%7hgP(erEA`hz%Bfw?7}5v|Y-Nu$z}B zpcn+zmlH4X>80#T0dldi@kBLo_LBK_%f!;#FOd`Zcb5pu>{9M2DY%p!2_F4^@W0Wb z9lD4X?FS|gE^fQsz8~Vi$N!K02g1_f5nu58JO9qL+8Xjx=`VKv(cWQueo;7p+CG(T zx3}Go9_U)yKH?J|*!kIBkNNMrx8c>YZPC>+@gkhlX5XhlxLG28Ht~(deV^HCZB1`y z9|&GV->&@a1nNp(3VyI@V(BQH5(G9}`@C(c{j-VMg{H%g_5?5PUx#_R zTFW1UZw{$Z7(jHtw7^9x)976>I^wWTX7fJ`5fI6q5bl@IK3^O9p;)i9S9aJ2Ce;9p zowLb?mZ1z_GkN#e4_0+po!P^@5`03?h7v=8h{LERNVGoZZ+I{|P<(5~2?f_;LIVH5DVmp=v` zf$B^E(afIxU+gw6{rfBM3~V|#rlTHA0 zlWe!j=}mZ=qg_@KOC^*d2D#h-BZd;r8t$M;slg@ZPR>PpP7n$VluKpG1U#F zqR!qxB8Os}k_bsp4Ce$)A{8!%mnoQ>#S;!-;>i&T;ni4DQr7Y{V=XL3WfG3f#40l5 zCWT^A(7#elDI!MT0PIA>wE|U!1G=0Xm3OUCufqhVyHaFG;+bBNDiU$6TbSdp65eUj6VFYkEztLOgj)nNc38WO*Wr^>Lm|}0n#aHC@cRGULdHDoPipJ9B(4%`aJQfvRIr}@NUd@^ z4i!5p(?ZJkq%=X=Y~d7jYVL(H`^-A>F;s`hRYX}FRaMeOK>>PM_;^83^JqO@G?3|Cna=4jIk#!75gz5z*dCR#Q*Ldm> zKT4yv4CReva0@{WM%7xXK_1<8O`BV79vBbMqlsz8WSS)ecdw6IrA~5WN^Ir)-V(-G zOqL5V2kZ4UlY7W;5?YRi2t}}|F3pHWMEwNPbKOqIe*_fT4x zthDn1e>BnosODM*m2+8@Jy=q|GwW7d2+@JrGP}r+ z(M$knRARHYI+d^9f9U?<(wBy>jvOXR)alyF;bS4HoLb3KHy5(CED>e2mHcB@$i3P6tX*}rVFqd0rB>1&Fg>#d5 zbAr@ZJKH5(&BY1g`H|l<*O90BH~@rj?H7FFLLWx5A0daOxuvWRxx-K z%|&+H_`(0&QTnUsKL=?NxQF_Lg$7u|JjLt06ejB33gzP64xS(9EFwS*yXuyS+G7U` zlFKNQRMb=^j?$xLGN!Jm+^rZRV61{> z(MJivgp<~hS_AaVm!KyBKT$`ys$aN!<@)bl8HZxg(ZKc)jsa-V;1A;Jdc0TC`L-Y#ArRLO0A-Ff|ul35(?VV#%OJ~m^{ThtlT-7+4njb92j1*jNx*f zwJoz=W9@^h23;a9nlz2ddJ(Z_SVFTNV)nKgx02paL$7EVqwn39-KEs(YYDe{gKC=9 z+liQFMMd}#!NxQmyi%uH#Ny*NGPy|MCQ;Q931-^LIzy5vCbyvo1H99R9c!`V{ zL~$juMO)PnHY(UOPlwxp(?(-RooJC|VzsJ}0fE-psHl61as$_ZT_X&GOvwb!Fgyfc z1<&NXBI=-9j^~JG?v@%E%Ne(85Vu-aM--SkX#u!)R+UpT#+fqdRspB6Y1YW&q|P0r zdU-=RwU$|}>U|UmM0Y}x=+!H7AxS>nz){6a22b3}y4>J7tr{+7MNy6?R0<|p8v#c! zY)4?oK#|yllvv5?8b~HUdTlbH;caF}LzUlprUPgDreD<9yF;h}zcxcsY>U?8S()9d zg}-ElqcuXe!brD#zt5X~-rKCJWO~H^$%e-sWuwv-D!Av?=C6}h=N2?fbSK~cGxe^h zWbJ6aSAJRP=6`6#$My*CiG1HJXGChs9EvyLnu14aaX-h-7zIIzJ+FvGwMTaqjR&B% zUncmQf6}tO>|d-XW4-U3RIGH7W20qS#G(ibb)7Z8=YwC18fF5u#J>oZU1r!r11ydG>8f?1@^bs+?ITZVCWk^9bp_Q9{>C*PcF9*4MizCh$+C zhuFPukICo!-E6#-A9hv-YTFumn;W00F%x%jO@wrhX|1-%-p;9^9lT*1X%RZPu&w-2 zt9W)a_z>bJAw@DuMuuIuvh?^n=i z;T^KsD38%?YXuBcoaBlc^-?eEm(Mkjff>{N zOzA9a5zC!Tp@Y*=WJ>}37aSTEDVl-vzHKEZc+l6TgS z0Wac1-(uWEsFt$JIftuG1?cS&I_;U5vKq9;H;|Fc*hqQ&AfM3f-7e#Vhu>~ubt!h- zOU=rzO?(z_dW=Wla!Q2klr|6>7;n40mf%@_oW{kS9W7NCPki&UFRu>YN|`c|s{!x; z;Av_VwAb+BZZYVID~yIhG+~aJ?8SQ`hX`LB99o0aBC70%L_{L}qRZXPGc=CLfn)Nl zY8A-dAh(gwN+NBNG;N&a>6pa&JA=}$8UBpg%_xCkVCO1!GBU!3$Nd?nw38LTXHrdU zuz_cbiL(SN-PuNT2@+`Ryn=PIb7D!q&`1h{fz<#Ekh_?fK4E@XZ;MT{%z9w`VY1&e z2*NIA#;BB(GdYEhlv_%zDt0Y{_Y=n6?zY)l(ZYZUUCS&3DyEH5yU{8pZKO;Fx|97x zC6j@w0p1w1=b9-+Dud}|;mY4u7^T@*rZ}ZCULkt_@j~^1pOt<#a%HYzX)Y*3nPlX=1XOX!2P9>qF(?|x&7hYtiIrU2*xjnsCibXpXo?YM1cH_WZOmG( znY1#e5*Uldkb4y+>Kas_R5@jI)i6@soFYbQC~39OEcQjaSjQ>mT6K{9LSkyli-j0r zB}Y+$T4BOi1~{}3{0v824Sb+g!mV;FVNfZxitJ}NH#h#AV7zOHV0bSBrc_L*hTn;? zGgQlpN|rBXfD+BnydE6rVpFFTDcB7s^V6bJLR zVm4qEGwvR3EqP7@Ly>sI>t8AE5}-veagt5d)dl!^hPP2?73M#bZd`THQAn+})^N0P z4>@xK?w@1yG(nhNw+8iW#21Ni=)00ecH^OuVRqCb2hC$5-|d+ufWx|;0 zhOKy6^LO}!%0yE(DKMOzBv;59qpi|Ra|jPr+J_Xy@#qW+aTcxe+ z4d*3Q!MT{^9n8EU0_h9sf_4aTt@X6c%I692EXde5Nihdm4pKP-Tx|&x=U`;_y$wLg zfm;t{mGxrsShx}BDar(w=8pFX&-Zbsdp9VCz+VWCE)k{Yx#1Xo%5_$f__CY{=_s!@ z;}v|=0(GryC5KkXc#%9^BZxWhEiX{KNF*r#vbarqo#*Oit=w!Y&UrnlH%;zH1J_kH zbDHNWRYDE2-j;x{VP5nPjB*9+0N_G92wo%eV64SX6Em7PCfW&fe#X@x2Wi}c&sf#G z(vaf)c)8L{UdGKdKb!^6=MH8FYj(kk;HlaAN2=eIuQ_ma;EItj$rWe8ebru3C8?Q~ zPO2~Cn%*R)`#Hs%5e;RzIf6PV6uu@C@QiUtE4JW)7HZh#^9Iav2ybVGV|pGObYd;p zIUq6=(#Z)wOU1;2@|dc5Ilj9>F7c%Gbkv+mDf9vrs*y&m3QMpG19Wqt*V(X=cy@$j z;tvbbtO%4{GL?O#;Wg%$n&A+x@xHL0Yl3VE#}%83!{=w5KHRmf88>!HGp{J~qD5zG zKAVfkt_oos?9 zXA&u9Abk!$yD?%BfP{fmjwT_EpJ!!kJ!T^^ukp7(vZ)jeT$yPYe^PlFuJHB$ipyKfJQ@u+?Ro5BsYs|IX91$7$uEW^-tp^IB$Xyk?ICF z5_4(5+$M?Ot`evOCCd4X5z;aI=q`nlWfwEZK;#JTlyO|o;k45j;%HzdB)AACK`1$Z z6MBueg$%s01krjo5MTfq6A)C%Za9dbG8mTUOXNB@Q#k+C5tb;i6HeU7>7i4%8Qf?A zxl`-E{=v5^O0U23>NlKI^(2A2SMZQ13L87iu(QB;1wJo?e7Hkp=v6EOE<9wbR@*SW z?B{@?XbX)}>>v+hOz`2@UWHzb8+e6=fr7U}#Rc4MgHh+ku1ACsXc1i;>;++60t4FO zay{*U9AS}4I%q0nn%40$Jk-0Vv=Un9hQv{bR`F>lYyrI@B})mc1Q}OqG<;BrJEK8e zE(|nPkW_;NlOti^X`l|#L7*ir6y*9!=n6P_LZ4V!X$;aj z5H2Om82zGn4V+#Ef?A+)l7aS8?~cuM%Dl-hLG0Chsq)K zh6s2A)UV8#-VKYVNC1*3U?qW^C{YqI>VPzxQ*cO_zQ6vn+aLa_^-tKN2@D|#J-$mK#DZ%@t`S8y zr2uM^UTH~SQ$$9B;-`~!i>nx5M}hE0pIALnEKnNX9P>$(R6+ye3#r3-i6v+(Ad<8} zrppv08stva2&i3<9mfZeo5PD`l2|dU>HGmrS2m6DyjaOVdpovb)(OcNOu;iY5vWmt zhXgoykO4A58k3ZvL<^k4YjO0|_UcKpl>hqGZ(H)pwfrmPm|hc&IEG4SLjspkv8YoL zh53c)A@exTm+)>(1}=IhjjfjPWG;}vAXA~p*yaStT!xo*2|X?AvnFfWEyMBvnl-#m zf^>8QPZ-=f+KJ1wK7ny7`9dWZn?z)g){}k}SZx@Q03J#VB2b(XNlX;6VhQQji3tOZ zM9k1m8i^VllJ*oE-UUIb8#B-#S`230N-cpw=e(;y_+sA!Z7ZLJ0JyLj+ziLABqUm; zv@8Si2A=Y8)VNmCx!Dr;tUKh^U8j@FfgKuG1)e5lh@8i1Zlwr}N*KdIIti%J47lRX z8CO9;HYHQzqA|uwWi>7azC;O?@HPvwMC%)dS4$j(eWc7H(vU(4k%=U{K$$cNe3GFu z)gVfV9#+(IR=EUd)gbdcYcz0HplhO5+0}Zix0NlEvzAtv4l4(@9vm2e!l5Xg>MQQO8FQ)xl6~xn!xnnBTQSUSzvdM zsBS1KKy6i@Sj%I1VGXztp#%o%5*tqf)PWIm#&8>31my?b4GcaaP)L)q2y;Z2zzoD7 zOdt%KB?$#m)H);Z`@qXNktY&x076s@?n(?{I?-5y(*)81F4rJJ4x^7GArlYhK^eP< zEF1x`n20IBz=Z*2l2X#EBJ3RzVDWGuLDzsIHLB6BhcSbl!v68oht7Oh`m1kaS2n}L zdW$B5-c68_&I4LTRWQi;Pf_9;2js<}cu@q^<_r%i440%5SY5!!!(Xmi!;Ow6nF#nd zNRB}B1J5u?IFf}L>72)>FDGKdN&Kj8NxG@zw3u02b# z98w|z$t7UiRLM{3fGb)gSIfEvV#XL+5A~s%W+Nqv1`AA3gM!=$3`dqViWG}NtVEMR z;|irvWSEhlK=6jg@e_#3)^o$d$|3*femQaYc-QHt@90>_ddO z0<0yh9>ljX1oWwli+$5Tio|e5LX5`JKt|1AtH5IiLS!s8sKT09%@oMqG71tBu3p?P zVBp-bYYB-paLBLVV0ik&`15=y8Ipb%Rj=Q3foGV z0jsG`6zJ6SG(T$O+0~$gip6l&C2l{^6Vph~y04|^@p5HBgty^3;w_v^AgJ^} z?}wnSbUdx-69yuIb&Hlb)U$MM1(KPP*=h#BrjBD0n9F1VsS6>-sH+2ECP4Eh)M5!V zk)V^kve2B+^K`0DC^428orwbd7iQ2Bz$|4nYyfN=rU<}TF2mkyfz(VViEW&~mk92a zU~a*zV2nP;;~mF;^?7Sz@1Nid3mcd`$O|Nprehd4d?Z%V3YvRn7^kE-l!q`~FHz(q zR=cob9APpf_TlX`Bg5*z415g=Bvvyi)%_pb#j)=$J^ruQ+9lHYRjORzrQ8k zrf&a^^^WMQM|SW(ww~6eZOXhfM=r~InaT8Gx^kSqb-(o)s_j@AFRs!09!Rsv@H7?i z384{+AS1UUCA2I1D!Uk*ZoOHxFyh#L=eVr&k-8!F)jfYuf>o$DN|nbv+{x_j^~&@% z-~&+e)NQroR_R#9j&|xrYMkT0x9JcXz?lm>o7ds(maj2XllSNxzsdawGJAHPku&9T z$|^hVWyL>8`P${}LM6J!v_%!CloZJ@DzJ%|)(+Kr z6-(UN>;SXp!+s zkJeN!*R_Txm~-*wcq8>DHL`xDd26WGb6bDMMrW##bnh7;(~Y=SJlA&r;l;|W4!bFP zO8!LKRR3&0yH4B6Y~X6*Ez^xG|226Zxilc`#Yc}HZJ8qiIDd!KUFRjj{Q^GG($gYd zn(npTr*`@~$;G;QHYt8c5f`*Zykc10=k4}(lOb<#G5p~8=>1)JsG-|baU_Du*O-91bhEY@y9H&g5d z5w90b_)mS?pK1t4)>-AvC|6(0utwcJ@mvkCUH1#k)h!QQ{LiO9-|4<04qb;<*Udy8 zxBF)2QYrVs>I59hov` zpjAN6@~7w4sWuj_crn{h*;TW+qn@fIS$n4C{**QJh~jJ@mnc((BkNw(7Tu=u?O|ta zXsEA)e>_C~=K8HX;wso}5$Kj*N7gsf4-PB_8@>w4r^c!zs%aE0^v-B-m%T}%8b{6iKI)}MS#=*Za+bS%+mKCW2L3L*AxWdvp~eL* zUWxy~+oQy(>JZ8$Wp2TfSEOS@LNyZLj$dbE2WOY9>ujj*osa4qs6Jf1b5sfy?jD^z z2yH=yd$m1W<%8@WIdRChP8}l5(n98fID(^0;c?-9SK`p&npVk%psGOMYz zDsLdytCVG2cZBbeiy=gZhz%y?)2}op+6glKGmJC%AVj^5Fubq()F{v%s#u7LL>u#1Uxs?-F1HP5h zt|QrQD|nGLDz&;2%>q+xs$Z~B*c!eJ7^so%6)eWgxFO&jZh>et#w)dI>tBCs``^-! z*(+m%Nyi8}s!d2(UJ1w|Ag5KS2trDG2O0EW~C)tB*^U6w3umTcv9uj&`$^k3bC9lwCp;pr(QjT53 zk{a3H5V>&|x>hto&;2ql$UmaY-7+!R>$L4XR%VTn8`N|yugHI)yyZ7l z0swrJ&fuIel!yUX|CDOE5s>x0M02foBM{{{J(So@?HN_~N?R(MU0?4+^*CxGf8PRB zI?>sdIzIaRtm_WS)lRmPY{Dt-YBB7lT24^ln*Gcyn?|hyUM%3^=W^l#pE&#{$2>x4 zHyLY%ivsaZwG~PVEAZ%`i_7#XIc3_$#{(wc=k^bNHd%VZ?yIvYZkCaDPDqAUzid*Y%&{g)XVOrmn#-CSWf?@-rR{8V8qEeNgV z+ms%an;vRlY>K!sV+sOp{h;DHu->X_& z{HbT8EyyZ7cyp<05<_Z-O5LYIxZZo}c+RyRp(aXMQ|64dM8_)Xq-34us#UFOdYbW( zi&~4fI8q#20Em7mGOvjZlEkR>RLBY8cj;Ctw&9rZY}Fe3JaWTT6k?*-N+!A~=;h%u z3UFFAa1BJLLbakzO-;{yaJ1A35z6Ha#x!P@bJ7`Gl02Iv!?8XkY)dZ6)Ch%&*ep@U zlPQ=EMQR*IHHP_vW+)ZCMAic^vZMTbz;dDBhI~^w+g%uGk~$32{=uCTG|c zq5&A0m+{0;rE4O`@UM~9Q=`T?AzaSKiKa%X6dhWL&m$i3KzBpjSkUj|x| zPu1fdHSjz!D!3v13>nE#!ohn9xd`YCsBd-&vwV{soX56v3B_x*0(J$+S!4>2WtUC5U3y!Z0Q7skn>> ztkF4M;;}eMJq=WRPm@$JUnIdb3%a2(2hua5To6lAoO(vOWBn=)N*2dNU+s z+=@zu?Mzyf5o(dOW}`#u1u$lSb`(+-w1J_s6rvq%mxpN@l02kFgPka$5W|_-0>qNW zbeu7V*HKV{(o?h^x2i7pD1ygvsb~9=`b_zfc0eGPG6z)lv-4;xwcb8L_MMaSICOHO zo|YbAqS~&ULyPi;Y?F{0Zyal~#{uMP@5+a7nBaQ=dpoIxf8sFyCAe(gFgl_tixMzy zKugmguk-|`e3R*()1#ijdEc`Az@y2Fz5|sizYBCG%9D^`ftAu)8<#68Uj~ifO;2 zN^FHgG?l57YB%ZX=xglG+%I*%Ms4|luNwK@KXuj``kvTCs*94Jy$?_ePZ7{3|D5!F zw%+nRTO<0l5L`wZiTer>d3+nHDt`vu2e^ms39=`-x6Hh3>kxuktex`A0FkJ)j6d81 z)JgeNyq&efiyMOL)us^B(>LeMk4;W2%^ieDB?v>ivqx~vHwook#0B4h79r&I$;1oWcR9rVuFOk{2IUlb4{IBc3V_crw_5Y{+U1m8Yjj-e} zsN2-t5-Z=@U}DpE=1WVa@#K2$rCP8{6;S4#sWTYp{_F70@gJ90`T3bqWd_2Wz{ zk8j3{Z!IESUJDmE^;%KCM7-m1+Iqzp30%uu9!yzkh}w#*t;cgkf~niIr%rB(mG7hW zWKBtn>rR#Nss!7zLKMYVnK)x~(u=sAU}a+3D~FtY{5d&PZKu}QcU2W7iSig!8^o=m z>ZR83i8Qb{a@-aiG`NgE2OawlymM)uUK;uCm2ow^A;Y-dM`}6gH)tzZC8>c5-$fDg ziWcYkq4{=WIMRWWPlt2U*&4GIwt%;jp$%KW)V@2-Xr~;M}V4ekz$4{tgwZ2Af=K+n=_t4y}Xa=QDtHg zST|Sjw-6@stZ4A4u6S9w38oKjL&wVMkCFA6nWn4-M8U|3GYco;K>92sym~z!{u3SNjTilJ<8KA0_b#%gh=U0 zewa{7ZdM5bnC8Kc2QECW1upP2>QSLd6pWaG1~1wnx-&*_Y)Ss+g5)6U$4$DO`(@L=|!7LFQqRd>f>$~)L=jQ zkOY7#l9@DK>*Iqh0@!*hCq#|rjPiu65mwcib?e1%M&0}$STqau8LM=oQ6W}jg!94* zd(@(mF1OMw%65Xu66Vw@(GcKySp$Fxy@D-Ck@QJ!(eBQ*N#Lz2@=DnXIQ~M&Qw#b3 z7g$|OIuEVE1xkeN$lyVO5=v3_x@(0>1}L2Rh!ql!y17si<;tj10eVucPSs%vj$WNRcS(t{gE9Y~|J)6nI$?jO@VB$C zDqH;2gEMD=ZMWxo;RJi><`KU60zbe`iFsnN9Q+OlijHS$*EI}xRo=tJs{hk9*6)fp z5r=!WO)9@gPAU(InIY{ACGNwMdvhMRK4dztysUmYD}9~1VOs~uo_>{okSY~#{2rH9 z>-je;hwqvE_e{m&kM1J7gR$C-ZLg3A3akGerH(&-ks6{})gM#edo1Z^#UA0# z7CCxGik@X7tbZR5Wo+BV2j$;Fnv&5KMM zA^v-FaKkcvRxFKJ9IrQ6@>R!cmeTf(*y2aln_s_vx{E9Aw|^RM(>^?(9@_G4*N5;#zG}yBP`|&OtX14Md814&YKxyU<@f!RQa;@@ z!2GTLCl2}hF6)1}?D%3BRq!M2j=*EPhtb)aa@99Kv1NXD&UH`vV}JLX1F`D%y`iVJ zollK;$UL{~dW(6pXIssi6#vl4XS>BO2W|Y$$@RXk{4*DCa$oBDr$SZAPicQ{JKYqc z-a`AkXPbJ?glmVra1BWbC@BgOTjGa29eq@))v^`6R_1m=)OF{6w$)Rk#HvqIj;DMs zrR#8UO&&zGG~8AW+IaDdpSm`QmuDbIP#oUFy&r1F)w$#+XPW&DV)u=qidVCnrIz$i z<*-A34gUuDtZ5$l>RI77Ms2Er=Ih~$)SKLYkAj?zzDezVBX+0C3N0};DwL5I?{~l1 zv(bNCU9!Axb=Et}l;BN=Zm?A)olVbB!uh@O)FC-v)y+e^P%AfYc>f0RrWyK#rPNDW z0{m~#o6WHy=PqZHIe6`pWNY-{3(=7pHE`(q0cq;=r!28O&GR?+5OU>DpvF*+gYyL0g2`{@Vx@9Enh=j*VvJbLXI|VoKTa5UGSKB!oZJ z;R+>>=c+x2auu6D%(;Z=-OB5&eju^w0BWu!pb8KysXpgY10dTP9svQJbAB7-^FTY^ z)&A+e>W98|?UCPIRY*Bfu*6PpxWf`vUiO#&?980v^eR2#tB2GL;evQR4`>}CoCRu8 zI%kSh0D|Aw#dJ6O9Zv{J^yR$VZ;#t*as%a4%4nmdfm(!q;84{d*)p#HFv78wvpeSw zKhi<9oIA5P_%988{DTjO5AGDw5dDu1J4XNNFfE4pZ{XP#-XbC&kFKvtqN(IX>Qq5S zu#Wyh-FftM3p?GS?%B0R;yWH2F8$f?akcZzBOSVo6m}5Z!e0gFh8cR9cb~o05}1CKJbp)R$k~IMs)EifPl|i!`|L|`FZF4B zD?ii#Og>Y^suGW0voFlnc$&F8TV%# zgrha?{?TRmbjj5P3GvC}&4)kDRk;3&?s;+x^5k3|`D3yB)bo6Ghdor?;mUJoo$$A1 zDtBBh{8d~WwBB(MB-FJIB(yAz9DTgBrv9qd1OF8D5R>UVznrZLjN6o#fuv_03*P&f zcWeQGfJ?+7sXDEeO2L=aTav1)BWt@~SU?vf9=N%Ltq5ovv&yoqiAYmDK*Ju9kfA zC&6$X{th1i%AMyA77h=^r2^qjUU~qiar(F=)lOdUwWi?|FG?K%2+hvHL0}Ix=K=Ei zzFM`BfRpS5u;&FIybc7S5MK1NfXB@PQ}#UfUbsh?2jjQi&Qz-t&X|3hF|v_BA>R3o+E0(RH{{u+Hs&BCd4%Rt~H2tV9vtM(Bfn_z#oG1$&LmS&z( zZBy{Anr}I>V0->W^cY(>&<-HH%6)UW&v-@HD`X_D;U%s?0H(i`JtVQCXq#kFGvFY+ z6K1lNY^P9^*3r-?$k0(dv49k;i_8rWI)HeKGc4>VS{CL;yW`9=~6RqAxbwR#bt3_>G7c}*b zKFE#UZP`N2odS>77b0Ikq^`r2d}J%N*SV-2Xkg}&OQ+e^SF^3JWg{vYF#n#Ee@~j` z&7jlVXa%3ELGbI^KXxkl^Wn+l;?Yy^H%!J`TH-A+aZilz_}MUdv8Ns8+gqU1-a3A& zM>u{e41rU!mc8i^+wdHyG-*9LzaHHwIGV^P;rzyoqoC5R@&0a^2W4gnyy^Vz@m9(C z^(WEStLXcXxTmQG79*%LG{ilGgyW|>1?LT|8-?zTpv+^{vlSgg^S=R=M)bs- zwMvV~urZtTXPg3M-?&?A+AU2f&Ieo-i@r^Aw;VRvAG&OAfG8ey9o=G8;kWzLKzHxE ze5k6!#xE~bf-Wn#1>xag}9wr5)-zczjR<-NaqH~3EpndQ3(5Yf5M zd63b89zV$GRmHiLsvX`22InJSK{(;Oa8*Vfcs{ia<$IGw%ElU{Yf1$9Jd=zpM}7zb z0$j+F)>fVyq7GR4r~7gsq+o!svNzu>Zv!Ur0nU~*Opmt{o!k(?YW2#GQ+ z?u1ob9$r==jInHCkif*Ljk!6W%a&otPbf=lohSF7bO4V#qXy`V?I z;(%|!Ha(XX-gALdAg6X)SBiM82r@GLU7$w1Wo!89-=KocX<2o>WP119Nn!5Xim2EH zp4(Ogo>N0eN7vej{$t!Y{{GN_k)P|+N3Ce%?Ta4 zqs;#~t~Gh2RELTB#bq&d_lB#<-f)?;e^c8-u3UxPkGh5%Twr#bzaGR(!DyRiK{=-+AfX_y6|SKP_E<-Bm3#eT;lVep`I2G3Gy) zI^goB4ut*F{lixlQfx!GTv#yua~*Zyp;UV#Q;3JZ22S>;%%19P+^ZeaYCj2`pH5o3TBhn7JjzJ{q;vyF>57L9Vv1iD6E!&lZuYdbu$aUs8?{M?`y^OP zO&we=bKA@Uh;-8RXUKluM^DOhj}3PN%{CN-wZ_#gC$-;ZCBlCvP9a}A@O zmP;*;hfXjt+mFSazkYnr7k_qNs=DgBVJqZgRjgk)%MRv=IT5bn0XbYL?rUZiWU+a? zQhYC5bOI=9I8s+@Ci`s-s`%=&5P?y!bk=BmA)q{1tPF_z9>yF{*@0|(9W^N*hC0Sd zdDlCIP*S2YzeIryzuieJ`rHm$oMv# zF0zk3FW~#i(WOwLOI=l`^D;z?mFuUW_{DLZccZh*f{-kkone3hA!*Jqi)IlBLD$LJ zao@~2JHUXD`k_;gZw$s=sD8a5BSE~HhZPP{& z;$p{d9TPWKZu8zoDo&lYw~esu$Ujow?<^=u-~Iu2{qZi^U51%wo*&=mInVPw&&cN8 zv_UUn^GsJWe?evM>b`4rW!lY>?O9BgmfGj1*D>c{)x78pBUv9D4K+_@s%J}#O|08c z@-7lfcXyuboFp~VdHVQ$g}3T|@a~_fYd#4t8x4X+D0B`9LOMv`gCEd$7*T^wBGFVA z{8PX;Y&1fmri`{YJmC!uy=8)cb|fK;-o|3Hl2hy*#&-pD%tg$w8vG>c5tK24-w8#7 zXFMXz3|@kQ!P*1eWW_&)cOvxoe{NoBJNuu{sjDBB8RKX#M-!_Zn{Z=FNIg$x58Ef= za$UP*v3fGI$ByZ2+9}`SzNDOBYMyl*UU=fXHXw1`JfYh25?WZCoLT0U*RYXzNZPFx z{1y2Tw0j9}rb>*?uycS+#uN#g;&?q1;nr%7jdV~_&`Al;Rr0GRRqfz3tsqPZqb1po z#@bDLTIAJSNQ_$Z*j~C4ovC@PH)e|qJNU4SDID<{wOwqM#ST6pq03gQl)^`KQ=el* z+7jc1q@2Ja4Yk{Ow04l5k=nhKU5dUlV_q8J;2kRLBiMt`^$jguYk2z_#7xYC3e`u@dUv@D{SxtzJW*+ zCZ$a58yQhS?WE$a8=}riAXOG@qKMW!&=o{fC=7-(!zMZx(UyrOIzc!Owr?Tg%x}w# z{O7#+-lu;aya_gpzUnu7-j3h@Tyww_bI;%#D-VBj>EY#-$;W%7FM_n^(y3?r_&>-0 za_nM!&vSd_Z-Qut-EPoq4q z?-SpB>16EnGkss^dwX}+OzV#(4}KM&Pk<@rm1_#8Us}oDZuG1?{GGbKec$Pm{s1H~ zohL8f3yPNu$Ezpj_g?eNQZ=Y{z@;;JZ2xWX@9bYX*#7SBu7(|t?Um*C4jz|g{-y78 zp!9h*hNq)#&+cDH|M9-xjeUHQpC{+p#k+4f`LWHnO&=#MKT6^-J^NRbXZvnGfQ#K8 z+d1~EBn{m|C;`E|FeA$UuEZW5*K+c`n;(;Ie{x{u6OZ@ozv(L{ zpJ@PD&jURNCtq!R*S@9Y{xdTdU%EZkbFt@^haZo{KbYCR^tg>3|DNsp>I3`VHoD^X zp8;Xd-sg&hJv%OT)=Zx4US3|wZ0qT}bMMmR1Jcj#ev9nAW(+K@*F1C4{zGE_u;anq zH+`+=4^Q6vns>18%;drSZ`^aSZSTxU9Oh)pTRpd3`)pMVD-4Ojp6%&7$bPxI zi?lvmxJdp6`$Ys_%I=LHek=PA`f;-RjlzKjuo1n{IynyFq*-bujl5BS`Ur|L4EPdy?R3T4-kmpl%Ucgk7e}+7RL~355 zt#uD4jFH%NH&kEeT?Za0b{mN7`fnh+_uR?!gmFx|y?*Ar5pV=9DPV9;j1f@j68p?V z;?q)!O&^o~kq7762dW+)S8@hSKG2bocp{!Z$c*WK0EZeC-Mxt<9>8xf+ZSpjF>Qm^M4;I_Fj*9ehVBEaky7nxGRWu7u}~wX zQ%*!D2_}t{h{N0CN|_iL&{894t?;8lRe%UWX2>gGyK%dQQYq(5wwWb7qqdPsyFK9y zRtgyBIXjPLs3$OCGD5)sc%zWyw#=gu+f_H|o>S_HVJo^2y=+?+wq{M!Q4*56rL+_I z)M0QLMQJE16?DvFCHrHF9O7n3a}+TXwK7eLK)ASGmM`x+{>IUY?>~9va?vi(7-#~6 z-~ns=Knw7|t`oxn7Ao)22=-`2)5U3hd~3epwOMkVLS`HGWYvidOO~d6b$r`|X_WA(4`zNWgiOiLv?RLv)|^giO3kPB1#tae|XE=W)6 z(z21bK?`0XBoHhHAPDczbW5if zbL4v%7%{wLGb!=*?PqkUUHG5U-`WOM>u7~#APJY0xWeuEUYUd4k;`@U>nMp?p`CK> zhJ}XIc)t-XH6q=t^HsX_jMlh}{{1qmom!P-fXH8FXupu%Of;z~iniG0#rX!sE;;fl zi*)v%WWD(b(bdf}SOkiZGQEVm*Y@;BQ0tB6STE_bJ5hvNkovw0`ubf`)4+%_Pn|(~ zX1MK>1=i<|^(q4m-c*Ma>XfIkgx4s!AxnZs)bp%K#4cFmWT9FD!tbHCL2#j{8!ShmU9Pf9u#!mcF5AY@y6=8&%3t)TQt{LJ^=#Lb&l+HQAJoTy7V7yH8>R}Nb!2{0B(V3`_zR#BYbg$7ainldk zpJ8e7B{|F+7UrWavpyWX|3tcC@*``aX;aLF6ugXYarOFtGZKnJ>;0H8N(o<5nZyTG_(8=wL9sn zN)nTn`T6>4eW6CSb_vFS-#L|O)WSx!pKcuWDSNfjlYL1F#OA`8aK4gd?;nDH#(PWpMa+`qNReFJug}v}yH?$wD$3HWyQs0w`oH*;BNLujZl? zjvSE++JLH~lT-lG!bmbj|0`z9GdzbdYo7)gL%-TyXO(h+&U%eXeTmHGP4T>4w?KSQ z%1uTi;1=+sbQ9GOCJ{iad^*nPdy?zF88EhKH5c``Wk7X!o`B zx9&UiQm^$xU;aBQH0-?qFfjiDKew13X*1$h|JOaQf`X(-pCzfr!NLn|PbQ3Zb8sS? zZK%#P4nL~(`Uk~JMyg{-PZyR3JLd}4oH+1(QS6jYq&g#Y3yB@w&a!>II4myiCU*!E z4ZK}v(r`gvYCOm1HEU0-%c>_BY|>KJBvGZOz@M=_rnbB3F;HaQ zb*X)>ie4+$HDA^F5pk+pKGW0Y4}0UUiYdnX>OclLpW5=;blX<#vzHFrb-H^{&!~O9 zQ$v~guh{kTZECLS)O4?W(^QK-CSLmJ#~-+_qWy{+7y8wS?V_qPdKK&Kb2Co}N~TrE zeKUq+-gZoJHWlO?NOO%_}E9=+0#mok`+%E?kq}h^-$V{bQm|(`mF&ri>TqzDYv}%c&Il z3hZWa(ZYTVWYM{6x}FZo*=yL8+$ef)%Vz9^>CQAI7Ha5O<#4;19?KjoB&w8rC;Q<< z-ZJOwqzoku*x!mOFZNr~h(^*zU7cQD*L{M@+dAd(8;rXw5=j#IIlHq8^QZYbC8s8CWAp^Qjx)YL>V{`U#pDN5 zk;F_rr>tD{;-L(aNy1zp)Kw$y*i3o9{(`YkVErTZEGRq|+pr4JsBMyVgBtI4gEk#>xU#hf? z8%v@d(e~L!EnTb@rO6t!yvjB83pbY1g`0`LOkP%+MD4t|^_;tvYA;o42t`$z zK%)iect6DU{g*RcvMNm z5oywqtsJ1fmDqV_7wvR6xVg_N)dO?dlgx4lMdIoP!Ac#52rOx_5-WFL3{@jyM~}6K zOpdrAQtt7ZVY7dxj;BANb~np7|HkYeLwlS@Xlj z3pI~y8E{G~BmNl$EQ zOi5k>&GdEvbNY38TBwn^*gz zY0ul5iW^$wX34TA8WbdpbUr>?K5;#Qj{`#P5uIT$W~1M})A!EGQqXwvEo@h(9U*i| zJfdh)Or~3Byk4?;2Ds;=DE?^;wpre_fLji&jihLiZZ#0tjLpzuz$ZHa|0}0Z9nb3OO?1 znzBoH!m*7Zve04p2d~530ar#|r3}>pP~=iMV@1z<4WXx$s&KUOrSV>5qyMHMWw;)c z7e2JTEm|+fY-!<%e&Prq$B>z=n@xu*!?Jn7t=1xL5{YP|u=qwil7Du}d-s(eyt{CA zRaZb-Yirj}xAnTB3lg(%t1ZrpH4^P^&)7$6H)q{ZJKIdlK?`%Xz1z}c6?_4e0e_3m3!{g za>gxSUP9*L9cI3}UZk0$15P31HFz9)YJ|FIxA=e}3#E0!+%VQluvz&0mnjh2Y37x< zw>J3a{R*zgxh+z+J#QM9UXu#+`s4MQF@9I-8-=b%Z_vilefE>|yfTTTz7G9-?n{Xq zX!OS}oj#%;Q9RC@`1Q_UmdZ0;^>FQEiUkC%^uCd_@~|9&Ekx5w||d>VlwDZLVmna)Y`r6Re20N32wsuZXY++D@*gg)hw>pv;{Uc zyCF-S_kC}pMP77J5LY)^*)1{hgqAQ}X~-_`e~6Zf{&*}YM9jiE&n1Pa=c#pue?(2_ z=$!9l(*=utB6^eW%C&NM*9pl))LFtu|A*2eEmi4xx8R~7t%V%X zhb8(vJxHW>`790i00vWcj_Q(f?x{*}mJO0f1L|v{9;nqh$)1&=&GlMR3XIlJA`g|(NhKCM6E3x#H?7zlN`R$ zH8zMsxZB3cK*Etrw6I9k4PJ;jVaB7_?-E6cX^_N*RXt;dpU|JzL@F&1*bEPwC|a>> z%81B{ta=%z+{t2WzdVYfkwM%L)g2HX3L&db5po1+2?$a!i-m=NhwRc|GQkfcMbyI1 zxEe7x(*0XFrVSiwt*8CNngGN~H*X~E_pkibhoAeC`71s|5QIq;(|Vkh=pZ`-YXDVo z8s)mEhHZ_QA!1_5YXH_Sn}#F^29Jo2F02oNKxBkQ93iBJ@gJ&&PQ-~2 zRO}+2gYSn>s40o*nEGq50c;0t-I(=M0A)Sei-V#bN$`i9P=_y|!Wbeb0kP&YSn;4Z zl3pnY=Mw#%6o?u%BVcXML|_y?9Q^Pdt72;_-VGihLoo%pNgxW&VGL7;C2Y_Vgs{j* ztgtbPa$t#0(?w0J#cgtlit?N_%yl=!WJkgt8Uz>d8HGeKsF!eE zGmO*2JghFX$3%G`OB-+-<+Fy+hs78vsAXXW5GA~bY=(Cwu;zmqjIzV)K`{kx0~De# z1sHPqVJYNnu!tez8l8xWDuvvaH0P+AaiitfcwqzPUKA9$-L!46baDdeg4# ztd|xv(Gl8A;i;&mhZ3R*ZChf(ur=)xQ%J;YRCUWu)cArwcBkeD2kbok2)%kvcXZt< zgK4x`^)fDp33*2|bA>i>qbDAK%0WEkcae(Am)?2x2P@D0NpJ?ZYbqIU?ga~b zx!JE8ZbTYW+{wMV7cse=6?Fr0Ko!!6h(u*zCh-*^TnnzKs1UL240jz#S3<69j57oi`{hQ(mHg9<{T#y9nO?)s0)80?NT_|=h7((fpia87C41^rU`9RUV8&;jP6`z(WM z(Nw52BghyC9snI!$OMuyq=;?;ACS=Tk?r4p^Xl(EArv1Gbpv6g5|Jq7NXG;ynbGGg zI>geIvgVM;qveuoq7sgZtRR4m2wzE352`7oIr3mz@FS)$h4aO-x$5R189XlQR&96fKE8;gx!aL+ifA#Dbg|vV|QRYMC(Dj$@)Qk5{h}9R0zMsX}hhK zcaDWsh(^Jpgb?y<^_-EEtHF3gJv|F8R@`uvOY~%iq(qJphpfA>dv4b+u33u{5zAK= zi8&DEgS<`MXy>!)hWUu)0bWjdF@6D0rld(A zdDTPpG>hpwPg|5V8m(jHW}B%AZCh>A-#~FLLJ2bSi913@2c;k&)P0K0i-kut(G2wf zP(~2+%8^Og>uW^)0Ct0pr^06Vj`a(YmpN=3O1X14zl+Gew%`bHQCXitB56dP;DTFO zqLiOy@HLouFc+gE8!f3X@XRIR%~fKZAR1mNE5H30-+Fia#$W8dQCNK_aLLo=LDARX zEU?g%hpTHdapwe&!ReQqkvsITVwTt1`kX4@OyD$12;FBR-cL5rNT-BJee7#MoB>}&%R$(TeAR-wO8rj1OQHcI zos@YZg2j^vanjZ)ik^5kyw(^`HwAYR*H5BNr5< zLTY*L@vE-;N%4w=&|V{XzWpG(RNJFjZ_n!bad|d>)14y+j8}R4zUH~kYcFcHs6N{&=G?dHiB{E9Hu9!;^KLJ77^h)KGxd$LDTdO|MPK}` zJ?M)Uz5ea}&tejE&}x5E+p8EKq)ymzE#27iZ~0r479&AD&ZR?fjYFoiS%m+|`-fExKE@yZZY7SUkkH8m}bh+_uh%%YIEh(REWx zWu5WW-NuoZTO|2Oqy6*BkkWDa!H*Fsc6_6FPRm(z??L5<<7j}Lo36KVRzpM9yt7vy z7*-~}S2bXsYHI(udpg%){jNKAt@JzfOu8)=`_eYzS>=_77c1jGr0eQlBzdX#PWR#C zM#sDJt^YE;a_vLE{OH;pDDC*Wk#uurGX9O8{;lM(@7_bd+UfRQIuf5GZvObK+T`dr zPBbo%z9S9W$!IH#Tr&uCsSWeOvpw_(1Z) z=&O?cvug6XA-}bx{q+?2?R{OT9ZDl{m%KYu`TwbZYBO^zafZh&LXAt_a9cW6s|@)+ zu3Ne8+XIb1=IK^tp#BWaADTbba41`y{C|}G{x5lM+oz4)&BIF0Z;6Xb|4XXj->6?F zd)qA5H0TXB-uHXtqsD`z&77-LLRDk^&w_0JF7Z`%=h2o!$;ywA%7a#ZdPGduD22Yy zO~3Y~i9@u8-PF*Wtr1%ra>kj>Cw|y)ihe+3@~N9YU;mj8uKB$EL82gjy{_Ru(cjz1XvRk>*M7LRgdV1{ldLEFb&^1`dPJBy?%QuaZxBL%3JXGO-X4Ni) zWpYC99=rD?HduMlwZ5R8$=SwlHM0%IqfMQ^FJB?kc=g|_98R3EK4(0q4NVO84s3PBy|#yu;(MjvU92CM zCWqz1(08+4{&v~@zDIs09VE+>Z6BRX%|5S)ZsOUOZR>nJ|lH|V4?!B>Nv~lFya$99n zzTQW32;CNM{PexbLw`4UfIaWty>;<#>{!phbNqAk>95GKM+V(5chyhH9d-LYZ8xSa z+c#xj|I^d|Y%J}Yi5?uO8cMdsUl{Z+J$j7LkGcz0sSoa9HU1%fOxiAzVgC)kZqOeZ zf16hxSa8m_BrBCWx83mFtL8e$7w0cXKkTsfZ`XHFUEXy-UdjG8`>TdWW;3ldg#-TU z@_b94 zM^0R3+D`kAW?H$XEkF4v#_;sz=|{iC$qhZVPtB6OyQRNqdfqrA?~kAUwsB_ilkwSa zKYeDhFaG?Qr!N&cjK_|!uCX5XFK5rp4D5D~jqm8`aH z*g0^1wz9r+((D+fFE01(@avVn)`IqJ_q@B*j(;!S?5-qm0pE59FduQ5eazl!Y$JV_ z`|yKZQ?;`Yr^{~t)-MMy^hMCuOm;jnN(VaZKRRxUc=;>;Ox4{T_S^P9+ErZMFCFLHD`wd$wZi)IBqP&+p9Ob>?dgx1KrUF1r`nzP}r%^;PoZQ}(jEr2M%t za|{y=e{KXHVt=vm*)uL$(^|*JX3*)zp7gC}KmEd`zy85HlDT>VuV&{8l4Z>I@-S5` z1o>s+d)j(58-<9fWmQXNkBlghE#zBVUG&An-S%AFVzS4bZ5SixJZ#@Nh8Sln3ntZM z?z>`J$CR9uqJ4kMqWxNf%tmGGZAtl@W05oC5o!BqN||-aWNHtbHO6mX_5QMAM`}S} zA#swugUjsAPNU3Kz=Ap7rk;_8n73?)w{eX~c0Xu^XjqEQ)lp$8#rvxl6cl){Bggvs z86*LpDBR?MFK1%R8nB|{J=FKElidOn^z`A>08`KVxm$U^8=U|f)>vFPGp>wJG3TiU zUr^WpXo8l}8a6#<$>pAJMq>O6FaGkEKmYLhH7Nm50L}^^Ch!C>u6mk~Pz9hA7o8ws z6GfkpBZQsePe=x$2=hXxpfO>t_+uV`Pw01q;GqfNFAOmgc{sp>DuAsscrzTu3w{Wz zIFb;?AsNaa_*3|YrXtV5Dn5X-gf~F&=LElkPq45j$SbqI-ShM3-p9X#2gYkKQKU!& zb3U9zyeegx{FL}tp$%&fYO%sl?lP0PgLjw7qMZup1y1quU>s$j!-Ejep0+?hwseYn z^I`*Jk~N|^z`A8pUcj64SSGIFF>jiC(b=6$8RJTF4;eN1I!>^moMMJ|vU?K(0!0FK6xK7MaqX#-Vl*`B?T>Ed=i6dhd zOoua04=@G4c1= zG}FCpyx)Rc)5kh1N0t=y^Q{}%kyPyp-e51cEz)DGZBN@6P1+a-=I%Lf9joRCm09B$ zi>@d~=iTC=P881ls~>?MkH(eE)5hP0jQM;D^Gtz+bG8HfU$Qwu*rBaSl!*wJs3 z%bnt}oE%el^3x6ej^Idt3I_fG6BA3zZFzBw;g&6IQ@EFC6}fnsL*DBMU&IM7DL*z& zEzFBMl)0J(qmtNUkp9NCUxj1k{?x;vG9U3)uU%Zb_SD7T@08MuyoMa(>N2=>{MQUQ zIMhqV?=x2%nkOGHxs#OEFJSru7ym-dc)acE<4>%L{Qtk_b??7&`QD23hrc=lSadOE z5<;o~YYvP&Xap>GV2?pw5q!##O28`Bz^;Q&k(nWt2|l&)Qv5V*;#bwchXi&XBszG( zr+(3>@hLLrwNC>J$EPT>;qSoY!w!DcM81Zy&zV~;TvPG?{uJK`9uZ5gqWHMf139wf z5Oj;9;w$AuQ&7rX5i9wTO2$ypO`0TP9srSbNUPL^WCx0j(jW)@m*0v0M44KC@}Ufc zX@l-s#s@-3RdhKl>z#1SN*ugoZC+47SBK^WWrMeYX2cO5k*a+W88@BsFh*xd1ExZZ zDM_?I52M3XBdbSKU(^S6PHk8|E0y{oDv1STc$DZ8iTKRrx<$27BX6P!u-ewcN67-I z*QI`U7`dOs{2?Y93iGLy95sG5?cr!PrpY?8EYXyV35T?c znh1wB;eOkm_v1sHBxJLC6!r3W0D! zsC`RiY=CxxNPkwHR1YY6by!yQ5>YXpC*CDdr;tE&0DHS&S2`?of*%zUkw)DTp4UBB zm$0ND_oDzNZT34b#3+D(KqMW$Z-^vWpjow4DWM51s)rp=GGNOUr%cK=k|}0Og?c8= zQ(c4*YR7eqDn>zMk`^2MiQ{+Y-#>Ns-L^F$gmf#84O5WSDlFz9H9qVb8j&?rS4gOd z%BUC$Ag%U=tCvX zRNB~b0vkbvxQo&WvWUu#7dlQisH0h=I4rwqJA_Mg~A6O^D0@=+A|8F1iC-Kz^GAFk_Ov=1+n$o zs+Lspe4{7KR}(9X?u@MSYc&ahVK8hO2J^u+CacqLCI(MMAmR zk8q+}4z3%^s4|A6i%tXUK#pI+^6m(Cl?4ZZ9$qA0&y#MP7Ih&7bDo#+R%n>bh*dB&JwzQWVOh$69k>>mQLu-N&_#NP>wBn+g&8tS)Qs7H zy&8(*QAion1KU!sOXCuUeX1G9cD*blZfhNGK7<#U#IVlp{SZhX(vdcCLa0tMs7Wf$S z5Cy73NHooxc|U3JmG_^#|Lqql-ax!BKFS*d0SyFBCe#Xt01rMR!g;t3&)hf{~< zSXmWbhM|jQBX;E}ttWXE%5#V}(Nd2L>Oh?#$ATkKhEjp2$)o6Ql11ujEp(U#z9vsp zp#iQ)gR7y#2uA49!tE!n76=Ff0IS-AX=5o6kWl76Po$#${1*v{4Lo0THIkZWz&#*I z0tITX_Et4WN^34xA+$qSI#i%Rq9%C^GKzCpo09LL{VMx5*K^VISwtH68*eAz`9ln$ z623E6&kx|Qy2r`M5BU#%G5v#85p7T`mM(^8m>2e-AjUAsSX32eM6oe|DKJZDEZjJg zfl$WK_oI*qjbSt*EdruQuAFv+EL@8-U8PJS28Ow89vHPVpnb=05PyJn+0=>P6m6N# zppFmSQMh8>Euov7Fl~TR(YfqJNq|%Uq4cChS6%QBOJGZpJv^E$0u==;{>cETh#UM2 z9C|&;MWHtd-heA32xF>(#SQ3m_y&eO2r^cR8DZcR_G3#Y>zkPfAB@1X1h{ezEXcSd zYzbEkP0v5I@>KM_@BDmKBtjYd3$PmE&}-T_B9ng|4Mb7|QvqZ_&|b$N6XiZuB_&j_ z^8>VSzyMdJK;DoE%HffMl`eR0`iP|x$u-KTc3@P>lVLUo;!8v$*+@HD04}_7Yco~z z4J=TaI*(40>T$gqJpZ$e2-`}9PrNe{%#)f}X4efX?YU7U;Iaft($B#YuYni>_Np$? z2}PghnQFA2E@1)2>#yVrTZiqs{Gxcqn3x5S$w|Y0PNX$lZ zjs;rdTS${8JGqP81$v{41&=^+q6tHUB3YoC>QKt7luKOokstADT8cQ9>9#j2fLuz! zlQR@zf;|?z2o~gu+7qR`y=L60p0A@}$f&JK+2qRz(0~e9tc3n_!1YjUjl&X}6YhpH zg1Cmc6p(agNdxak7gFHAp~|qbAd15yBs;_a?Ma~mJQ;MTheGwwSsIcfMQ1b;=S3w7 z@J$fOb5*NqG%gkJHCn{IZ}+xt8K}5Fwk9N`upli7grsF#SUiYao>t34su!+iS%5x? z7)qdJaR0m%iZt29)lFnx(u-o6k#QPO3j_=JmR~8;Y$bCs8XQfJYjHcV6E+5I$`Vu1 zfE*)LMQzgLR8gBGy_P{z9vv`D*ja#hE_=!j8X%rPoq$pxtSNY*0!9L$_D(?3$z^R?Bz?4g53^YLF#tm@}reExZ$@mkm@8Ba$ssBhrk& zMmiM40!#rm0|Eppv}n-=9$=p|#PvLE57~l`VnN=~-Skmg%_F%=<46bmz)87{E;g$0 zYWuD4EI!Oa_)Y!xF~o~$X=VQHoc01%SO z(pE-CG5dK|#hEIO+qN&=KFR6%dY&f%Y6M8dnM|P~25fBwHLi7BDLSouCH8s&T(Z(%;W6tq zMY5?*{A_@iATg*Hqy{KZ&1|f5ru`IoP|>9&g$I5Mn5ooFJ6hnjRz*ev*FH!R+u@j_ z%|MhLU`r1aOtgy%R*m2XtHfy*^-C-Gp}=ch(JuIN$;13n;J4c0cGI5KOyIZzV+3eg zVPa9oimt1uWRxv-0&j1b0n*bw%5NI>t^eJ?+ly`Opjw_)_P7yc3 zah1SBZh~fM(e(UQ-iRVyC=eJf9!hovJLx8{6FtKsDK^fWyxbv5+H1Pi z9xaH6G=Q0D$c&YW!KiUyk%%LK%+^p8M5g4;3q}9c2zvKH0v+1~t3>UyHnN?;EQ>g5 zMJmR`zxLnR`p}0%f3m7);Ssi=%M^n_x(avSz_0c;D{w8(b8&zgyPb=H9eSd+kiEVJG%rpqS(h(TW?_MkkKtPzOqJfb%)MYYYRG@|1XtR#7<0aR9TU zNXfZ4HH@iYbiFi!T_Cqvxq<6(J#zMdMi*2`m;0lqyd@d|ER=;@6_p;4NRuIkNH zc`n`On^K#bVjvEF80qJR97CoxBBZfLPRNsyH zI?5!UyVGk^csjq|Hj45Cm2)5(TmJ_BN8TgOE8mR+L=YrcxSdtO+i!$2s;YfZPU9RBGV% zo8%OyB#E4djT&WSq7q3SHE19={62O4Jw$he^9|$Fi)Q4)uN5w!t@V_{S`Z_DL*tMK zb{i7A)9L1ON!^0VxE4 z->+4!N^hSNA}&>vRMyS%U4!-}5@ki5+e8*xcv0s7@Ie4l1o>?eVoQ5HNyK_5j-Nj9 zpWlCC<+`(L!qal1)#*(;Uas1+A-u?rKO%v<_#^?Od{| zo|KS*&fiqK!$91^i5NT$_E+TPt}0J>^?c$X?5u`;I^ju^opxZaMd3m5Kr^UCA-pB! zTFGdI-i)UQ^S7E;_}&!Za}nI2!DUUQw1TV==aR{c(<{^JAp4yq)v)W z0CwT`5MED@A}iQ~cLyHInZ6zPKCB@Xo!=JX?S>V5)g<2}WBha&P~ZY|afGAHKwNEL z-buR@-Y<&Wd)$hiFJ|Ag)=$EWg>}3Ut8ok$HRf?fu2MS3}KVra+ zV;V{n2)CKJqW^O&Ns$wJ3i(xrN_G6oX|3e6l)k>Q@NHT($4NW9- z$NT=*FZciCy`Sy6qKFG|d0jA3x|2yd*!+^_GH!0a7F44L7z7#kKL9)0h{Y6D;}`cC_jw2915g3WBQ;0p77+|#zUK^+vu0+VXXNvFq*>) z4s-xSNvAA45p9|xdbBB{#yh$?q+|ioJVk08Gmv2+6TO6D=LmP{)yifM;iI%DKq?He zf!&H#O~#9k(CP#tpgEM5uqFukpYpt-D=Y;jj#23o2zx?Ws_HF83`si)u|Ywr5P}F0 zUM2f_0Yb#;A>v4qHo_H}?X^&lRUsBVA!v)1!~}WKc)#I4cFPs_{`;B?%NQBA%vEEq z2t>G8v}SNgB7`U#8KN&F*x}8fjlBe_IK*V7JWxfIm%$s(W3QMj^7mCmJgA39u>s+1 z6GVt!|Dq<6K!$+Faige+5aT+X)p?N7AfGra<_W^^7E+8ShmlSc?Yd2hU(v+CyGq(T zD$8A1?_H2P{DE#6k_;+k7UJ+}65?GPOqPKpPgzEbIL9Td3^b%ar z#Tu={Gu#P8W4KQxn?&&ytMUQ1fgB^KE;f#q>8qZxD8o*yNvz6nl#vZG)_#XYZ2~d5 zbZQ_4gD)>C;}scNtIAjl--wJqxm%M($S{V5-oTs#5dxM%hSek^7ljDv1{Phcj;v~9 zP*J;xjc67+P^m$JCjO=rE7@@Rkadhz!9OlS9ZThPY*mg6Eo58`yyGSU#0!N)csbH= z|3{ZAra!SJ$Z5dAm~7dBN)`*(r;32ESDe|#c}6llES>8$d?!Oai6>J&o81m!;!bmO zMIw590Z?EZ_ESm|&`VAH3fF2hpv!S+QuH**Hri?TI@l!FRrDKU=cUEn#$*9ixbve3 z)vF%Tn~nzdJ>dWxLMih*m13w~Z~Rm*QFJh-lQ|V~1;%+E6!{1jUbkF+gW>?2TIm2A z%3=_zfwyv-_tZ(2#SiTv^9^vNCAAj%gXWzg4gDaXD zfW$zYOhdTA>Ue-y#U^^$#xl|saR${nn1F-05(I3{wxRZ-PIh=jKN%8(@>9`E7G>$K z2JCzxP)*+?5Tuvi%6AwOR)->;4=g;Ig{K@N5G2fAiFwl?sH9poyU2qM3;=B?r#Q4K z%xMMiXHA%E2F`&Pe?ywwI#dKcGF)X`FDrV=sDvWR0fn9Nu>UcHsiu#Tpo)2CB_Fbx zf$9Npqi9qGW*^;Rt%*zuoU zyTqUPw;!*Gvy7pH7szwIp4nLx$4_zl-w<}@un3wW*sy*r>J-Db5)Rr-5KirxD*|2B zPAyZ(5WkD+S*1(Uqk(N-rB!*5spJ-`dPVKT^3wz_YNsF3*1Tq*oqIgvUVQ6X<3Dgz8D8!`F)_vRz8?(f~wKrPK>@K3MuJ6cY}hsF^^Ku%WR42Zb!l z?EofQ#X&*dXY{MdHN_0U*3c`HFoO7f4(YrRcua(zD8ukqJwsJVM;8nWip5Bkk-f~z zjf^I?+bP8{-_CnJb;wN ziZbl=PO0FBMzY=d`|(q+S6 zirD3WPVggumhhe0oNLZk0$D;k+xT#hSOZx?s#I>T#T?CQJl`M|E2^~UJEL%SFd7SD zUC76@)37$EXy7NY6j=0~LHTP)lLLbXG(rk;rCc}{*!#Y~3#>ZN03B6%MRdf{&`>F- zx#l^vc0fmUB-lmg*%l2{6v3!rY@PJV=O!v%dHsrpHnVYLR7G3YfQ}$hc15BcR2No5 zQ4t>@Cl%UJFF{41mrTUGH3fAb&1|C*AoV~)F9(qbaorD~x>*@u%n}rKprO_5w5tbo zu=7w+QwzLjfHOmg>_rttBN|enRbisGS#+KdW7@aty#FT7s}&S^ND$kDggX-8Ba~T@ zH~ybU6QHu_KZDc*4iq^bMDtsLIE&@PHJe`up^O!&|0Fa}9B(`C)qciq(&I)Dy(~HMx+EN@L=$B0TmZ34rJSY5E*{SZ@kaa%gEnM>Vek zA%0a$14nB9>vhlXu6XmCSCkZMwZC$sMJ3$`&UFO%H*FB5ZDaxR{7n@NkSF&x2M~TW zz8A$=!+%ptA%*V;E)O5|00)c7)T$-;cN^?>xhNPl213le$ zMVdBh4?yu~e6)(b6bU7)9?Eb zC}24kBVQ5O5yIS)IELUNC~V`i5Z-a@=_>TYp$7|3f?$`~SP=>46{&#ED;y=_;N76H z2yAe{*9|n(;g`eFuo<+o3Bf}Q7lPGyTR_K`pkPcaXtWJ;2k#jI>y;BJn+xl?FxR9d_qg7OtW7+nvk+q= z)0*Zb8A(B}CUve+%X_M7U5^x(LrNp-8w?d+a4UNcz-8;D|oz3KKQOfN?*3Q$Cf~0E5A4e1v+^`Hb!ge~g}(pm z?o00Wlg}=lxisB+vwV^4jXjO0!q^I^U61R*S6iCiKH1uG@1^POCqWha1?iXf?46KT z`d*PHFZaC?d*;C&u&{y*c4FK9`3LWP8wYEhOx&~e;m51NCwp89p4wVod-R8URwkc` zf2Ze#q1|shd9d$isUhj&?!8iCrSEt(*lCZ)p7~3>{Sy~)q?v<# z@AmAKt1k3?eguoTl%nGaq5}a z@C@Z{y!j>qDcW^gPp)IN7)-`*Yj!SO2n=<@#CrHOy&xM<(9^k?k$ zmU~y09`9i|R-rY%|J^vg1^QX(;rI4GR=elq?Q$Uyb!_F~Z7p|SI@y9_^?h;w=O-W7 z|80(2a?O)?inY9DLwpx|w#8E`H-E?e|Co9g_$IC^e|#hhBM6B#cz#-2MGNh9M2 z5&}d*gXVf{kBzY-p%6n}NETp7o7Bch4NX(ccq|5EaO|kmP4hs;Bu<-0O=wGZ(=8ST zT$-kf%XVqE``_9zDNVcGwQy1(ZSy+9G!+y)IpUZr5LUnXIkuND2P)_<<<`tjd=e)V^^CK3T= z;@kDq_V1LO5IVQ-#htsJ#pxHtUC@Ls^f#d?T6i+GA0PC)g-%x&&eX1Ni0%R-^0xF( zcuTUibYx@z9JLqvvxYjexs<_O4YTm{3W`3i@z+uR2xM4>4l>nxCR8fmjBU+`OZ!d` zpyf8l_1(3RKY^1!c*rXLj4KstPl%srJCocu6U)h3*Y$>4`_(8k;$WU0Ngm{074QrF za_wFWW5ZMr)B-ed&Tgyj9ATbzv@^q1ZTN(Ka%wNW$hV!IMzOc4e|pn}A652;men8R z4mv7!{Yv#<= z735A&7qH0oiW!J}7K}hck&e&_h;-h-rOZet0*YGgbzItuGgR;R)X-ni{##74%k_gy zGw&FiJ>kx-pgSYa;>;j)V@dXocTj&7nz#ZP*kY+~-y8WRj3oFt9nto-S*1%JS%a!J zYptaU3|irI(Z!)GmuzNgS<%W}Bfw~X(T4sStwa5q1AuV{i~11^Djbj87l*s-BM(hf zQbz#oyE*cATvT|8NxjjSp%fTn`VTrwjwakcPmP#p}`OE9uvDM7cHxj2`D2nButcH#1VB!B*o zM{n+1kv%r689_l4$P|Yk$UeKh$pl~&@ogWBy$ZE+HaXU||nAt9I)T zQjphTTO=(&X7T*(S~ZYT&d{RCA%^V~#fXLjD9p-!mOvcxae*8rLT;m$kc5G5kDeA( zhF)+M*wTohNKZ|eiyQhHMcvT+AAihz?fFyLNpxqsHL8S3jf3psrnni#QiKr%!i{Z& zQ3V(qMD8>*W+X6kumLq13j|QGFHrbE^}y|Mz5RXOfH45OWH&WCZz0zJn<#6rb08}} zorQ&v8p!%bSz}2;Uj=Ef@R=MS2rcu@XEQ54(cr!8W;`s5hZHGM z>m6^DIQnkfLjhAKrCe^5Ph#@LMtN4!x{F5xdhu@V5HGS!IIvx+lK|DYiv(DJUd>;k zy{K+2>2fO@g{}4_OuMxUk|ld_`ka=2(4iBd7bnITUu>DA0B#U3W*I0w;3CIq(AvH2Y9q`>FP=p%x{eB<*tJv!Wh8L@SAu?O8I~MB#st{L-;+rls=g#bxH8ca6x1b~^t(WO&Ga zsLzHdX6jpu$qr$Xu4HV*s;Tn&JH7YiJ#*;xP!g!OO-lP|!J5*G7DzgM4^>&SLl{9{ zrW+J9&GGmRN*WGC#U?jv5RNemP%onbi|_zTP8ODrY=g8xoR(G6%!sTA9b1DA0FH31 zIxcVmqAbP(+)zLcmI8+F9xiZ(T|#;6+7jS~Yos7J!V%-IHvo2H5LYFh z9=7vlsOn%ZB5jdq;uX}Ok}^fl(At!LG%iYEX@#hhrY6zmo`jVuJHB7?91sj~DUxqH z1b2;SA=|{GM8RafK2Af1*$SBVmVm@h)F47wJm>&jLCtd*VAqhGg!u4icUjMg#25Sj z>&jnVTk#o_Rh+Obz&UicSBUY_}j1Mx*X-CJuEfFw^a>YkzQX_Yo|K!F() zizf|YQEh>)w$<`FNmu4rft|Jm)~3A>NE;<Q8|m6zxXnRqYsT=<#NX8Bm9L1T<# zpAzooG*UA=d2^x0B?F`4(wIY7C?!=J1vn6ihOUyEj%iCSQj4g1WuZ{6h2raqH6IDM z9UKQvp@mOS-FSvsS0=PTrPYF~Kvxr^dh_K@Wnxg%0zk~E&a^K1*ohb(?wyGp9EqFos+n9U;+AR)w#lsv4vla-x?FpC%hB^0#XQs z1xLCy1E51MJt>kjxk-vHbF+#^WJmKQ0xce00yn&wqYt{Yg^Uk`d7#%Kpy~EB7aV;an}OTI z(q~d?VahH*i~%MW&um&LNs$x_rwB7M!#w~qidX{Z76y!(2xSq#AS~o?l2_oK?*x5k zw$no#6E|u-Gz6$z0IiS`OfHv$6|a+{yIUI7P)OnWUwG{1w^v*{b6eLD3za|v5gBo6 zFl{~1%ex|BcD^vl|Bk*u9fBG+TA$MWU|njbwYJ*+6M(}sy2vV(hGtz}N~)E*IW>pm zJeJg9&3X8zYz7C?Bk>k0p)Vrkt(82o;gYjTqg-?l|s4~Fu$D&X^(|JLCm%59|SIsM?<=8qw%;Uc?5f|6WRDsXQ$!*Yf{Q8@0 zZLoim8aUiZ=c|i(X`(^2cc_LJBUavP36ni~E;ETO zc(@x(Wy64AKRzWJwK_=ar(2<48u%D|V_Pwmq7{j9F%8JfgT(!(2V|!MlD3o>f62}m z!46%ZmVq;5X7TtoDkauhdu7^Ye97z6cLB0{#kY8pT}$F@#EQ6E3W&z);fApIKu&^J z)3Bf(4_Xr7bTkqqHB6JdWx+}jOB^v#?&3wIP3Pi-F)$5wZv#o!^;HRKxFwL|A;I6s zr`8_r5DoSGl1&5QxUoqH80iUZkI8cF_*?E5Lbs0&?X zx~Li2{5`;!U1Z2D@qeMcyaSDs4O*Cc$@g!nw^+Jpooev|$hgkUJ0lm)rXyT!`dW1{ zvxJ$!?JY6Q#?4L$&UST6wXKY6v---zOpP#7Bd)AhTlVV)6l-0h zElzv;ywh4ka2FPnmdQ;6HRND(6zA&SjxPDy;O53KJ+Ua>DRe6<-KABS!~3tPzvH=qUT7W!sHk-_hPn zC~8SK*qlmBT2wkNFM`s+@qGGIRZK*u6wWLrM8D4Wg~Offqf)>q-wRy?%f>V+mJjWi zYUw3F2(=hfs-ksrh6lAA9&cUF7g2P%&qc1Y+APa7i z+9CV<$PP(DDVG53KQxwbH&1H~q(`sbPYSYGv0;THM|xq#yCl0JLQgKeUA%fgRWFLW zo?py(Ya-)RqX59Vc153-d~6P_gq}Al)u1aP4sLq9FjmPu`tIj#pDjGF;`U5jKrJkS z0B1hRqg(UkdJXMYfdo%RT~;NQ6Q;Qqv%yF6V#~9E_UdXP=Lcy*u2MSbW^d@!vPby!skFm zCq&h7TF!xjA_QcTq;9ez!CVjy$R?~ULoTvfHQA7W(=?Fl3Z1mTwZ%>4_0eK2PvEFp zI+vG7k{`4n6s6q5OE~&Pd#L(m|FWMyfAjZWzCAXCmXCunE1D4(hh+&cw?j=Br3G?^ z^}=xy!w@*3q%l4bQ2A;ze?Y^$*b*>UpjsVzFJNS35>N>N?GoT0DTm*Lr5fZ!h+JJ# zfU8ejq#0^z0p7(BDJ~orO`91#7LdoKz5&$?blM6a4&UeK0z84_ZG`cFN-u#rDjY7M z273LuR^AkcFqYb`!*VR13%vk@b&^I~1b?5W3sycR7OMO#&}N%5>fHS&<7YkF=r-O> z`DIJ5pnJe`pc2ppg3fz$?>u+(FX1~^ypTh6@0Ou(BM!?a)@|}zA_*!SkF1c4C8AX} z_KGd1fj!F;Ci$g?QS)rcoaytoHwS0$*fZ~F-0TqcJ!K>2`` zmVClDsHo+#8Y;0{BAS!tC;LAcdG>tX?!h~=V^=YB@(%nF+MfgMuc(lt;Kk`28c`T#<(D%QA+0eFbCoiTWVxjjB+Y7@m(lB9w#m9whim5Ri|X05r}D-=+sV(wjJX6 zWqQ^piOK-Zi-iO=iOymyhdG^Q)kSJhpe_Twn2}Y^P&#i}TqKEuz~Qc&yFpicLlPA2 zdxk!Z2m%`O9Rp^Jk&T`pkRqdjmpPafpA zwQZfG^zF=XnvWHWxwOzzPADM>k!3~e(4|&^_w=bY5F zQFwqq4CcxtKJO8DtO=}sk4G%FaztWz<6t@MDFgd66m&KA40#)&QnYihGxf9v5TH@u z=Jf$}G5#=$jb%gTZWLu_xC|*BMizGj;H+%}l?(t*F4Osjmbloih{$l5(JTX&C6ZMm z2UbE{k&L@X2381C#}@q=I-SOG!M7?({u*0#Qi(9bVI=@p(MVt9iL3G$8t(C3wRJ2< zH~zi9Bbw*@;hiBH(@GuY8Rdo>K8lwm8hJAJaSO5wi7ier#{*g}6z4F*z^l@s(ya^-rpJ{5izEeg zmRQK|muf(gz;!H|<0EvVKmauHi%KN!W1)OR=0%ewy^SDLahhYWubf^?|9I^Bs(6NZ z;PyC~EM^;&JNFs`hHO-TM+T~ z7(t6ib-=X?M+vcP1jPv~L*odFVO0_o^pZYOwR$09ParcYq*RE>w6w4^VSbS#x^WxB zIP6gY;vxP3Nsux*g{cKvHg1uNmgok-VoD$tr$seRTvn-Z4mw$c0(387@(QZX>Vmic z>Msf@@AEnlI}FKqjWse_02rbee}KdDbL)TW$@}5XJCgx&&=8;k#U`n_WEZay#u~^c z5e7E}L6Mb^?nt`fD%gQQ4iD=wOE>Vm-8#~w!tD)Y)Miekxqvaj5fEv?guFm1Az&Ay z3YGp4FT6yV;-)~BZw?@^suY5dl7%3wL*NjPw{L^kpp=84sSsf%Ee^94R?H??Pm>Vb z;kpe0BM=tStZXLP99|$yaoEjbM5HZgvT(oxp&{ij`Y+!7=3VNS?_3N-<)~_j2tJUd zqG2T9pbJ2+KsXJBKmbA{Od1(r4164dJ`iaN5ZREA0Ld}01kQOnPA{OqjL{HCq_sg4BqzY(x*vM3dvh8<5D0LzTD9A;q+SKw#BuG4QbLBqDSJ z{9vDA(vD_#52X137GYrG=GGydpp4}ZNrehVmiVN()Md-_{}uMiZX{eKC~gth6@&an zEkGD}f#o2V6av1)xI(;E&JT#JS*p?e79f3OMD7wM$ekZGaH#`i znNOL(78qwu0x)QfGC|Qj5Z6RJ#ek2|jtsa4MOYtYK_*AONrFqRl}KR`Rc0XBv>SN& zR1tE|`F*)V1W>7~6rma8Z4k<|kw!LJ#N-SZ93n(O?^)>ptcJxVm^lj`!{btLn~8QS zCQ@RJHZH5f#ZbJ1x=NCHE&ZR29{tOQzq@s@;5Yyr$U-h0X3c$|_cbGC%?<>%Ng&da zAhN)U0clLU2JcltsppQv$T_ZJ_Ljf^&w=1K{YIc6gzgP>ZBNua)I{K%<8}- zX;}mpUIr1`yEwQ57q@{#O>}%QrGv}FgUK>#*-mG;6s@@pn%GF( z*j4ncypDHoUnfDqEo?*%8oXk?3fhn>>Jl0~8 zj)oB@z{2e%Coq~pLMY1N1{ z1?y`3O%7Ew`A4+)ghiD=YzTe^ehiY-kSdXF3rIDaxrYf? zPML!IHlXEXOrkR@W5T=)$$Bv#4A}T!p(^LdvXVpVW){>0Yuq*-PeUIHVgVIoInAg& zzzk7wbAlv{0nq>y#WZ2ehQwih#zjGIi)6cM3VlHxfX&ej41 z1Ulf6O~V}NgAB2e1=i69D5-&myvM|O*MHCd{xorGgWR$P^^4#cLuj5(vRxFG3}6k~ z)Oap07_8V72S)8UBqQz?D>)0XP<29n$LwR_Xg(asQAuQAjU=mPRVwf2>7zuHN(N>) z8Rp-V#sl#xJpt1k)(Yd~1EK*It}T`z3K)B_EJ?YDG?Q*5-$TVoS;#FC;OCGX`t`A( z`e|MP6(SLQf|A;_0JUVOJk1hABxylpEN*5wlLBju24v(%SU|k1!2L=9`;VF4s)IPL zZGGy)Z{>Y8a_cGy5O*9bLQ5Y9M#8uPD!a4xE+88?nl%<`vO!>ThK&J8;TUUTo`jHt z31u<>)62kuJnyEtIDrN+OeJc36i8=*$%C?Nc4}Q3C4WnRrFNT3=UocQ*L4G{5JL>)DFhy zfP58ikz>9%6S1K2(H(v&RutCm-OOq!}8GkhrMlB-D7dzjye3su{$UvSAuDAr7Pk`qcfHA6+T z_z9{re~OEO@i<49C1}5^o3;fLwzh869-JHRW5i$)8t++kRq7f(yNbCgKSLg?RtA=l zT=!u9ln3U(lQpFr8LE*lQhS^)78EU${I+H3PZMk1_~L4DxK9gyoqHkHx6xnWaa!jO zlzNy4>GCrFcXVr$=IZ&Dx(QDm|4-vpc+~cl?Z4KZMni#*Y~jGLeK7qI`EXAt){ks0w)EL* z=h)zm!u-j4dY*}XL*M*k(%#+T5}Y*98g|*zKldHw>8Z(dchQ4({|LpGlk~-B@e%>& za8GlQg9s-N`(8m1YkxE!$NJPg^otuIg56Q8?a>zAtJe%jTgXOo)Uir$Up-u7)gD?g z#qriN@!jm~zfEIh_1k1664q0bee|!XIUqr7?^c8ERHv$qvu9+d71Cf_`|y8y{1m^N zxhK>|3K256oVO1kkBz$;NudozHs!kPsUf?RKa75{NFdK7JpN*4!7<}+iZ0gQfUmer zJ?xTg+@SZ(M6lwxmHTBRyzENS;H$kkF023=_FcqI9YM}K?sKK5&m-x>MfZ*}|) zX=%8EO6F_H3hVu=0}f{5AuiwMYix?VRZ+u@h-~mN0njM}+$cIEIJtRGbwj9T>+#dXNSpE;k;7-vZx>Cw^zS8OYWmG6V6!6a!T(}@qHVQKe6-+ zilb$qrc|3o-FwJE_7p9Cs@SdDosmx|7eyx$<1dmPSH4JA48;yf`P~CJ;3<`qY1>rK z<|tRipo^|Sr+=;ul|<~^0^bd0W%s4@_z|+b?x!Wx+h45emeiH6cThHNpL!XGN_*Am zEiV*s6$j{-xw4`&BYZPCFwOK{7KhL2t)w053%oV0R7Q2$$5+ox-gn?@f|dVRxSMq8 z)=j~((dSMKuAym%5Xw)EzrdxxAzb9T_FwO%Xcx0pe~$X)*jN0Mb$WSM2QRdX!&Qy% z&xcoZ-Mk|c`KI+y&zqa&>)D4;Tl0aI%MYJruO|;_?}Zj>hqRZW>wN}Zy&Mw1gx=84 zqAwx+P^j8ARn#}(A{|uU#Bx+YmPl{n6V!N#^fq3aDr07M&!T^z2KsCHPtj84yUZc{ z*zQuD8PhL0(r@Vphx)NK{Z?Q0k=*c`$shO4BkQ}r0m@atPF9Q@Xqi{6gD>`l<_|1$ zzj5GfrTi*t80rg+e4B^gFRTBD?2D81sfNqU6?BpwI;6Z3S}N|+FBe`x9kx>fyq)Tx zP6>Ut9?IV1XH(Io>US?RHBf~I=jF$CQ_GZ}NIerrFBi_sk2JKE4jh=Te{?tB5A>=d zzd9iSrR~Y7;YOgeT{Sf<{kZ((7(9Ic&G`ex++ABY)E6OUY-GNCX-YX*)Qo$s2M;h~ zJ@XaK3Ujf3;BlP1%v=l(JS=Zi4q5XR?KjNz7E0fkdcDY|Yd5K5Z?pl}z3Ai{U0SrT zEcL+ere3SSLjgSe;~Vn==oRKi?n`J&^K`#4%fZ8Ui(bKwk)pBDS*{`c-L3t2 zB>j`s-GBQDdn5V$Z9(RM=OZm(u1Z83P$q4Ib#_q5)=yooCMaf}tD&exG+;DEaa0GV zeHKyOeTx<7q`fEAiBxlPMOqpcb*GhtdodIjR5R%(NQC2**1mRux@ zBhN4H6^7|?h18e{Scvz{@Vg_V@LMF67?5Q!sZ&gNg@Feb*_c4NjKS^p7Bd7x zHYiXficTSa+|VPo^*|FILm4vxi=cqk$k=NSPC-lgp73~4agY_Y5ErBwJaJS3{)HtuJr)f z7@{mvt{XhIAZuVdp>5g%(XB%w3|u(_#Ohdy1PRfwd{B*gfg*ILNWdLIezrbG6QJK~ zr$Uf1RuqXXoEmRguEP?F%lqltzuq`{v-Zv`$mR5CxwdI?zxVy=;D;EpPZuGS(Xx}H z8>xj}y1?5T5$8SInUgjjYE^B|sM5alLhgvTx!7@+*yeW5F_E22q;p?ow-!f4>2Rz*(I(~Rin4>Hy;Cv(y!J>k{bU%5>0(fU$4cYp&5 z6FYvwzyDLc&Lj6Qwe%osBMo$XvL`}#1Cp4_(0k7)b3+j8SvHlA2Iz`(7}@-}{)NRW z+7&;$Hed9wMn+5Pkh5?hBNQ+z^5|hQyc)P*-_mS_V*BW10aNCW0H8(4=^jS~F|uH# zR1hp44noi9I>D(A_`19AB@2$NRlJr?bODl-h1_0kz%?Y>^fC18)EMHR9Fz9ZbipZZ z|B$+0tHe=`TVh5uRi8D)Q36hT>wuU*QYLT-fQ=_6Yi(K7G&OA$-7@ z>UZNg0M4x@oj=r`D%bWDhwDfgYEjwXm*^Ef4_6GaMqCneqF@uL*%;f`K7yep?dTi% zk&93YCF08u&iQ4hKM#@z?aG+ScMOno@@hmzsmlC#WT=Yl?o!*&s0$nHVrTb`3XOgZ zM@}VL#CA2KJDF+5=h8jP8J|O(=E>G|&OLPcK^h0^y)9_9KH#eSf>zLARXWimP@GvQ zG30tlbPa7_obph?&o@xEY7!WLtAP{GpXx~p+-0Tf!|RirQ{|+;zgN{YxNz(D{?EAg z_;bDK0_MLWxIJ;ClBrRFE##SqG`$1fwt3GvwIDlP@9 zZB8PqXp!RIKOdu|st6yYA0y+_OmOFK-PH8dfIadr;+hKDhJITEY*2Ilz_eeTql=h} zYmAfmD)8fU z^IhH3T)4owOeCurt5=+&_D)cH&r$b2 zq1rZni&}+Z4h5(?eD^YNx?loYqEanz3UM=7M0asz485zGn`@x=pXBTCi{cUw;{onvY9KJ90sVZg27@2p zt^t%N6^{kR6e?CD!LUm-#%U5*p{r0ssDVj$<8Yuwpkr)=?a+FI$7taM9zeKGtD;fv zap^%z2VEo5^b`=v`A`J_aAJ-cK3d{neG*+lDRoI0=e8VtQS3kzP*P(XF)ifMse>eG z$8!xN$#PMPxVSKvzKdCgxH=i?tYR(z+2@9ExxpzwMtH!k@DxX8FAjImABP~~9^@2S zaW;^9L%G16MG|7rt^rN9vms~ek5G(f{jea7LQLb{2UTCiL^YD{)*c)AU~t>b@afwl zXkueW=LE?X(u54nGqoD8Kvs2HUP7hAASU@S_F35=A811SYd8yJ#@*dLdEASzWsgm_F-p|T@&2oiKa=f*~Z)tK%`_6|5C ze*+J)g1hEH%kCN}B5tG_9ZFc-h+ssGqC?E(J9(a-Ml(3oBf%6&#rNU?j`da0n|V3~ z9P18ATtrfl28Q%x$8fU)!fmM}Z5cZrbkS@Jl<+&m-Ii&nffCg1JShL5Q{c112sG^} zRDc?LB0L*((vZ@n1hOk6#ZnZ#8DqFj7AC>)=7dh6&Jl>{#(3a@;W6L{1t6QAtUf-a z-VMHi{9iqv9eUu?G0W|-T*xhFnr(c#;NB0AtLs1N@%63W=3PU-h=khZS;v**`gCkg zu8VDSBwEta*UOKVh5G`?2lHTbJ=CZx>89+m26Ne&((8cYc}o=n zMKO=6?%RAFKehD?J*R)c(XFL_iyn1R1xd%?MLM+~H7vW1n?o1F%XKR=*YK#l%Ha-G zImr1Dmr_af7an=76kWOrdrbZ2+>OQx{+KrPnEAGKRUeU$jwkQKJpLJ zjfSV((p)WI;* z4IAAhjy2vjUfannLczLPv}ZHG&l^6unRfMleQfy{R5p|%fz0%LeRLr2>*wz1q$nF@ z_vqqR-aKbz+Hrf|2`t}5v$gk7lC%#!RN|nQqF8B!0!!VdJXJK^_>3ZT2s0O-anA(v zkS%yH@)Xhrz$#N?qSU3zri(Ofr40?mH26E^66hyxvqQvFEf|dQ7k2T}zznZW)sCg7 z(p;Er1asUf)cM^bd$hx2+Dy{Fi(d_zA2_)(blnduo8;F$HIPo;MGxA+SOB|e43_qYz$Ac?rMhO6&S;o&U&P_fC`o%Z=GXICgFHv?WAf+fSBhgwl(M0fw!(8o z;PjlISjv1wcWJAtTh^pkBk9TeHRl#_`2`oaLRr%csD+gn41(jbIE}&u9%=K+TJe{h zh`Z7QYcynQ2p3dUXq4}n)(f2lLe?RIivpAI>?Lo(hFuE4uw$!$_}aH-WLx{W?)%q| zs9ncCQ>k*`zfwzI`@)UN@BQ1o|9ALD&lPm_jf1KQo&g3dKReFi1?|Ju_8Ce%X%j!q zZ&kgmnA&|B#{L<1`XMe6yeqP8o_rE$cdmh_S)J3}=qautchbv5$x3(VYIJ-gv3t>m$n^q_@&F zK7G+@7_FRo<^{ey8?YYIK3~C0fnBHX zuN>)4eb$hVuBJKph0k9s&-;%3&M0#xSZqZ5Qo%S_JC-1@>48uq5>G<9PoTl|HL+fm z<{vmo8({qcU?#tXGEf$1#3(KlWE3xhGA^K%p2ck8<4rt-x_AY*i>z zZAu5CF@Rbe;FRpI+t30s7fEISiynXqZ)2)J;W3MQ3DKx(&vz=h3S2(G8%0sbO&Ukp zAEh|iP`drtr*#jE9lLYY6Qc<%%?iCw3lny25WR`{7R0?aG6^Kcp+==W+tMz$-@Son z1%I6bCZ{D@+9N;&A04c(qrsp6Rm`aUI8?tn#3*gS$=akzaA^XyoM$<19~KE9QO3Pw zIv*RM)DIF%PYb5e9!ls)5}aVFD-gNGCI-CUp_ua=XL*2v~8m8aBYRwUpIQ8xo-4>{KF^kx-WG; zCE%_ZpX-9_HP9QcUBzEPf1)xSZ_m=D)yd#@H#DQPe?z%_!Jk#9Tha!5F z`%HJ{J%2%>^p)za)@u?CJ~GbWHEYM~{0I0S`mtIiZZ=+az$>lxg6X3l|1 zy<)gvWm9B5TKSPD*wj&D`+a$)*i8*rAM3jIYjMw>%gV~q^W@X$&ll7ARJzogpLyx0 zROY36(zk&rnD`k*RaFN&h57aLGo%=K)t(;dcU%z0et+utT+`zd3fD<;^I~&s zaHntI3QKDBSx%qdr&oa_3z%J;|E*R#z85kt!}}svarW;k=+ETN@>%iI!fhv#Gn#*Q zxxa4by1uT+WL@;tKlnqh{?X6;;K*Pw9qnOuq#<@q|DePangV~d~%J`ey z_QZ_(tms@_pn~w~J}uVv&=+bw7akTsb|m`7LRIc_Ah->T>*u?a&dxCu ztHQz9da=DaLfbqu@1^=ttuS`wvN(37GsTUrM6vZhYTn1)v-9X3v0;5gfSXSR$+@yi zSO4-D$ZUqcEw;8NHD|{MwvIoGd1&x1_mjez1PE^Dj&*(eKx!OFY;)-!{K5T&yMz-* zGA~sp_D=YBzW-R?&i9||i#7G|O^0|N{RI(_nRHGyh;2O&zV|cHtmtegU5l>KyM=4k z-NL%c@(sl$6@h2H+_T=DLSm1;XYgZYPw$@@|C54Un;GmB+jdTX+>##CR(f5Z4i%i+HNed~Z1}x)umiW{=EfkmF*J7NItF_ydP_C(vulrE+nMM2 zpG5gZDP4$v1R0?&JS)slTYx&Kp%md+H2QiH%8$K|BVl)QQrli7hoXHWvK#sg3A66& zan^>_}d3XmU5<0;r0WXQBGC(E+4jABVOI z1+co{z`3BKg-UzFyn}B>?hZUBH#uCXO6ps19&@N^q{@xmH)JT-oCk|H#mp;qEfd<0 z%7(VPG^g-!sDqz|LM`86u7`@5fsDKgYOX_r)lgY$9Zu~J9nqo(frzk8-Jph-xt;FL z)Rw9cbxPSQ&mXssOch2Sr?p5`Luk&uF-5-=>U8XC!Y^w}d8j3A*ogXJouSRZ7gkZp z$p_VFsRQlB9m%UWTmMXTj=(S+cYk9hH1AkmxMA3;PNQ}pTLg)ex^eW|MGrD?D!3H6 zfv@Ohs5CP0m)9+y+1T5+s5ua0=Y>YJUBHKt1S@hMTeiDV<2Z{M^#fWjwBy%)>t#%c6_Ou1Ah9K5WTj)ptV$2fh<7+{I^5uLkiZ123eu`Fo zd;^&OT)a?m;#{N$xrF-y$)P4U&`~+Hwwhq>ad0#`Xz(-!;hyVEE#`eL{QT}LjdV7w z0S!cCa5Vs23M5E0i#oykVGLvy!YSyT?5mJ$}CK*(m`&XU~9Pj04W5%g|53oenc5N1^DXtcOTnnh_Vl?$@~5FuT+R^`T0 z1xd5emdgq6LPQ2MY9|^yuI6coM9=M<|Mlt5zI10@ZVt>w^Qzwjo%epEUC%%Q>K75r z-|y7!&-xm>pVaurMr<7y0w<2#<*pQ}6El7Oov#)5JrkKcAAR*Le}{1Mmxs_n_m@yV z8U%&+lsl{Ro&%-#7}%;mO@&Ji@x`JTtflFQSe;dSYb4-8tA)QEl{~OI+E7;Ko!C5l zu(*oHW9f{fw=BjU}f4{qO8vatG+0@QR+fGn=Uu~bP1EmKx3k;U$ zj=`GtRq@k4*Oeo9pFB~A4m(~)hqtS@E!MBt%p*dj|ozCjK zDpZ&1uAbYlvU*O0bwtzxO0Qro=)Lpvp!d%2g|kkt(blM|hp%JX%w+AuA2=WWpbDw` zvi7P>ozq;}8gM!8r=Aw4U6;ky$~y2k&eJ8Eq>@b=%H8CN^nmTZE{o61Toz;Z|K7%d z!2+EJM(dWvDn_mko=^*Z@q|h@i|w)X)$P@-^lFrOFOYe!t#s&02CBM$|1JWp=kb@ct5SY()J%vDaV7EHYUOks}H^L?FJHXw5Wd=yERjkIHc=Z|y z{EV#d${o=Xa5vgF5BIMGcjJGA$GOfR+ZnK09eo`Muv$kx0;}b21p)eO>a!8&*FkVU zDArnwL3TiD*Mv4?ZPxIIIMbAmpW6%U32#bSd*wO2{WS(`mYDhN3UD_HYCv*Y)upWD zN>p$+HYqjh)J*Yx42bR+h)%puTTc&USKZuoa1+69T`T^2Jw%4T@)F1U7!uk3{XBfCen66!&)S+nh}_t(-T9a2eX!<+8>;nPq4O|!iNj>`S#ZLNO> zS7U8C%48)6f#u?es~NjMa<=MuEBvhixmCB8+Rx>=&vnloew;^hhrjMaAhwn0l;`i) zK6v_{KObIk$K9yCExKvA^`_hVrfmM7@pgPa(Dlr~ZI>0_=8_R>`-SjQ`L^s5C({1f z*CzMxfZGqmmf)^$Iv`}7L@}8uu1;j=>cqO|wAP8M=Q_#1rmf=D55**uNBIu$dn6hp zXODJ*8tBM+98NMyZ$|GzQDyu85uP1=(d#_(6(M`;t*u1+*X|aw!c%8#T_WeRCB6=R z&g!hkG5NG_vH@bEw;YZW)4t`EAiRfTuGij_Jck|K%ttK|NMHo3Dn86}oKc>|H>85I zRef3E0ZppaKUlMl&J-_&+wQyquEq<#^~I3GfE#bmOXmvKPQo2GUtHxmvln02tY_Nl z($3qrUER{YGm-bs%rMjxl^F#pG~ex;?m;mdI@#lyZO;l%{5>ze-caseTJFw|{!J@* zr$U1{^Pg1Z`C<@TIcf)A1Nw=c8*nvMqs|x^1NR>8xu6L5oF`L!I#Vo{GP4=@cjeaV zzB=n|{JrR5iT(UH+ipwF6qsP!+cYplDMs?wb5t2m4Rg+s$(sBHJjkmd5CkK10z=tB zg4ekeUDYEnCzC35O7k}%(GHGarG`r6!4i#4N|wzKJ(7*fB|Db5eYFw?7%AgAbOH;h zeh&NCT!rHl{b^yLyTw5XLL|s>l0rGlC+i>xFnNgvSc|YY=Ch|39^Zi(4b6lZw;1H& znUgHE5bUWKp=&kNX$J!&0x)34s+>|F-fbmq3ZUhzLs~}?d_Um=gyZ<|Hxx*tHR$ba z6WmM6g<_j9D3CVI2OxBZ)NY@J)$t?BadsFVWQzFQBGLhi`{Q)^NDi5Xu)Nxju+mv> z6m5960tGEmNYcrH46QFMl6Pn~AXMTB43%E_&gVPXOz}V89?C_xSPZhy#q2)=3;zgX zJd24YmH?VM`!f5P2vAzU)!uq_=btJ5|G&ER0la|-Bb$9!hbQqYfSmy3=B*8qy|*^~ z)_(&lnOJxx0Sr&M^$tPY_;qRWoqIn2^6i(4gD+ss22gMwx@AB|Q#Ynl7QqxyD1t;= zIVjVmMLPH{v(G7-9!_#9h5!IqiOd@Zzw_n4|LmXd3=RkAL#ShB zv%FCRw^#>wEMRiA>rkkmF4k4p%eYJnU;+?XvH+sITMOjMP_GIwdMIQtz!!2x2`-sP zQLHIIm@!MJS<(a<5hDQu%jCd3$k90H`9}cY(CAFU7X}1#0FEY12q+~8*jLDw<{LGQ zi<<&wOADK;nQByxhyuWNfvQPBwV@H_1U3WwSc?O?Qi8@^i|D+q7ia79J_Drgt*wLl zxM&_d_5C+t`R?XA9W%*H`qd_!q4v*KRTuruUHARBe^nZ#rzD`xNsV;Ao-XB6uute! zxg&D~D0AkIzjH1*kKUHnc$ytNEEbBI`Qz7USJ6YscX;3>suGre;&3^tj`;RbS9Wy_ zJuTdD|2);d>iFLDga6@%-}y;PD0Ac|n0gF$c`h^;*+4eMT)UdKSNSS3$)_A|{AzIO z+n=PWQ2i$(uGidjhj)5INAly2x!q02U0WaDg)>|KV;8=b>~FbrE&1JgS8Bb+&!sB0 ze%r~9gB>Fuho&CH zdNqwRV~0bp+PD6}J?DPv`zc_{`9AbC%^X?N@VLA6YiNGr))%=vhX=p;{kNz3y}%Fk zI0gJr4;Ot2t@qh8$@LYxPI_++{_r>q?V#3Hy7}bX+UDcgFG{7}J$@}&Wd%vX32(}T z*KfsJD+gacUUg|~tQef9EbCKgcKqkIE)%NI@>!rjdS@$sj=IrSaHT3S7HS5@rTXR+ znggn$+H0Zz5b%xqr{+uYn$F*uGxGv)Ez=(YWgTR8QdwtuUaN5T;Vp;pD-B!dD?+%Q z{a5aIIcRfq^3y1`96p+s)1{~!j-}s`u{@2aX>s>Mz$XD* zLvo|N^G#5`ugZWy0GcArskE@baWpcGMyu!%v9m&+YRS$ASb=U=?`DJ&>S49X_6j6s z`3p9je#_A>!uK8wZcp6$5RRN{*f3m5qk4#aKoV?lhCWHRyzM*I|UR!H}Nvs zmdr8;O);~n8QN0=aKek+UBw$t6fwa3lLne*5l%ML2aT^^Lm}ZISnrO(y0?@b$xv4K zs1xnOQ$xGyBd7zvl|a9i;8S2YnNm6(U3jMUBz`oJYN=Fhj(>;7c|fMQ5tY&!+=uX! zGn+%m2_I56$DgA3I&@=@zsof6nb4!|Dzs~XI+t?5f-(xz^eS);Err3GlVzYH+KaEP z+h6?Of9pDZN2E%YFpn_Ap*#R=&;rpE&)SeQbnw6dcn+$`1bAqZ7AIi4%}oSPfQBQh z>p;V>a43}4&@!VyVLbtawkB4%g)t_G#kWAvpuvimR6Gk%h6)6hNW}#Xo&h+TQ&kq! z8GKJ1ibbUCGviSJw)27P$M8qwxqYwy?sMnq>~q)v2yFodI5=00hTk`{XhHTg;KYa# z_}yDip}b^)l;z&b0;{ve)2tO@4zSvUDGsl18`xX>inm{6Pe#|Fuz`SDA47fiinC{G zXmnr&_BOInCZ3~_MwNvk0Tw>V{v6a_#cw^m^|gx>k+|oP&yRKGo%|mP1OiX0+j!s& zabN?B#CtfFC=%OsBk=B7jAVQhkQ0cbRNMfZFFG<=-IOR(k+?{B6<%VE6%tDu0t$3D zGD4AAb#2Jv3#5)JdbQ+T(d9IiXtV%KO1XZ?U`H}s9K}eQN&-$@B6(As6eSEO^}=*O z#{gV6(ZIJrMfwyXiDj{!f^uXiGy_(^8aOGa zvROi!`POcN2Gc}6jg0C+op;0dU7DvnduJ@N-b=O!2!<~}WEq)E zJPYFr#nT`)U>_j}Zyct}?Y(&(QbI76Fv?)-CgU*1Y!p7r$__S@Y=Hxe0XPzlPz56( z>;Ri))}R95e|LiYV5h8o%$9M$H&NIaFa`)HTZIjtWIrZ2?UVYSjGW4gErU0+TiLkM zgSni|lv$B#@LBQ$(el$X^k6`7t3(&s4Yds6?)xV48=sM4G5&mPLy6Xt=`ew*SZ8y9d;5UHQWM2yuMu z5F?4rL11ia3xNg3kArbSrtU=A2oM--pA(YeG--WKNJ88^isQ6yo9ReGU>W1YP~st( zv^9kE()5vw>-I}~J2U2#Bqa5;HSx5b%-orpIGwb&of)v*IFGh>>45DxN!xon-}n8c zZLA}0ZSBX}d$09dYrkR}zGLG~eb*R&wO!kpQi}V>G+y7hjgFLJ=Y%~~ryKuRmKAlp zvGJy{lu#fauXHA(juY9c8!sATe3Mrr)zDQ_)zPUT?wigMQ~7?Qz<2C84YNuHZgh6V zPdTk0}~H$3fZQ!ah!8vutzU%l)yGtY}J8#|Kipi zZ+v81hJMg4Mrr|dbximxs8G zJ0^;DT~j|87d%PLR?wt1)r6n0jUq8SWmjn_k4sRoYa&H0wue96C0uPws9jJb(}}I{ zG$m0CyDlwC3;YVv>lN#MTup_Pqc-$Z2xN&JGWT`sIXy}O_e+cA?-`oRHv5|aP&3QD zs$aUXe&_;$lTMHxcInNgW7TZ)cc0Pe&L%NttUGl2{O5N3?z#UyPZZ#rE}RtGro&l| z`D9XZBFCI+QHLe+{ff5h+l!z@bcamcuuND_&bD~*N$!UtLiJ z>pf9Og4waUUSzY~!hU|rF*g_!J@QGJCKNdP)HUi=vtKbe;PGneDRGw@cI>>45|XqU zYl>lkE|jlbtWTndYB8qUXjkte7&e0WcucBpt3A_8o;6^lFO*m?V1O6h#tHTtFaPV` z{y$fFKe|W;f00xr?4$tQ8$|{H8}~~jt@#`ld6bcH9gJd5(MmE4Gixm9vMJT|Z-5Pe zuz@%g`zlXkEPYT4?LZ+3jnv9Ic)tc~y&a!t(1@%7i;;ja#9?AW^;8M*nsWT?&5Fxqx^tCTb z7tZd0SiohKFE+FIc-`bFyu0Fy_GZ()?kHM1K(;t#O*wd}tlp&_qP=S5A+eV3xnXDv zE>m{L%=RvIPAoK*cC8Xym+}6oB)rk&UYB0wP4O-K0r8&x!y%>A4y`y+>Kf4*Bc)YS zZIDoMOU+_nw4S&~pX!2L0J7zk+B0y43&v$ znrtjNjoGs$wJF101R zH`e7&$~*41H}YOxqRu|0lswy7*%Dpk?H)91VG4#`DR0=MOw_};@g@<3I4{~_k3J(9 z?PbHq^UL{hci*i0b{;>*!$O$ifw1>>&qq%eTq_2|<6I!*J#^&^c7m8kRy53;!5NSZw5{ zEr#1I!%P5J2YPk6gqJv3E-Yjcdu_x#EaDuSm~quYjIEs>gac_wq|AuaQg$uXnrEqo z)YsYtrp!$m6t<_a7{|d{=w@Dp)BFYtdWuzjl9*Cnn}%-Cs<@6~*jG>RG~_xF0c+J9 zi9~hS9W2DT9jzSGD>EFZi|4=bQTuDTFZ&|QcXeK!toOny*HKE!a99vVW!2nkI2;3f zSyoO6c&Aha%#VljPOI(BiiM6Siv}dj7{=W#AjX^sFIC0Lj4)-eq5yAjJ4baK9y9?W zxx@oLB*>r8Sy_>iRRvH`Knx*?GMk(Ty(V#$tN}vf`d7)-#){suk}&_89M_aklZtqn zM~UZey_cw|+c?Q}94^1Do|1OhNF=1Juay}E)|Y3MdaY*rdY#HDESYy>LUR)tDt+qg37F}Sx3;q^0&L^-aI_JRfCd)V~(-9hNf|(!Vnk@wpozbd_Bt} zstc+p9h1Tv9GHYJ`Nw#&J32{YH3wZ4meFZ&UJ8hW2%r=MvoMSh?wJf(OqZbQj_#-- z?tu>CrjS}S%+6B`xthU2!zv~`0oy5oaF%5+_5dy2* zoTTDdx}B6Zs2U?`uqcz)A_F_9E3#6EHp&F0k$c-$|@^h;b)|lEK$?kgya<=Ubh+Bf*6=~&K2#5<)c5S?TQq5k`O0n%Q6eME-M?| zJgnY#INc0!Uc`nvTP&*tjTIP`B%&e4lu%ee6v~NhAy>e!|MkIV#?ibplq$PSMZa{^ zECKyej+ME5x=tCWgZ%(_y0k~@VLwE+9dX0uhxD~dSs@vgm8g<(>)qOtX-{>Cw`agT z(bHb0CN#tGszke)z=<%(reSqN*R=mA#;&J_+zH7Ys?>F9=d!l54LJ3_`j49A@tTPULpQx8Za1@QL z_rhM-y4vF)BTo)BileJYkKSPjvNiyF-z*W*Hm%UzNHI-N57xmmDy#@66(Go6;gqW^ zhO@{wl*n+%5rTda5n>wejc8zOtR0ig@g`O=IC(+?rN^u{vK-{vV_HX78coWQ9&WcI zqf*&%3-*(s{A9D3ZNk0_y2}GziWrg+`hhN6I#FN}7MhT2ERsc0HK?P|Ll`d~3lL_h zsPSMn6F|L5a51{|^!fAgZBKuEp}--VT9lPiu#pb1Q-(;B&~O>6+%TWx&WJRuu-g3; z@Cs|>-&AFi^)XJ!vfC*fJ|vn6SYZ_5Wm&^S+y_lPqJ_#RYPDnr_K6LRSR<;+tF(=B z7orDK=4~eIsu3Pzt#%2v!OiDgMv%GeQsnM(T$mG0EMRGZlSOwaf^O?H=GDUyBNmS5 z^EPa~=9Qa{xClSyMQX7MmG1)Lp#Wh3D_Jl>e5!eExYR@t_E|%35R4HH%GYJnr-Tv zEklY;B_PeQnkGGRFk3iHL#1s#Rh=r?8j@9^NJi6n`fGyA3WBT3hfzDAXC6UDWuzB} zxFW_%bF3-}W`sC~TC0S7c|OJi{AYcZkN7c1gZ*-KShlQEUC*qYW-TGh9aFHD1+)49 zIohy=aZ-~NupNXQoICoJ-?V@H{M*`m;TQ>!C{!kb71fl{lrRp_cv5ZuP7!A^eaC*4RFQ5cQWD8w( zwPD#$S7kJmvf!O`n+3%MkA+hDFA#e3-@f?UqTI2I`xb%E6|VBq(?b3r_pm*P?=oar zcs>6TVrH}jpZOn1PXk|{eE#)fnSD|L$uGN1#{H?Deudy9l7I7l4vG#Af-K~c(K1obXQS*LQO!qoF?;?;(CXl8KXwgB@B3uj1ON2v z?LU2c^gk~?XNaB2$bt_eL9upZ9>9PP)#Bg6>UfgI;xgWh2CtA`FqC`y+pjCf-n{3S?*R@HqyV_!piRktgj$Cz0>0HCx=gbN z7K(Z3Fu*z2`wbtqivjv3EeHke3(^eB?0T8epl?+%hy7LqhiA<5Fdf=5DRuS z83$Z33?ppw1cf;K#s`f|@|m~K$XPB4bqUrA&{Q+b5fX;sT)dt#nqQEGPEm{C5kYBv zibBv#`1uRq9-k1t^9wHNe(k)ITcspO7YI?wKtbm#alYN;*8khbfrmz5ubgKEe zWeh0{+Yn7~uvv)A3jPSQFQEL*#{foy_QGh4R1vO#x^$521Am6246J27tcE?tf}Lkn z*v1es8iGAmK%)T7!T*BcNSJ51^U1$__%CvI--_lP>1b44Q-?EUU~zG&JJ3VXGgP0H zbqmgjFl>}w=5S6c=^UtVyM(#fGtR)Q9#N#$F0%4ey7YGu-MfuY_J&Wq5Y;p z?L3+mHPTv+Q``~?M_oHO+ljl1wL}~hJxuDvQ#w-md-V>DuQ4iJl`K}<6%RmO360db zq`e#?{U}k=98SSOw^X^xDH5HK4f|bNONcVus|(qBje)g4l7Beit?Ccu9~OYQD3gDi zqt%fA-2HFP)gJ!cv^7tjtBpK&{3tzvN0-;ZSkiEHBOZ-boFV6qk_#`sk z2nc?M1b(Le1Bcx&A+l|BQzISll`lKb?B9XX$N z(noqmC!!_0l^K3&OII_0%85TrOk+;&buNso74{C6cmusoa*Mm}5Up_=#rR`%22UEB z3pcyvIN5B#NhRd9oBMTnf5icqerl#*2bYFKg?K*NBil#G?kCj;^!_cv7WyG!zn&lO zr!aO^e3VaglU>8p?(JnBOub(%_R%v%9k55m|JM}ic?X4R-S}U*&1B@q#+zSQd1h~J z-mZm)e4CckQd(`e8vbGEv_3MtcoPjrnH|7zwB(NDju15Xj&FWM$A+AcF%L9LV6`qZ3_L7A|Q{ zfea30@O#k5pQfn?GB}XIfea2TSyveB0zEj;gWqGM4NR4RE&4rH_&?2B9@wH61~L9L z@?9~^@<$DJm-UCB2M0Yk=)r%|fM%d31!_{DCIxCzpe7ym(MMpa{Jjcd0I~v*6@aV& zWL+sM-+$Ivpa%zfus^->;uOkDt>m(o70jw!m}nUoI({$i3dV!2g$cv&85Iqt%`Qyg z{y*d~fuSQXbOeTuE5TbJgD2xM>|gDv0sP&Hi5m>VR zAk+vfSr?&3V95$BS%2cuU4aY^WN;vZ0~s9bbayz|>FzS3dY@HU$KG{BV{mq1a5&|{ zshQgU%6;NM2G#+J4p8)a^oIaN2Pir~(aFPsEjqA82e#Zjh6}GSfnEV&0vY^10{4Bq0vR00;6MfkGC0tK13fr6yHE>;3xnapV7M?CE)0eX zgW-GyHEwD%J;ELzK>U6 zstin(fvGYuRR*TYz*HHSDg#sHJSY4=R6+uf^*)H|eY^sY6@aV&WCb8A09gUZ3P4r> zvI3CxuI-tucWm_;9E^2&LEZac$;)2Hf-@Tyfc3%zlgmp?g3+X4H0j;rXz%1P!4?j| zoUC9@Rxl?kn3Hwbx8cb!CFL@%xU`)AeY^romX(^vUGK5yTt**(p(8MK1cr{l&=D9q z0z*e&=(y6JBlwf~g3U<(pou2{Spmp;54;Sv^nVvC4dz7$^P+=!(ZRgvIF>^){4kQrSO}^9E&R%irJ}Il-|GHl{*50RLcoQQ zz{~$`J~(*3!)M+T-p+rS33cV=VloT9g400$zh})nD;K>|LYKStD0=Y?93Va1$s4OQl z$NXy;{B;zr^h?D`N?7z04T~r&`$?xH1bVW61rK=b7ZYv;NC_n+RGFLj?3^zB=F96L zy+7>ui?1P5zn?G{1N{lVZ$0v`^GNQQ2QPgJ6!IMuBy(Xb|Asl7A()I9&96Tw4)Ww}o%Y2dhz?rvR zm(G9nNAuo)~F1iy?jRu?|L);=tt|9 zaK7LfKlp^se+I?B{Qad2yes&7zRyIG`<=#fXAZtGxFhrAC!x<@;Mpgy0#7=lT~3ze zpmO~SG}QSZ&{r0EvtLL4SN_jC-Nnp-|9sf_mzheBwm;d?u-d~I( z!&-k|pp5?lJuc-2F&g+^F7=h!xk$ZC|ATOd(cq%w-rD)yzql%K4DK`Tawl5I2t*He zGVlQ3Wu7jlnDK)ElAO8Ad;;uO@}Djh;L;6vW>|p#7Mz~p0`G|os_Xtkvn#pjOWbch z`21D9SgMP$&VLUnFGS?uEj&R!DB^wpOsRY}eX)UOJQxl5IJ~~}@p}sY&Xj=$(yxbB zeQ?L@+8y)$jOG^z+HskQ&_zjP3s8RG`P>pO0`I{Y@IOO!X8l5opRa=u^iq>`Boxs# zKO7243FyVxgEDd%+JGO#oN*B=^OGfm2lQY6HET!EB2bLTw%qb>{d%MHtM6q3 zL$VBq8Bt>TKsH*4t&|g{gcxdN>n6L`>QpoTx{8@9g+MG4yshGM>K4*nrO0JBl`q9#gQ`qVypZZ=VAnZA&s@Qh%T9Kn`$46*lkqQ#UA286Y6R2YgKThUy?o9jL7Rl1Y1-4 zF|YO6Lz-cDyG=th6{pGy`Er1Uw9H?x%jn)=^bjlkyU5Cw!%%CkmE@WjL6Q0@LZ-c= zEGw1nO7xeMIC>Vz&O@OZY6-52reb0j@1cZS;$<6ljb_-&RF|67MpIJEld?@GRB8x( z7S^jb(T!3L1)?(=Q2+2QBFou|jLxP0zV+bj&SNO!LRN$edHI;-_-r0|NzXz{_$53t z6BbKc+3L5@goFTErQCX~Q>M7IqB+Ia$tHGin*=RbR=y;2rBG7|iH0f_)@qd%!J}TC zeA2iujE;1mE~lG<1-$1!&-gqB0LR z_rCGc$6s$aJYP~qx{Wi#WS?oH2#0JF8R{f7a2JW};XQ4=DZ}|^kC+{ULgoDx-%DsJ zqSt9*Wse(@s-+QWt$GBf9c;1Q3i1LS*$y43lF{_LjA9!BU&tEtP>H8c6$pLZ5z?pB zn0c=4MAoZ6&|bX5bdA$$NT?8#W6`2#OWZ8ib(WO5@jl}LI_Ut19*}O5rKGY0v90+y zX<)0P-ZYWe!4W8=6Or>Ax=7a*Ew1Un8Ii%>img_Tr<6_`6DyQvJ}o-hG@hVX_jqd> zJ9EY62GQ8$@x_?8-zxs))45wdI8O!WkOQ*SVZoDUBIr)(GKXE;-U z$tq$!A5wS)KSK3(jqy7bO4kkA1o{Wi4vCDbH4}xPki>_$RH5v5OxJcjw6sf8Y=lZs zf>$*kTV~6qfeaBbR?^Dp$T1a0!-i_KyE3P<8ctbiEbVXxVGIT8&g4N}@RZkSc4CK@otP?J=4~?Sc{`*Q3Z~s` zR$Izsm-mafN~jPr+Vb&451p(nX%`OY+$pjfN4V{3gD5Q-T2f4iO7!PSv0Gg_5>>)e z_V6)wpFJSznvjsv6}U!Hcf~zq%yi;2@kl;|qgLpqG;4S9k;B(15@w~vQw$riR72eeUG17! z-yr^ zhB3ic=0|v!78^!CEp9S&Hjn?dL6lY=2nQ1EISYAhT8GqXS{}$}#CDs8F_~6vkxJPP zuOMC#vWbau6n4jmZjgExPg+AYg|*P)lWX&=q~iiI2!p&8J<;Wl^Bh0Pr=%WaxDi{H z#uU*CaS04CDdCouPi_7BcjkVx{v2?KdFMt9c;%sp4L)+KhOv=760v|D8u)QJ9v@OQ zR}D#>Ms_ta5|W9+(9G;+l-5vOW0j~dX)U5qAt41@Z5C~!HpUpKONRUeSc?_iVqQiQ zoXNTx5Gvcv?hA2|&$(@-xLa+@7Y4aT7C3WCaioNnkQiI;6HxXYUKBBkWV6CS1Z0kA zNR6sgrrBM}5~WQ-?Ha09w05J$QuJ(oN|%JIUbyd@v$>Cb%TE>@YagT`@mkrg5SN(r zjE=glPL_>Lu20C_IHqBjFdmegIIMhXjbN6QXY-id#&A2uX4OsXK-FN&@FXv!SpC4j zr|6VrRC6)+S(7am0W+!8l=tj-e3hW;s)VvsrkPI+-qdBZiLyCj_R7!z(rRS0(&tvW z7K$jEku-5JEi$W)8TPmmn&Lucp54rnRx7)j7r(@fP(VB<#FPAP*X`u{^Kb|t28%h& zYB`<~*_0juj%{p1FHT^iSU%FVw8WxD#FmoByt zfB+;%@%ptq##FRM)`~T|!U05jk>J(VvD{FJjDQ(Y$+kPGBQVvPoAgxKa;p?eWlhxr zpf;&0jw%?sG+eGP){P_fE^nkuXm>SXTs=-Be>363G(6fWiz5F@5eXLPG@u|8Yvqy=S{$Y;cp>ZWok*tV3?R zxe+b(AYDF}x`;pthppqO;*)tHQ7NmXcgL2G>6OFmu+iX15B5wrk}>59rNWcaL}Bp| zm8#;>QgX1>T}7mEPp8NEd;^(=dd9hhcGs&_EjqoMdhw<~A4=${sNvmc7~*n%+ismP zX)(?b*=_DsDjl^#fH5J7flB=d=25$~>-I&Pw5kIMsl8sz#xpufoAMe{*75`;tINiE z?{NX|Ba@tsRDfigF;s3GlO|C=tt>7neMX;X|1#gS%W?7|(mBI5Vw0$MNQ*>h!aAALiK5C_ z#`&(<2K7K&buJ?G(a5oo$`>2rl!hZpap|1QqgxBcL2}pwu-J9D_9!5!>Z4MGNi2Y1z{4 zJcbyy?5uI3xD^<3jt5ed=hGJ4MF>YH49GEKONPK&fSHgW(@9NVqB~!*LOYNm=cpL* zcutUVU-{~# zHZ)}9i4h4@QYs2agti4ylma%}VN!2Ilyc+&$tFgJQwDH`DYGx=lBtBfw8^opqJ?;* zC;6KNV0b*{1qo!3iF9J>j*ap_*F@M2MR^^wR)?tjQR{&CvPx+OkYhcImA?FO5P%kI zrEyiQ2xbnJ8Gogk2UmameV*&@iQu;=<{MPDL}aENU*SPQfqs^J09!=;imQbkR5p^YgWf6#@a@^*zr5h(R=fat@*Vw_42r)Ul2|}vgW7;q+ZE}S z4L4pcW-E=nJYXf&QY9=KqKoJTEv*R=3+EVMjxbkaFP|X8=>cwJM1;f2BxqT}M5$_2 znOukBbrVoeCj}lNMsi4z~qlK|)>PXQ~^3aNP z8zZ9ggq2WoSq;>GLgI}ihm5!(b=PR##9`3;+f}6mJC0itsxB=-V=grEc%?@>6?>@5 ziHjqGi>*A;?kSJ+6Z;4~|NW=G^H%PyPt2Da>?bxNS1Fbd0^HplhIXM?L_~D40!xsV|o9Uizhvqj{%NTR!CuN`l>?<$xZ7sU6wjiKY>f zIR{`3dP&V9RT@}nouZJ|JnAU?F*-)XgW1^0F^LY=1}<{g#!2n84DG>`;eQ2Uw}p;X zH<=*`eYeaps`f#~NXQVRNS>@>v%MHZHUuW1AcY8rLUo4XSDTo2i#tHoQ`6P}*%6Y` z-2g0>SIHc-(;j|M<&3b+g5`%=Q!uVUC4z(@P3`m<1aG6{zu0C$Ip8xgQ7xFl!y6{g z{;wb1vQW;DORdv`GOK72$9$Y;IXBI_LW#ig<#K7i(QFqssfHS-6{J<+91yTNS}e0I zM3;aUf-$Ss3~3^Iu~p>G@miP%brh?uYL9+IW-)H!O%bI%0%GDY=&&{`O2#!^7)A1R zu=s^M3D}3ocDdL$Of)sxx?@1IP)556fW}_YuQQhG=hQH?l-)82>_4b?P*Wa{h>FOV$jALC3p~WP^g>@u? z{!c<2BWi=DW@5;jmjfm+nh97VAwMtuSObl-T|)_L!rJATOU9sqPI8PDPW!+6hEQ0g* z)ks+pj6@)r7>gYpjV6!9Drwv?Y^_zBDkcgYUW+*}1gyvUA&KQ1$>I{dO%r)NY_v)^ z-fn0PVvGDCix9{WO91Uow8D6aiuUkG326puR$LIJ2pO^rbzk3%*kdYWLDL1MNUd9< ztLc6GWSM9>c|ytxJ?1UZbwLejfA$}nmgP>U3uOffY9WVlq?CyLK|LAGn{RbtQbPzV zx+DwIP*kKV)vlBsHJZ|3H)$vRN~O(GUJBKeD-=bfxCVi-2bB%kb|n-uxG50S1huOa zYd|2d97Kxw#k!E==NY8UdY)aOav=!;%mBg3HmVG#Fx*m4gD8;697L>@=1^V^*!{3% zMrf0o&`?YfK<#0u0fVa%S*uxz-MKpH^?%hMPH<4aFq&h#Ic|2wW@2UrVX43r^BA!Q zBSpN>#~y|vv)Jnbg`1HOV5%II(TprbfZo#pvJ2WEa(UKbWm4~XtfsdKoWfF(%l5pG zhF+pr1`QE3>wE`55Kdb{nrN(rX++R$T{c5y4jMM0$gCqVs>M3JBQ`-!6}B-^>KsP$ z^@!I5=)SI&4Rz-;S58Kb%s}fjZnnw$KVMdU^kcWv_T{^@<1O+^zI}sJcHu&- zuD88be{^e}=?pZ;TY33w-E|%Ljb>+x&mwK5N^*sMkKDed)IA_H>zmJEp=@>XM<=|< zy1R_{uY>|+xpF;!s4?fd7=Jxcf2P!w^iTZxnyxz@tt=nuHYV`_LD)8}&fQTkfujSH z+E5w)YbDPhiCe_$y6OET?e*^DYFTQY5fV<<-e2A^(t7*EL|={DX^-VA|BgH5ox(u= zgI}-Z&6(<7v{wK1&~koFtu)=AyiZ7+<+~kzIzG*xu9bAV%qv6*VZuiYXK9~-{alk=TebCRT@-uKc4lN+OE_CT9zUD&s(Ze?%R z1=3Qnj2DH{nNE4`wUz2HKk=}_&*@vut|R?375VjZllA>%dc%o<(T9X}rjz?6XI3et z*NGM4Y?E~J^~I~s@g=)o6^qXIlpJ;T#3oDnFNg){h8|^$P@t|&Oh@}pq|TI`yZ-aH zaOZbx^L4Sv`SK^eSC*h@ak;pv>bk_N+xH|tu}Xe;R5*FvEWfj?_oO<@H<^#GU=v*=m%{PW-^m!xIfd;?xSDXv( z9f=2PUvdvM*sU$42lS5;YzQaZWSx^}tQ$7*=aqbS;K7ZrdY!lxKZ|jj@*xp-*UrY9 zKc%Phe)i}Jdt#NdW+)$@63DQ-Pe^W`h!s}hTk`w6jrP9bXA35a)Oe%NOgnpSTTR3~ zd3(Nl&RkWva>^0X4du(7awUD^Pfib|PAV%Kg=gwLRf$)wu6Sl3{svL!#5H8yP(1k@ z84xQ;d{s}uRK9z9Vwyj6{oj4^)#tA++qO_4N&&xrL@p%{h~I%}BArFwk=fFs3&Q%r z(xwZ-N¥&Ho;%YkEzXC5?CNt{7V<;DL_`Po-1K$tvM1X`@`8753A5l75(1k19E1 z{!=Y}aX7FN6|EGse_pL%gwQKRwLm%Z`o!N#YCoNxR0 z)XSoJqWjRAVdsE&tGs5s*WA-R zEx#;2(g34C|5WsdUN`pgvPWvM`KI{o)>xf4^h_;I@7s5DG-j61U%jJ8iSu3W$*L90 zpPo6I`|_a$h6clIa<(`oDZ(^wIH9s~7!kc%)%QE=9YIgUq{Wy~I0#U|_o7t3XZI1W z0x$MNSxtjg(4N$dMi=)?@m8B|p`w~CrX*MF!s&_u)K*DY%N`#*LqdXdL>#lI5O)qT zPG1wKm5D}mtjuL!ZGGhKK~8m+m1 zugzPYnMKF@Mz?#}dQA&)G=(j$H&MT7dXh6Owyt-OydCmQ_a$v^y3hx%Fbr92RBJ

    NUdQE)w=9HQ?A}xbp6~fxN~>?=R8dS00C%o7t5dnGVWoNXeM!oL+6PG zs8B)_ax^Vu;l_Rmn_ree{lOdz2HGKQA1J&bDNM43N9swa0&trtB&8G=_iiF+81P27 zqm!MS9;+t++Ems81QDqRt;V-i*{Fk~gBoD-kTS%vHpl9MRmXT`n6)L|M0`ZyAWQy3 z2iM9A+>HQoxA%Ku7F|i`Wd3n z@$JjyyTxc@6zuY*MOuq=J!UM^>xcU5FkU=R-RLZKB72FI;_jzFfk*W6X!UAA0`+Ky zuzMOvuX(@d+QXIuhO};Hrw~0yZp*{^I3Bh&yB$oUhqt&XTtE)!bvSM;C-u0$lJA!} zf(DIpLw9QN44!ad_@^f7?y+GAlE2+6+a4C3PIt~z?CQNbUhu-^YqUt`Lfwx zZC^XV7ubA$JYB$V(;rzw8WWq!^A_jrd1BEpN8CF^12ph_JiJ#l^Nm?|B|bT>UuEFU zby#dBY>}GmRjZ1{KU;>cp`WJmr)%Gud3a6#Rd*g+AmdL{O5L8GnVRDT;=VhamxSS3 z*Q~j3g>Ez%C(CE}4{a&s_s1HQS$;?V=Hl{b>Zd2u|=A34Xj5N`{4LHXXJiup1fpYV)Rlt1O%$)&hm;t72J^dtIKT()VaUMrN$BsbX1V*qtq_(nlDJ8HX3(uD2T!}Zf88I|N9k%8iw1Ysi#46@i1Tk#dBekW)tTysC)e$6e(TS-y)N!p zAoR~@lQCE0op~^M#EX|WpSxGA?NMs({8_R4>gZHU!wOQ6T2Ugdj_Kcz?JVwZs_DO1 zsCQ;7Wu;=|roOx58EPl*D@+SB#lq}Vvp0KOwWjLy*9P>#7HDx>3SUsZVGe8+yHC;C zzFS6Hm%F9U(+|2?^A{!7DsB#wZ(PL z>B+XTC&r>bBlj1-ts5WUn?#($pKcb$Cxo5aXHAzLzft{h>j^y2%nvl2EEG<}h4y$s zU8x;0D)ouwq=m1;XYm%XkFSyPI_lC3w7)Okom{~ms9DFm)6ku(4~W+|Ls7dGbIJ8r z*GM(;REs_MO|QzkrED6%4h^g&zD}hzF>>w0>-U_PN>?`Bv5D$O9KF+- zg=(0^9R<`Z*t$o!2Jeo2Dy~mf5_hI}q^EeK>vT=t>Dgx7FuMM;H{9^>v;TV4n?GHs zg?ieQ-R7o_4-eS%?}?h{cIay`_cM2@feLsnA^Z78WuQXr-$L{X(X5tB%}KZNsCX3X z;G0|CB%C(QJ#?&8H$fl_*0rg_`;@ssYrK1)%splBf-zN3*lnrDNhj^Eb>niA5URum z%{JZZn#r=GxTaaP8h*D%v$ zE*e!D^;56U-opLnHy>Xh;o){?t|_OjCzp$S5;?sISG}R<9Gz< z*I%!+R}`72)fXFRpQ#Jg`;1RfVc2eP*Sc%5+bbqZ^~r9L(HjOWpks&TlhK^gd8Mts zG>rS}%hN)WP*W$gyPD8yDOpW}wSzAf3RSgkx)1wgyj@CdOKy(02+gHHLOcyL#EuS? z)-yU)Yc3UvNBeakt8L> zu7th&Nrl7<=!zz=-Zayh!C}Yh*evGR)r!s+bgw%%Hv9a4j{ogEMbXWxi&|D}A*CH> zgkAeC46f^b;-#Lsp@%z#Pc@w%zW3(sgXfiHLtD4p{<3&3^%yyq`l6b+K))zPfz03V z&Xao+|8sCl;@@7}DzwiHbl?5l4`uZ`sky{Tvwr)N(Yt;~qqBc=pR1hzzm`-XSx@YnDyPfl+?ML)^!p?_KQWY3q+ z@(mx{`l@nf%^Six*L|Q85dPOnd+9WEgxFWwwyKZ6jIVq8S>;@L%U8D!e(6(Xvy(05 zPk+!nH?nr!XX;jcWA&qT-#Qy_h;4ngI<@kfWp6Ysk9`a;FMm3J>fG?Ub!{ip9a|rF zKV9^ELwVnsS$^wi>062AYRmHF{L`h4=gGP?Z71|Ib?40+o%7-i?#UZZ;5QQ=-B>y| z*s`&);w;{JvoJS!z}!R&cGL0h-Gc)w^9Ro*R_1^6*Y3J}_a*1Fcy@SA`GK4NylJ_3 zt-V_O(EgU;qP!}8rAJ%y9CLQxs=laRH>Z;il+K-5Q+n&p zHRW4VPSH7X{m#S%^LjIJVX9&8Uz9(LzxjjzA+P)xy>aF0^!9bK)A=0aH1FgaP3!7k zA2)WFp2ZO4*N?0q*Vh7}S2iZLSKcu5_$%czpMRriWz&h-=4<;_#=bw^J$L?trGNG0 z_4&`ey`1~?PHUlu$;wZ6dB>NRfo8j=v&8#JPg{BPwA)rU?47?uJYf8bvXYjMaI<`J zKfifXd2}&8EjOorDmtG~M~X>wT8uXgCGRcNw-!G)Rp-1$!vn4Oe-f{SbmNIfmCoY> zn~TLK-N%S8Ax=@^eo|cY!IpSE`3c^1FHb-;Bcp1aB8I%$q2mSQh0*bzPnF?0ryiv8 z#Pd$sS@N;Z8U?Yf8$mY5n#7l)_;YtQ=R3CfS#xsotH*bVtqp!+dUGtjJk}_Hh?Z|{ zjq0u3$s4cMZzauSqEOMNomX(b(rtr~Zs)Nc-TvH4Jm_@xyfkoHZ1W|w*>;W1cf58P6S!*61~> z#E*&V_{ni+_v_!*#~+z+*6DgXbVmUf>m9u{GsVMuy=9xO>6<;iQU7#8{%qday7HFX zw_aT6l2oqG?wE?I`qg_n%2REnJrnkQ0`Y!)+B+w|NOrB6sm;A1st*^+(UC@+8Z~5P zC)uTrY$A#Fd;pjyday4gdW=?%EmU#buEPTjLycH@j5LnGtcK-o8CgWUdh%EG)jz=i zGRa-hkR(q}Eg`uj#eIq1Q&+2v(Rh2eWA2gf1#*u+Gj@s9b$AW~%5UNCf;mWyLI*Dt8;k3%u4}DXLrj2-!VEs)bToL?T>v}V`RMlW zb^x8z1IYtK=>}R?+tDt*1oi5IKI=(>)4zc?=73Z2t@-g8VH%&5bmZ$<+I{uarN@;{rNArTN~rKwed6{YjTMFf`b0bf_~Qk)33-AA;L3d>qLIEPic#qMmFP}S`)Wy6Lz8YL{yOb%(tY%+4p z6t~z&!@xU%p)as~>hQ!-r_l~6HYXT7s)NBskZWLc&nPV+QxW{JD*+T1To-3BhT%dU zteq**a!Gm{%VAaTFX?8)gQFS@$}m>CNUZs=B8}Uiv4mFcJ9Oc%*Ps2OHeXyQ9#clL zR8Y;X7PM+oQM8kjrUG_LHT^J+$i!y_8oMLWw=(P7X4 zL0MDrK$D_IN@!Iv?GUX|2TbNV3?-ZW(&Q5`{G8(Sgci4O_G6%x-RQIe0ewYoiFkxg zi{0i%vRaJVFeaTYCN!7O=_1#xmd1&BHRhwlxlz=rm14oER>kPByxR^Fr?4r_OOuM2 z=c=T?z}!aS9F4p1-zyRwp?_J?4K$QTcG2^FziZr>TX@q#(>T-aO|EwKl0IYZ_P&Wl zV;@t#cFo=D>_aeI|Fd(i{F(FX;UeeUhJP8pHul@o%gOioI^jHjrwGz_f6?vo3(v`8 ztK=^nFWB{k$2Qr&F1%elTYmfF(W(AB?K_$-*kARQ(v6L0k~i+`y>SM`_K=TarY&*X57&ULQhy)`9vOZnGhd;6af+paCm@A_oCp1&iX zf53e)dMY>Oz3x68^M2l*Tv>NVuQwX5sI+sxT5*B9rt zE{pL6ogYcAialuPv+(jhVP@PJul?NW71zG-XTo3R$+6F`F6ex`DZL`qNB)6yJ_LFs z-K$?O+Ov%>@Bm@-zq)RD=|lQ*(o=In+&kOWTQfc~JNcQSPu;(pe4@5$C2jak-hFG= z=6-f`q24Bc;hd3QqjO2`K3P0osLrW(sV{x&suj08vk%>QT3FjuQQyvttsi*B~LvIwU+uq<+bu->1lDU@E)@Nu6xSKJ>~ix_G|RPYnoeE{E(ac z709t^@g$zE8faPlbERYL==aD|&+1Td7hWyhGm5KL%0=mwCBpN0#%$|t^{0*2f;tlI zzM&8D6J57H?KJS8rB@t%|*e zwmn||U~K9Mak5tYF-{jM@kV9(#(t$xXkW3VL^z+qYa6)R3M+AZs`Q~Jx*xNl$~Jb* zmPV6&J%4*2dBA;cWvuT!UKi_qJyU0G>%<#6c-*ZIXfvP@6`ghmo}gE);AS8C0MD<) zd}}n;^U3_@Zp5!|D#hnFsUIl5PS~_+;u`wk=k}1B9$y=Ke06#yb)drbiaW*ro#Jfc z-SGbbdpEC>&*<@9eOjEnp7)-3nD@HIN6sGqK+*NZwN(YTZ`41b&pmOUcQW^Q+r_&2 zr91g`po#bpRM%bVXL^c$QfAIRlw7^yzAdpazpBpNUu1tW_RH7+R8{e>`P6=~NgeM= ztnDk!bg(l}U3Y^iAbDt7{MMoE$$JR7b7D*1s)@?JrO%b>ugzsDN{rVX8EBDzuIwrO zMYI3Ebw$sOP+=c(@Tc{;*xj)?a(Ab4`ljlwn6^e6;BQ);T(in8S@GlQBSk+}@GE`M znP_uI`*ZI7P*a~JcND~a_+woADmmhQ!x(uemZ_*Cc6I5#uMPf-K*`+*e&hT|C_G2fs3O$_s3_K zg=}J!ox=bFAz{w!EIZ3&{_-fe>&v#F8{tAsdTtRS*?z?cEs$vLPf9M5Q*j z3KEUgYDu-%_TJvgik8~gHfw5a@Bh9e#@^`l-d;$e#2eEu)PE<5ip=X=ic zJm)#j^Bv*zhAP^=IsKNk;mXK{;Lk5leYqgg#e z>88+LRx#49gwEIj9_AGXdY zna8@$EA{Vd*ZW+shA zV^iSa>Ci~$GaaACOVn_?9mt4hX`lfWy#HXPbKY+^bXLb74}^NO_8GeM`S^skwW3b6@YAXbOx%ZTsMMWa2$PSUZpc)&Q^uK5-U5j~u#JenDqdRQC4#ve_^ zun{+2gxz%YU1|4+=0_9@s5&~j0hVi^Oz^37^jTLHbr013IYu_qiGR?6r@dnml8wagr%PBVhA$mN+cZt8uFaGThGvdcDJDMr6 zU;M*KdZ-3sFSz5exidSyV-~3&@i(idc}L(uLv>e=mdU5m*B!X6FZ4fniXRR;->uM2 zU03I7}_$a%S!C@r9g((7n$6OT`(BqL->(rf95 zb(!zHIyAU=FdyH`yZD>XBY3@VYirkO@mE_J{@urn#i8|!Lrx#8$ei{~i@VDQ(&I0P zX=C7BXozb>zN55+?u(q?ycQb0C$t2I<(?iKN$t!d1zVxEZW|pSr`RRGg4^^jaHu*?RmZ*nj1dRI zwwbxo;kW}~@iK&f?*x54uMujiEng#BwEp;#Hp;{!74*oveSbr+2MEevJ-x26@CW#Y z+phqEANOu_&~r?UI~*HhoHQ*Xm=5nv)Qj_QK{^l$V^vjK2|e3gFn5!5rdQnWAPDr{ zqz%Qd?gfaQ*A&Oz8NB~3zz5|u@%p-<1N5}`!hH^cTbW~M07VBvzL=&C3o8L(X5FH# z?AlkmUwn6QE?-+T^EKdiZ@BfQSUXF{0OL_>LseZx$rW>D>2HwR{6%k^HPRo_Kh&j5 zH6S<(9kvYNIeSgfH$_&uQS0Y#y`Ju?&lgYfi<7Bqu7u?w4xT=8jcA=-fXz|AV&@gs zPrvo^+@FL$7jV^fWg}eEqf>W@udk)w`@(JX?4h03fCJwdKWvV7i>8~jeH5XSx0biX{TeIy3P3jiU&vjz$haA|a1@+$n2dTeO9 z3I6j#^~$HMe&vH!$F@JUvwkMOZl+Wgek+^^pNH>jd{^eT$JJds!UzU`PDblLK78XkXoGF4iX8eB|$eZw+pk)U!pyQlusQ&rog(<853 zfGttUQoTJn6g9BwI;Y2emA=a^m*sBJ{IS+2jA&>4hAI!*O&`KcS%)lTo`YsOcf9lJ|MUbFa&N+;CL>5B@x`k7y#X}-Z87R zxDgyX#KRpB;|mWe8g$XGLl@K3@5QAFS|uDv1zZK@DukkCiccEw*AYj&1jFtwDHme686s&$bUBn|o^A=v7G+KDwJrjL0>21i|A`DYci`WsBJtHax zfBWL3TSy*PyQopgPq!+bLCfmyunK67ks51LsMTm3BiUums;54#CX#bfmt?Wpa!u>r zR9uFj=2haMO3L(^VkLY0648>Ig~TwMlCqe7i&#S@$i+mel+}c!=-f#~5ir<6)b0_2 z!PFILRgTilqogO!D@L)(&9NJ4ENO%;liYSCb@3$Hy{YoS_)}eqYNi;X_7p{FrOX}e zPP!#;NvMbMq`{KAwKXCuqZf&!RcSu$|LLijT=UE4%Y}p6#q=b*B)7~&E9#a~?x;H& z@hz95f*?i`O(6*fz(kQ9g28gd0}qkGDJE}tSk#Febw^j}bCMHpyh`UiNlrz~UU~v6 zIN-^7{qRH~@YL-~Wl@(w5vHt)^Ad+zFv?^^B_hc|;-*p9Y7*y?RLkqc^4eSuwp!e@ zM9XoO6z+&H5eW$0cGRP#qSgl^i${_l|2>XzrWK)Ef7~7?r?MLK z;XQgyees`Z`^J{PK!@9ZGTP(9pSEo*{yWN+TCNY(RE5qwja|{fRx}`nLA~21Y#{c19O;yF2Kya$!cTH0@kG$Ad@@c$%d=&q}GfO4G_~R7Tdl4P8^*aew4oOB1b` zsD(`(tSL}FAa_6akx)acj*Xw5c%gFw|B5sB=l#e&e(+pNJE{Gk{+^fMro8^67lY(+ zgDj=?#J-w(fxLZx{2Zc#st&Xlym%tsci9J-rTF6&q&IZt;o!s{;{|U&_L9&?&b74{ zV?1T!noU)O%{NqaEkz#R7+;F--x%Loe)H0c&yz==sVKg;s$B@o9$acHY`LLo$5QO^ z7M6*f8LFz-ADdX3eV*(mnc1lgcPLYf3++`q7L6OK=$8E>B>nGi#J|4nB*kem`^oq} zPA#hKFNGHM_E0_kss5$RQ)q z$kN2)E%5>JURPi7d$%rL**;_ff`jz%%;|}$%dQUvzCDn)bnFEdzd!z7MIZS~ODQ>U zH(lQ4bny+LbSAbmQ4{i?9jXacoTb68nnz~uA@AQ(*RIpWo7*W}ioY4E;R7E{RR3ZB z{Fideety2zaA(wZ!JgWOFyGnP42Qk3ee1H5Cxs7}W12(Ptaie)@+i&ffP;*bi$iauLS)uzY_ESBF zYJ`b}FSTqBEp_fUV2}^60d_XjWTR`@7dup4@Ex;8n8CFYlU491s)JzCJ-MB3oWUfwP|+)t0Q^ds!%O@VJ~7!NJ9_r>=Y z>x;(5wSIupUPTu%VA}EMOf@ammIS$A=4@U2IBs_8+wvOmOGIe01I8J)4}; zny>u)%SHaFStTMgO$5+4J~4h$>opEN5_q$Wnqy7d))a4|II2cLXY}^3;p8VneVucS z^i&JFr2Jw_E6r$&c1=J#CJ*%VTxb8c*8LUhd25ZeMr@s0-n?ZXy;M-B1nqsDq>Vfh z_=FWu3_lTjev`0J6POxcSN_+Rqxb*m0=ix+IhAx&1a!t!(uClGB;mMKh%o1JkeZ4) zE<47#qfk6D(k(^o+5?dWl|O7)s!K>>8)v2vYK&7TUZ061WCQ>$%SN1otCgUsoEb;$ zcm>SZqBqH)xMaw*WmT3%JyB#VI@-&Pz-_8P%%wPlwR7$$!|fosWr_cS=KJiLSFp?S zq`D+m5~=+N*?th=&K%Q4#xVgt{$hB@UDEk0VvARDnTTHJR@(%U66eeo@lea5+X#A! znh2265Xq0jp-IP~lQn{yKR@9x=-?Q_+m}zlIfdZ%X|AYq0%T3-WS$~o>B8g@l1Qg@ z7I@7Na?e)$^s?NEr!H*dE)r#pMY%|ooseS5RY=rwY0q_er2&~kEIV#tHSaAWlR8yX z>#67|8g|>rpZ3})YMbZAqB-Fl-Yu=>>zNmE2S}dgc#l~x_6U`RfvqY>JV~LuKoeJL zoEd(Fb4R(3u#*Mrl{{23IcSC2!QJKVDx}3VX|_LS(sWNgTB` zH?}P!1^^Mj@9dA;chgOK$_ftR4ec*TJ*Kj{b zS(o{|dEpguR8EokYg1jr7)Y6r9t)U5Rx@*c zS3oTi4-K{o;a$j*3(8UB7Q|II*c(#1o`)=WYrsfpKa31?40Y`q+@aJ)vu0*C$|d4y z;fO|FvTDC(j)=C<0gvNMgSKz3Oti9C*MsTg6fvZ?NP1MkAb$RDQsyT{1%K;-D>N19 zG_Ug|yjNO~a)g)7ZFSH4Lt*{Py#9>LZ!zR~c_E;GZ5L@%^+u7*3lEZuD_^Ng8Y3%d z+y|`8cZXNYxxfATCyoERxckoYMduNk$b3@?qkDyN^ zahfU5ii8RJLzWu*OrFBcHOq?Vj*63otd2;lS#9^Q z?d4VE;JK>p0yZae;+WJflj)`m$;S#TEpG=#$b!p;2jEYz6`YGS%WYdgIck zC*Ev)@RP*%FVrqaq}723#T4~Q)iw=vMih{Q?DMeigNe@VE3w0e=(WTOqd6d%q7P|| zt~$&c9y4lZ1f0ZZ#TUWHd#GAzd_^Y7!A_Z*)HD z5dUx>0CIgLB3WU9f@enL&)&NK{*Ac}pSSIBGLnSY_HlkowtIZY^X1f#-Z$iq+AhmX z;(=^sIZuiSUZN^KFYoiNx6sh#n9pnKz7!XU%4o43h(ZfiZH}PDbR_xl>Mlj%;-fOc zj$}!8DH_^6$K{JzhD+*+UY8VQuTrEa*~`L%&FXkae3L9&^+dfXpG)GvJmHGU=|O=} zmqa++Sq}BAhZK>h42GI4#jNM}+7f=+Skv>u-#?!_{MrS)GFmEApYk?|6pHFa#Zq!K zyH;#$n9;=RpomDSnYpcUTE@{V`yy47@HFmvZDx()6OHkGcqnZZU667^?3s8YKTz!U z9Ugs=P49-}%(3GV(#EvzCUsPd58JAl_I1kjxar2#@uhGQ1#_6X3rT%v4)O&*wAfK% z9YD(7YVr8%a-z|mOXl$WT|%7}=prX8Gi+8F4-o}W&oP^=QHbw&_=J3vxZ?qf`>=I- z-#kk$ib=YAtVIZq4r*GiK=L~(1f$+|8gh~ zgMV$rL6t)mG$eD>t0ZqW%8w&$*<2+cH(d6qieT31;MK8_`)Fk&Fdz83eHgJ!BJ*fU z=Vd*b1@#8@g|cyO`dVmH4)tDdxqMJU0_XmYMCok*nw2s!&gDp1388czpvCKj0~3Eth3>N!*;7 zDjk*2{o#rKeCYf`auH##F=7qK`g~7Xe3mB1N-X_ip;DbUs?j&2Wh9A|+k zWwcea5=uHx@2`d#;FaPVWS4H1BMGE=RS$=Vz*M3`uO|Z)YDCWGk3@(rUJK@?dCGMn zEaJKf@%H0z(OA=Toobb+nU-&mM90{--<1!T9s3dm;`V%FN#OUT^zE^*!j$hDd=pXY zhBW<@SVvxYNz3y{@vE(T%6AwEF%VGV$w>L=_FGaP|L%^!mAN04T_{D4&rYQG(*NkB zN~gAm(2`;Sf`03>JCF9i-Nv>szuimGe$!y%P_n?iwu<~R4LL(V~51{CB%+t3TO$pW}{+`7+Y z`yA^_NA5g!GfB1p3kEs>p*9la7oyQ!Ntr`dght&R$!>(DYkI9kL7gLcMG0|So}{ko z)IE%RKjZA1rHL1FmFICLXR<7SwTi&cMlHcYrmv99q3#mc-%ufXHk1(D9AIKXB){r1 z$a=J5&JoF+7g>@$K9`!ze%<8UQ3&%DBy0w&0yg%EH>y(C(9h>zALIXpj? zNOI*kD@<-=HxHGdP&iCD-q8ncUpR?GO2bNelPy&Cpcpx&N8*rIjRF#(=S}Xf_ubK2 zm%FpZISf;=&0STN@;sA3-e8Wknx8XA3MP>JA;D0Kw9t6va3@K=Xo>R{l{gDhPUcpO z=+a<3l~Ow-!o~rQ8^HPI?5(mo7fqY0?u!8`i;sHB0^D%-waOeS`BbHPLg7L>*C$%y zC5RhEvdPIgL?#ebSNW)Q!lt(jZPZ#Q~vGg36o%={C6 zWGK)cNfV}|-0`3N<(vQe>FoEH%K=z=wP?BO9g!C*4YOFbXIlAV)_{&ev*W%_gdi1n zT^S9rh)+x>=Wk@_rily{RkbrV^G-bhYkZ-CE2+o3Xky7 zge2RhTs{&Y-BH1k=LUJVxc}Ry|9snni)9zeU^R@ZC*_nW&PCn25*XwxBh^fd$$)_H zFw<*Fg!BoXO4(Q#Vuy5zayj`Y7$;v;kn{?Z>#fx!l0E```w>WVTDR%WR#2`nKTYyIrxubzH5_g{;gYhwzd zQj#dhT{vz+LPr47UY7N2M-&>kd5`W45fCnxL{*+oT7ZYzmp z3#J#uwN;noaLYJA_2pK*52muSkNpSMEKdY98Xmo9+$(_k&1Y!}r+F;N^@IkuHHj|o zf>}(6wuF)bc>$PCuqZT?H~?rKxI3aDY;h~#|8mI!F>t3`7xlR$P3D>IRifFBBE?FM z)F#715;Jbz=P85I;y78KkehJ@r&K*k0PJ!gsDPv0t*z&{&h;E9WXe~m;D>NaAPYSk zxIqOSS-?3NCTc~Z5KKxG+NR?PsOCBex%4TRyICpDiD7j`b)tYzg7c)7JroHl`-map zIZ&x&a2^@cPQl?JWXps5PI0p4WXv9c1FMGhNBDzyl;-Egbyk&Qr62nvBFqexoS0bX4c?OHW47x5Lxl&Gv@ zL=nAxHHY%rMWSCs5isIg*`0%eYT!&aP0A~b8)e*U)W!Q$gFCKWvj3-6XvLRM_ngTU z<yBYS)W*nsM@it60u zQEnB44uO*vdchy2+rI0fvP%NZ3G{+uCAE1eMQjdEj+J1kkiEM`|K%UnpX*C<%ai*Fr!u+0 zznbEvspM80AQ+NnBC0|y=(u;(U&$p~Q2>#0gfMKs5Q8JofFO=yQFBQgNIjjY!J9-o zLG^si=T1q>HxAnsHN_D{kWU)cp=vPfs=6fbUtO7WNt}ifGa3vYI#&Uq4QyS2WP2po ztj?pDicPd2D zd?MPE%JavBZM#C5%D^pJvUpb6jaW0DH zP)Ci(2*SwXK1EZ%`q>@WX@?PA% z3;aU@QF#Y%qz9lDIhAuIw4K0rN!*ko!!o>+JN<{!5`XT!pIcj(BHeX-tt?w@`Wm97 zcI8p}f0e}c2|+*Ti>4Zg!LO9<@^SiZ)%a`uc8qJ1yWsCI(5-$tBfxTpgtsqmMGF-|YIlul( zw*8eZB`J4^QeF$ww0Gj+A}z7oqT|R-?CTzwbk!KFukOfgLQdkO97&Fe$?@>4GBoEc zD4u`M=-L%r+p>=MdPa=^xoT!!_y5x{{QF0(v3*v7yl9nGj?o)yi}~5dvQl$<`~-d| z%I^-my2COC)}8s?|9<=@2_=karJ61?R*)j{fC>vQN55&W9_X~7=B@67vbN$KHJBb` zJ?*kpq6;odPYtT1!Jd|G3rjj!c$77Q!qeml5(yk?@e0jsyj<=ImyyB#mPzI1d^L4x z(!b4*-2FSLRog6gtqlb0i-oSvD+a~=iukg;lMe{HWq0+fOntPWHRUbbsQJawkmkL- zoOI~W+oa(Gp}&q_t%+%&q&`ta`DDZtLhpJ9iF^GPNzTz@L1GqYR!1@}Q9?R-n&;1< z(~25h!Fb+Bk8Qqeko5P&*2o(>gEx$o3Twl8OEP_ntdzrpWBSW}E?r{GYT=+fC~x5- zyR|E6L6PmxnD?fPOOM2beo9u{qT5}0%1p4kQCJXd-2eCctOn-!d<4vAz+vGY+ECU4+% z5;m@_^^eSx=?3}rM`iW$R;4^$s7c6QnI`>5YV!!u_`Y#+K-D#iTcS#~VYl64!7 ztTm+t;>#u^c4-Hf*MHzpgP~yLra-Gz>RIi7&@F*7tFLGaDy-j}1I5-H@%6J&d7_YgCl`=#_QhboZfCOstfV2V2s>Z7@D;wn)3JB zdG zyG&yHk11ESR}k^PTdv*|3Z>pN@Ka^(>li$?waOgrINTAdNMXtONqs55A^vje;6oi- zo=xG-@c)g@Q~6u`qwnqR+On;#Woy^<%CkmW{L%cq&M$jB(3xp@5o6j*7gp@2#+C|W zV{;8P8YWsWgl;$MFCu+yC^oTC!^#d`3krL6B=+vDb%7Mr)Uu?Xur8jj(t;g>t;(lh=2IrqOoZp(tvA-O<@uRBbc`S33 z$s{V*K08{OE$W8LZ=a-OFk8up?=a3~U13Tqg>G>jpVVTh)H+k-Eg?Bt*J7$;$y99E z=rOG%?JVz{p}N*0+TatZ)%hqQh+0}KZfaEid32TH6^WQPG2t^^0OZg#>~ocywQXa_ zrR}w&wlZVo7l1pY8+=OKn9W-K9y#9{oSBMFmKSkjmet5}lpGi5lim?g+rAs==tE-4 z%~y!Ogc3uN+OTda%J^Qr7#Y|0jL+hh$WR<^;2A&T1Ujg3u_4V|;w4w1E!jerS(nTf zJIHIDoISu^H0Qgblbdb3VbUtJZy(?PY=7=upOZwPuh}h@5pG-&q1xbMWL6h6pU6`= zNnpGrBn<`xy1sBdx+M*ad@#STr%a$`;rZjtm9p&UP7F?Qu4ZQ*14rmRVyjrphD-%m)FrUIg+SusO+P~JU|Fl9HKEwN;88q|Z|k`EOy zcgX6(%OnJoLg1+nDN$~xq`5#oVG5uFk|jAyb>B(h3E53nl5)2hl#`dCNc|$5+Fn`n z4--EhmN+_zm;;$aOsL&F+@qGoh*m2R1^o4tXIUWdCB>wcUds5OJL-3(qGNP-Tpf$- zCSMGg{pn6pX(TEQR5P+6L{zhXsgwLfL^e7`cug=Rr_5g4Ju0e(%*&mnk>)dH(qBt& zyigeH6H)?Mvc^<%M0iLxd}jBZiMrv4)muBFjRk~pe|k(dgZtFs`Ybh-s9P?PUVW@= zGD|Ugdd8*1a+zpmZ=-vGUtZo7OLoH{TWgSJf>TO*wwSZobp#>(D3*)EWz2nJqNl#j z7U%MW*T^2hZ$AXlU0GYEWECS%5RTG1@ON34(||z2QY|Gsj7!m_`Fn`#((XJ?=lcaN zYu@!FQ^0`3Cn!darFpQ8KvA$fg_Pwq^5JN2oof>x5GUl_L>;@LJz%1!5+yy65_IZO zMruymQM016pnl$}1QTl3aF%7Noq5)rMM=Q?-7n5IKe71yo#)Far{2AuTJUr~M0ZDe z3}O_#mI|&Q3uSRs8ELR`tZZ~F_%n653FTwe9dznU@4VUdbFy4(P`=AWbl(wEFl&t; zBuscDQ`aT$5wo_N;e|YX%s=l`onAFt%&vU3kS_RQ7|l=In7FZDwG#vNC2!`HEacgP zb6PlOA*qJ4s^0V60Vs#*zb8%Qpyf2Dr|)|I?XD#zB3`IkBiHwDZ~M|=FQBO(Ij z;w@|om~~aCU50-?>G9QpFC)u5YN|)gM8HIqD*f)C&;9x@&q^1nltgn_mVl8IA>+^p za)H!NNf<$gTs3dj0DtGWME8gu(QoGnD_C^EcxIS!hai=*>=hHKm{F$hl|9BV#k&NA zECZEBF*)7sLjfsieiEf)Dmg7~7%q0W)fDH=jS6Bo>RJCxP9UpN=@w~nHSM#}i27!- z;vAv8OUe@9@WF|Ad3g+^Gpe{WS@XFmZm$o*sR1%af!#%}?N_r3oMqKiNaCP*nVP50 zTcTvUB+g9Cm{VwLRD~D3E=q>#1Tz$q4V^!;?T=4?mRq~_d;wq_KPp)LDny=`V-qhi z?5OwYI7C>NQDAesdpd_vJ|idrIocJiGc02li(=3Y4jeo`Z#-r1ju-(wC1)FWBEt-0 z4_yr*b@eY1FKm-z;N*)MTe$G2*1ee7vt6CDlMy>$bu_o`X@>!Nv=_-_0p z{g?PD_7>?!V%mx8=Bt&j&j;(DeW%I%aBjBbL((_#X=9CjaIktwczRtmE2Vv;uYA@X zC{C{~l6VvwI)Od*mEj$NpZ-8$9~0*@Ky}rTD|<#~=Ll zIdaj=jpy<7ypr&B7*E$P(B??VL(-VsOcLtwO)EAVPe)Sp=Mim8T49ICZR-b4r1~-3 zmGUZL+2jhm;-lwb-IP(m@#>x4R*!gvWsENruoNtSelbfo!%66FRPcnfbA4}J4mD$= z{Ka8h1)~93b)%4h!V!K{tJ_#B@8{X&ex}w2wI{lx;Zzw-7dZMN7{|TbOfC=cVbvES zJf9S@dd84=ae0WW%+qCv>3*NwNBs5%D3KT5qOz6ZsNV-A^k=?%pu16h&gch)7cb%dE&cjQtYp zH7Jf|4Ab`OW^Pxlrlurq=Ia@gf3Odl`txhs^GHo@R;Reei=f4z1s&r z56Y)Pkem67gaV3qzXDBzuMg&s3|SeucKXgGZStmi*Iu6P=Vf(PR>$PunAI0_sAGLV zF{Ir;5%Ugrk}9KsIVH3sl{aeEZ4&c#9W@ce#eZ6st@F_U}4@P()9@o^_Z)?vIB z;xHS>N!$vCQHi2HMVM8qh+E}ac|;_sa%0tWLT#nvlARX$Xt;X9f6$G05Xr5So39F~ zyb-Y8XP}B&ZSx)kBgE7#3OD6PZl|08IR|S=rAy-BD1u5d7N27y%0^7i$pXU_c_VE~ zpd+Nn%S~^#JtZ`9tv05#GWrhQf%P)fqsNz@u`DjzdIuRLI?^ria-&$F7=fmUn~LzQ zX3VAA?>c$v|GKA|?kOo(XHQ&JIa#qV%$^Hw9$EUmIGh$Y(S^0o zM6deh#0#kx-bXf3*FO8hzn7#!Eu&Nt@SbfV$%*MhVs z)?OrE)&2!}u93+%)l}1k$}cR`P~km#n%};;U_dTh8VrttUADlK7p6cw z`R$|Dl69T^k(Fr1ZGIPspx~ zg^-0ke!Z#;)%RSs*=Y1UARFJ47wCO0YyXMy9sF8*cqURX6?wS!$i4YT28GS^z3wr3 zCF%G=VaWVJY%;GlvBv*df^WK#{?UJUv7}s_+E`peBIm4i1*Iua&zu=7xa;Jgh!pSr z@x0k1=Irp;I^nOWsoA=7R$=|RwoAp6?_M`dweH8s$PgIuii^)#ZNfey$ktkgY%ROt zUG2=-Uw`%sG!$pbne)8zQT=?yEv?hzdjz!t6d$rNEB67JetdUSPWLKrRMC-+jPOH- z?8@}?o|536%2Z-Qs=w~}vij$$XsSou6f#OfcGlDHvjKcBv2S9h8q+0+)dxVv)uEnX zs_mZw!|$j0g$8Y*F=ls*O|++>Y)H6It^+(WUev_W|74vwjYxymyO1#(FIci@jVgbm z%NWoM{fNAQgYK0 zw2m(?m@E}~C-W{#6mEW7E4gbt_N)5wsb7c{g5Ns+)laNaa_J{l;f{ShSI~7vct0a6 zXbnP(XNS$>?dPoGHRr5?`jhV_zSwq|*e#S%XT54p)ripXQ+^sRVB6MxP$9*L{c ziK|XzoW{}~vdyJQBM)GF*qPk*(bz*j*MizdC?`z@U=a2xE#0HiqS;pkezP({T5?pI4{XOlKQ=Mhq z&js1vK!NV|2gm#e$COQt1=@T!@Bl3NN_M3-zFh?>19HdZ);sljqu#jGWmEx?uj}+* zbXutMLZcZen2Qwlwx)Ya7U<51usKBX#-zojB6G2!$n3v5QCR=BCfqe%^3j#$CCFy= zTZ_S(6g|H4JSLa>|1g-#h}cI^?#qz;h)$JGQowOJP>B!I9J;8gYeZvB@t4UO@#_S5 zkigV`kDP11^YoP$+DNWorwieIYgg#~>?J|Yr06Gz&8%j{<68mvRX0#Icf#Ho1WSN% zaB>KSqRLK&jvJX2SOoOUn^K{d7aa#TIR+HC*C36_~WPA4~o_O+~=sG<)ZH{STtRJquJoSHr%5wH%fwHqEgNuL1^V*kui zeAiUPWE&061Zi8KQhlx34p?U>i1$LRE&bq92v>-0N)2PP!l^he@d>-0mWAGDV1Wn( zTh@k#kBf^%wZR4(IsX}j`|i%X-aZnUwTr-qU6V$eeY!?s+h)=-w6 z4Q1Uw#GyUGd*~=|bmf$NwqHrxt#-tx+uc4ggwEy^)gNIAyn9M1n}P;s=&2ETb*RJF zqYtxr=;{-&K?lt4wjl+n)Gt0C)JD=7(S}%p zK!TDmzp=~F63asA68m;Xe_zlN3zsVIrw5b|(vcFopDsWo-Q=i=FF}R37173V$RvDD z{9s{OE`?wFqQ9h%oEXRF8=B#Wq>^-ELz*7BOL+4x$Rl}gxTbOndg6`C?Rz561-r}B z;n84xGzf-QwC9$ALN-uXU)k6%{~w`Lsm14ug6Z8g>0MTUK`<%$O0I(tboljewO9U#wOPk{vW9XRZ+6z+mJ|8uUd-%M<|s+DEarP zSL_(s0f__^ULp3Z5qs7NKb@qD8!N^O>d)*bsQ+dd^`OiVOQi%t>uCoRfV!=aRYEjN9HiQh(Lckz}$oH-O3xm$dTzx$v-gXYfZhWR+N;z zy08oSyI*_`$Us}1M<$w?Fb8%4PkiNNZLy3Bz&T(-TMPi1tDrGhi4AbN#YWAJJHUXA z7QpBZGSxLu7Edlz`%B^{_@9NVL$o>+w1r)-3)Y4-prLO9mp1bK6qruY-EUdGTRW&;3Tu|Zh`F!Y$>(x;3Z_soLx67aFi>=GZbQBzy z0nC=xL1067!culn!KZh!xNy?Z-_RKO4ls9(&$7Dm60jWjuK_a3p&)&%{+_x&4E}!r zQv-c*O_}`jK zXkl$=;nJD^azOD@$gUEb;;-+KU7MF1OIr~TflcK!mTKU_zxG91(pPX|93R+I16_t6 zCe?XN?y}JxK1!C}fOM^#>S+QbXfA+cr<&ez0TICA^a8&MP#lAbzDVQx1wd*t2&C^& zhM32}!!Z_|rc(HMQ@JG%#RHpauvb_Jzb6avasj<`r>QuI)c+e4`0I{Uh0heo1xqTB z2~J+7$H6+aW1n$_f8VlA^?6${)(O{4(%HkGSOg3%34C)XSc~!;L{6;{fyV5?MXQhr zPqTdb+1LK~=JmPPS6{#cJ;xJ`Y({*hd`{b_NV2N}Zn8@IZkff6gW^GmVYefTyJiMS zN*|)%r73jWLN*u#`}ex4C*-oxOKb`DY_cPY?EkA;doG#qQ(?7DIlE8ECPTtTx0Hi+ zP%MtfEbK$iO*k<|13Z^kozMoz4O(qx1xfCPfu<_K*>-u)xjsU_Z*ucS%7q2IFVDaS@c~ zHeD;_-~N^KS-W(h#5nYTmuH<28>dU|8MM~bE<_U1YG?;Ce0Zya@Ls$ z1H|`qF}(Q-yEltdP+FtgZ=4EX7e`qCaLF%)p(U+hK~h|nJA0Nx$JMxmX(?SSpvTF@N`b-iJxbJ= zhsx7ZRL(NTd|o-a9sXU`ZCC{c=mMsbJELyCTAob4!u|?F5*|oCZa3P z*8KBZ$)EiEd>PElT&kjy}(9-|(345vKD{526qfga@Zaya8zwP~=`{V!J-SwRr z|HKFE@WHpNyK#8%k>^|51Gg^D1cxf$9>Dg#10$c%wwanhU?~;ccAdR6F-H`fHd*SsXW zV8FY2R`GA%y?C&CB3RLS@E&js^j3aU3%Z084=){fCcXrRH@iRne!Bbj<9#pb_q_Ch zLo2X@-5vU9A~^9OIb(k>9t@N}(*19?|D{heg&S{prt4ny*x|cF$Hte0Lp6T(TJYc< zg8%+wzt{SQJ{`{sEM>kJxVj}s9+vNGnZL&0@>;O!jtScL_IHDC+)yR=9~_Wzfj7X% z@TeUedX9a48@g_v#tTE6UV60g2E3W1r7iT;@wIKi>-HMKm!8Xf9TxNHQ2R@?Z{qZ} z!j=a@HMIvb4=oLsf^Xn$XP4*SE}VKk^K=Ng>3sV^^K{Ggbu|In85}P)@*cS5*mPg~ zzi+v$=GfRG{gpzm+%!{~e-MQW`sNyX^J_2A9q*a7|^JSGd%0d0UKXjN#k>EYxtc`is>Ce;%Rqk>F1!Cqt!fP1|q zhkkERI3!~X%vxf343@qfv?t2!Il0*CCKws3$A&m~vB>ur*6u=*Pj|7!4q;1^v7Kf* zNtzGZmD_q+g}%t4vM#ybextCZwJRf%^co#oiU$~y?hlpGLqVk6Cn|5vY^klH6*^9a zPlJ4@?B)}sKafgPLKJ|nK#T|Np19tmmXBY#5oTZdI#wSWtE6V_bKSQ@ue^0U&;Bv2 zfrfq+oi^cnbd=oBP$sy~AJIBi#xn5)x?!23>`AjP&LB_jxC~B!6C?qB5Zb0Zcyo&x zKO~fkvo>D*0)JN_3)d*2ZDkWPh4%A$0e!}fREQw87IT$A#=5&=TbVtR$EJkp;iquf z+0l_%dqq6oS|YVi8VB>(ajkourN|XUMvY+S(oplMz(3xT`}XIyqc?dTlI3=f*$WNS zB`r5`_+k+cfR=rJCr=dNamnR*-DO3WXDm;?6FK<*nw*?Z4smklC+9@}Ka+FiKi}JK z{v>zz=R#fOQZ6})a6BjiDTO%wC`VHYBI_*-ogoG`3BiL1L`P8|=g9?)h5+v6^d}7~ zp<(b(g9w5w!n-&m_>8fuD)Af!AD~I_g$Pq{Am^e{PKFS-A-9}GMMC%^M+B^Z8pB?g zAk}aY$ql*}%%H$>AJNZtO6Ts4V$qpAQkkjXc{?PITP1TYN#i0&C9$|JUUC@-yY#QJ zU0{0Ys!OVZ>9rA5$=NjJiXxGWmn9DARDxTYh2NRSKmyg=QLb(`^ID1(?Mo3?xsD`= zS2zrU3vM133ulF+F3E-QyRb}gty)R;JScJ~AW^WLVsRre77C9R%O(VPrMtkAJE`fj zyVhr0-fQh_(~mOh72UO7D_K-k9LsI_q$PZ+rclc6W|O>FQAtun)0Rru1V}}tBFdkpjg6_S zsuJHqRS+18+M=qE1o9z2_sskG*?G=ONJ*utSN6WyJ2N}8ckbM|J9F<$LKYf_cdzKZ zP>?$S(4#lmSvJl|Wod~Q5w1Pe^v z9I!QQxu$FNZME1XU7&rfNjL4oF4}*MU?gY@Fm9n=pq&}BU2MP!>TH_+fZf%%)Qwj! z^56t*Yt%mP!_nj&<M$ynR6FGh*KTxdGn)dF z+Ga%k&R!U}1J-RI!o0uxV>mJ6ndX&me*Mi!) z{>GF(opn;)haqdM3>v&wh6;q!=5ek{nkZkn>A>3~uf1P*HBv`}MX>9wW#%&Hxya=_ zCTsy$fzwFg?K!cI;v*Kp^X-mGz-fZgn@P>$ye*3ZYu-6W?o(6w#V?q)S``(b0mzA46 zahUqf@B8bS84uRSa9Qg&B1#s=-b^N5JqyNQ78d>*9x#8g9j*Xw(=TxVxgE)xYdf-j zeWz{+*4!i>O59r3XzuNM%y*-{B&zN_)eyV4LY+l_)WpmA&~}g zlxo8Szq#NmVz6Yfgy_)fHqx%d`BUtn-x&|ZqZXx!Cw-Ldb5`*-in5rW89Vke&k ztP}gSdoUlzhyvlx&zv4IDNNd&1jA6=WV#&=b+)&`EY6P=UA;AjKuG9=Hv@ZmyL$;;)is!T_!cHej_p5K-KJ_4P zc7U`z@qH7_;6NHTV+D}Z+OjK#U`XyKOeBOPR}QyiLMFIv!m=e;|CIb&UEfINz&Rii zC_Inh6@a8J{;9`@egXX|3y)tK;|4a2qM;@3P&32QEP7W88bd4cMHE#=yFY zieAUy#1KKk1(LbZ#K!_YH9|dT!eL=11d0~o+XET?{N+3TrZ`2%YSY3V8U4Ff?@3Fb z2&ut&rjS9{i^vgeAOwm|0jCeVo0RslD%nN*dzNFhGs%RY7IFwwRP`EYg)UCS6>Q&v zhZ1}i@&K2sK~1fLvv4F}Ad|GaqqN=<%UdmG$!4}Iz|aOUkYZBcxw31^^>b@9sb^+D z;6J0wbFdqQWJkTLiQEEe_|l#l6Evz}`15PAfd#gDyz#R6%Cnaj$~&DOK8)r8FTjdn z{6=MA)T$VVD&kHG!En`J|2J0cxKr8wuWISW#pmn8i3upxXIy3Sarr9)znu;o{p#qF zCzb2=71D0En@yWM%Ed|&#mxB8u7P-ij?Empms!zL)@%9tKN2$NG;7jMH>lld1>LhB zWr-DM@ZoN`R;GPgJd332`jhni>F48FTzXEQD{N&Xum_^n3~T|H*~Cn)zc8Y$-41OO z>8_d96unP{@4Am8;;})1m$=y`KU`o8C2HgIt$rjk$4!>fTXx!n?X&S2%&=U;nid$^ zW_#clXx9gURX$B`L$?k1DFQUE`dlrF# z4rUnQ0XHEMvqO?-!!f(xj69Ln8a=L8V+&MPr@&hZ-jP~XW)B*ouJ+A~)yP1v?S|Rr z_R!D3RwIRD?=5kY=8CqHz*y7LRngw|C8j14!|@dLR(7RoDm|lzpL%iM!L7x&#PR5Y z5vhVr*-v3QWVp}`xfa`zgl%#ZgbZCeCQDSXQab~@9j^f-1*p7X}oGqz7KuZFr%i`Mf1yuRDxs9?Wz}3_gcYpe&MBS`O{I+CBd8 zi-p$`j1ZwLwt>XfYG&Z$g<6sT!V0=1#SS^N*M@mvE5YIH1Oiw{sL&na4GEDM98GjL zgRqAV#z*-YI8n3OT%VJ}0RDIsSq#B|G!7te>=s*aEGoJn6@;weF%9f4z#szx83>!C zObA4k5TS?WwF}S_(iI3!#@+fKMh3AO*8OY84D_Q6Qm z1J1g%vS&>U<|;A~nZMt?^0igU>+e^Ntcc};_bh-(v|{e57mhmDD_1rYBKgQ$6)>{< z;}T(j1Y@~FE+#{H@U#JyD#>`BNutW@2Szu9w@f~S73h#HmQlUNr3yj6J{cl>_??X9 z7++f9y|v#O`RI~IDktFB!uXT>)I^ra5~7x+xUup|HJdD3IsW7R-@R3@todd+?o7kg zn5g=w&dIIZdd>bHtUZ2Wq^$S~{V4yXUY4d5EYW;JW#T7brd;9x>QFwH6mlK6B1rCe z-yeN<=G2$niqYo~IrZoZhi_0;j-JCJD;IU=Z$>vha^UlOE61KY?57||H5NU&@)#p4 zz=Y?pBlli0-&P)fwnEa+1~pOOmiqrIFCX}I`x7nyfl?>DqZl*q&&3w_{Cd4 zsn~A{a;@;libD2uq?Z()s#0TdO50VVqwW73SE}4K7C$jmBId-1>i4H28h`AG_g3t9 zX2vu3Re#dB6q8V+BIU+qoa`nbZw?;)`PxITj7*lIgr7_@mJ*Z&iWM3N%aGNAGcJGV zFKhqA-O5T(xpkK3OhG6NoXGp}li%%Fw10Rl zo?Qf92BsTK7duMI3{weEg?XHvbwn5YT!gY3*kZ`P`ilVNnd`46?(qY_mrhQB#b)c2 z-(xC640Cma8(=w+F)7HWI46>bR((*1edER5|E z5V8VdMhv(d5ko9T#V$e+NjZcOLcs7K<^UMS#E==>83n+l2%lzj@R!3#EQH|6L7Ynf zNh4z30=X$<4Hs7cKW7cWg`?yu$L~IH^P&Tdi*hk%cS+7F`_Tt3c(3c=J^U4`LaAx0 zrmT>l*uE8_awuir-%q@&o;Vy%mf$q;XGacR$Wh~GH*~#x&1shR*(Iy^O^{=-DrHub z|0m_iGxJI4afpJ1Qa072Dl-21}+?r#?lQ~5S%kmdz3d&LC z;iFIA)qBf~?W@=)W!NMqUmzmN+KKBVM2dYE@&J>WrF!K|63hv@mhAc#o(BoTl@tz` z&%_lEN%${-*PF{AlVD$ZB+V;CJOH+?hnS@FB6B91&)ur8;$xXNke?l%%qqUj@s%dSD zLDojscRMqgz~zr%VpO`Hwh{~$wXF@(*6yeKdxfS-wc84%-S)S;?-q(Jc6VEY(W2dM ze&1*AOt?gFZMXaOeSe=kAHL^#&U2n~&U2n~=A1KgqvPX$TDl(e@v8Chf4y~ld=v3K z+`I{RdVIVXI>O$n@$nBp_xU;F<9`kLgTP+_ z-)tHm|H+>5@y~#N6MRM0`1rL?!+s9x0i-VizCrmtj@A~D6M*22^p2qG}G7J^7j@u{^iwFZI+Os$0=5>tF?EljO}AOcfsA&A5j zpIQr3Yaocg)LIB4F~z6W!qgfFA~3ZUf=Ep9|HIaT<4+>JS+Y(#5b??Ke@hv40MdPA%cLXHePqj|Bhr0j%cLXHeQ?}jm-0k< z7P9l4g)#yu!7oCfBmRewg-Qe>YZ3w-@k@{nT{g&s@)Kl1A`n@V5a@_!kq$WksVB>% zdrxG+ia=zc9RkFFL;O$MCi{!X@^i!|%aijk3Hr^po#-F-Bxjd#+E{+%a*Ck2iV23mC4J{ z2Y~2N8&cWw#CIezX{<~g5=ov+@?^z(%EzwY zsD@05Pc(Kp$Uwdvk=+Dk%M;4W3-aZQE*ggpJAy}+&$Ain03D1-_RZft+ot`x)Ya?5i&H1BL7(C?l>{I<6D%Z?NcZ!)74#1UWFq+zAbmhOglx1SPbB*wIh%ApL!A1{ zCK(y>&jZOoHtEP@qYZf?*$2tlr284-f$WXTdS^c}Y_f@b^8h9BHgF=@2g%u_`x)Ya zJkb|)@+2TTK{}*tv>{J*Wq{*qZ=%$bEs`#f?u*2A3kiY9dS`z!>9a_OoQ*c*y?R!r zE@iVx_cO!;*;i%8W@UVoeIAq%*Rx?EmH?4wn-MQcbw5WukbTv~eL*P)1wPlov(bh; zk?e!Um<=6SBHahc9$6Rs3;dKbB@?L*DI0Cb6Uja%`XMDq_tFb>{H(`hq?6$l_=)cE zWd+1Y)~yV0gG?m*AUT_KKSMl_ebq$#Whep!WyJLW)_F{$xfb>53-Z{xSU;J|8D%f z*8RVK{6o{;Ee`Ls%!`8s>j0Oj=*~_{T%cc^y?o9>Q8q4T8Q4%c$siq&eSp0y$tWdc>MH+1;(H}IFti{Lh^#D7 zK8tk7gbABK2A6%1O7}tXWRjtfEhK;rM);$Ub)gjabLrEageUR*t<#RCE$Are3 zkS@e3OZA6{Iw}z^MHD01FVMjW7sZ|OAlV1W7t@p1T%6D3WH7=z$jZ`W%UNn2vYuV$ z%QE!Yq$5N4eW>kn#@59JGG#3vBu^rJQa(E?XJ_6;ZnNH{Fsp1L7FoJ?sd-V6eo^i+ za{`-*K74zbxaEW7OOfR(1I}&wbheosWG2c3#l`&pe{r~Yd|n(Usj{=)_$_DxWEW=1 zdUiRq09!M8d`N;T_p|85shR?t|pXBtzl(JGtPGnAg!3;T>dU`VRWn zVW2}tv71aX6oK8P$|vlt329>dfhH6PKDV;+RHplwY$rn>P!z&CKo1mIoXWC0)sZC- z$uh@90U`^qiId{4dpJf%M?$H!OP0N5-huaPiy&Q#C=(Zm`$>LA!k7h`g_U8!P@@4Wr7y}0)n{@#3fU-nS zWh1qK=X`D{G;#7AsQOtPYLhN$8F0zp`buB1uU;ZgFo{z-$Wn}6j zAnJ$OI|5jMM{>rF>UniD+6g((Stz$q_MFcj*oO@0>U~HoKn6e|83~B&h%CSc7;rh_ zQ9yo$d;<$S8}vMRM4aGL;2X71bx#2#UyjIzp!=NaTaYgTWr!>vBxlhP$kc`fJRl>v z4C&CzKES;3+2Gj-Vkld%1p=b>304*-S@ywkw;zU>Aq#Eqg9ttWhyhs;s88aA=aA^& z6AFky9A(J+0HWuBBLMjk0HQ}SQUZ|qLj~dKcC3b+o;2+UOH2k>Q4Z=ATEIp z8jmPX`<18n>rw&bgMP$=q}feVP1vK+cqlkOKe$xjPqC|`#X19ISFNQMFw0m$xZfQWpt!9#Lp zFZ29`j@qEHlD$WA#uq>5u^?8I3CaFgEzUDcpguuFTOP?7M*XP-lyTMtKXbKUP3)aT zmJ~a(CCJF{16;@>8pr(*D1c1;d;7MWSs&Eb0Pq0xA`tK>*#cBfb!czoeRy$AXMEKy z6oM={122OFSx&W(0J;p2oJHg#5d-!CmYjjBAp>~=`!F7W@@XHM&q)^nLIC+kkbHPP zTksiJ=Yz&A0p1wV|Fe(-JGvLFL!N9&N8_e=1Tlc<#ggLr9ORb)uP)j7xZ2{lGRP00 zj6nK_F*EsSXzpT;D+eMP3S|5PJpYj=osRsh_{BPFU#9EkwUD7tLXPBR;Eh+# z^h@n{KEaI2iCmvskV8OF528mQG(dVT^3Jl1MJCOIoeXgZ?GXNr>`eQ zb~gI-{G~oFL1aS|j6aiu-&V$V8ZV8DI8neeIXp{NAZ6@0?#90fG(i!?At293_>}GI zzMv3xkYibD|VmmvpZ;4EZ-plumhA{iJB`poi$$^r`N%z)iRWM$f)0p+{K z0p-*N0~R7)M3$E#$?B7AXiX9Y>*zJecLFl(RMeFr6HShFM$2&(5a@ZLI#hR^53oy9 z6nh6i>#qy@LKismA(P%G>M!V%#!Juu^7BsMZuGehoIsRqAJii~tjXuH2*JdAH+#m-;wzK`degc{NMK!Jqkh1{MBeG9t$t23a zI^a$p&G2O%knLZ#JQGDJ^ce>3KA%B3d@A)pK2G=v8xGh7mIfaJCqM52$WMxE3lNY6 zfshBJ0isq5uAr{xUuVW&20gosj~4WVO4yK}n*s9kdIEe4`|$Q6$R3AH2>3^2$Jj-28yR>R;%nfqfs?!r2q+H%^-r(?3!rk6X-(a!UwGDJ4N4H%5(S+0L?RiW zy05{{yTJd~*~}WJwKol*H8I&wvZr~Yn7jsg2z(#-KD2QW`F)Vi0`>txUw1-oIS8O` z9%S#pC7wm3Cwn5Ye+}3N$N&QZqA?MOrqSerWcWgLsUCr3T9W|<kudUB)zu|iTh*)0{PgP$J?2=nSAZ(D(>MGO*4=q@~n%;N&Qn_MAXkh z^qEamPIE#;bpx81Bht~BfXkgX)CQRmd1ne1fA;wz!^d@juNgCMPJ%Nr4IQus137_z0f!CU@w_t;ycczU3}j+? z)7K$R#FgZLEC_@=APo@B0%(tw!Gk>l;w60~zh&TM;0l;HsFwpwKacf7fPY~h!Q6Ws zHgtwlUwPoD?)i}Cb1+XwoJjW43pNzLRv71^t{2NT-+&l3mWAwfU_tMlE2u|z4O%xI zP-XI2Lw-i7eZWPz4Nh^jfNY{Mtn(EXIDyEb1Z~j3D4rg*`Fs)!&IE5PD6=DoBlvFA zBmds*Or)l$eS!tq1%DZw=0pZ3ctpN%wZY@%sVt;6K>iikkToHBc9gSyT@>TG zh|ouN-?m@q`PO;ClKPxX)HnH14qs$&3z#4a5&=YZ1lh->&IIy-2jEV|&cafmj89Zf zM4Wt~@drK;56U4<^h+YyQQxB$IM|}=8X$u^2YCTk_q0LCz%UXQA%x1!~y<#7enGcWbi-6*|;4|5>bBvg&+_-n&fBT zZ*X4H9u@FO@EiBAw>tfP$LNf zN1GEifq~EazzNij*Ospj#_eU{_eFW`SKe>dfhqCq@zQw}HqulSzZVJUeibr(+x*p?w+?ja`N!yRR7;i;0wMvWfhlHi=|_>Uu!?0jIv`x!p!} z!3Tj5L?W^wqBDWyKu@~KL}f2eRwBO*dzB4N`xV{gEws;Z2fs(D*B<3PUB*Z1i^%g2 z+yX+KNPvLLkb`ldW4pJ(Xz<;h5>528FDB)9l|QGWqQKbeB{67-cFOV4&vU(^Q?@uVjqK_4~{ z#FEyC9F&0veFQ3Ru8^S^5-5h=*e}zU1``5NFqUji`XF{BXH(D@aZg4cFlgNJ>$!aFAs$TqF`-gkI(ZLWwH;j3CbQH zeV?a$n2b^y+r-+07_^_=SN6Dq{w^0sG%>OEvtvnmuOIYBe$siP0Wv_(UC?jPKJg%~ zWW#_E5Kz#6Fs|umu_psM+9x|k+?OdI{MJTtfL!!(C6LYY{0_*5{Jb;Ep3WrF2Ym%` z3*zp*$Do|Z&M-e6xSIqS{zTA59gqO%?j!<}Ny}1DpG3q1IitCdl23FmBkqkGoMbv< z2;L&|<=|afhI%s5Jtd&LKmdo^9tAwx?xF(UF8h9A1v+pVfA+UC>La^6FqI+DZ;nKk zmtIH$A833;mX{*I_=%{GccaUVpM0Tl5hn_GCU9J^pAXC1Cf(DGkYPG>!b*1lAnQpL9FSeoc6`w zTLRrrvcIoi+fR6rX!TZAnZs4t!$E=C>@Il0O5VpOr?S-99GI7`w==>x+0Sp49yNFPq z)|LJ&{6$Q%NzTgTLC%I(gfWDnr1_uL=5xrT3%)1NoZjgfW9YD%g>q_$WUoQ6z|WuH zS+ohz(fTER5m5GR)cy9^jNL+qt>N ztP4K5c{1lHTv!GVC~R?BGS5n?2|RFNo%1*qI+y?`u#zC*=}x?T-JTZ?&Dr%9vVU6o^f$-1e&vk? zul;Ggz?JZ~-#>rHoaXUAwIAG6KqgJWR6yQSK(Hk!o+0)aM~0+@bq#w<#KJS5Ez_QY zjg(<)$Vi>hJQD{E?HdXF%a$}Q>{{45(87e|?OfJ?<((MI% z1TH|I8}7TtrQsFk_SU~}?``eKe^a`+cfqUg?~5^t07?=ul=&M)S_J@oQhU$^{9 ze=;_;lqZFLDj-t)6f~`^$?OdDYR## zactXbW9!#>rGA3jx*3thkd80tIx3n_gcLodV_P>k!*C+;{Dgm#k695x$E_Vd!kD>A z8ux!8r1EkkmXIlQAsM%P&U0UI!8s=s?Z>BuOjHDnCn9&~R1mQ^J`@ebi_R6UtiD-axOrMHRRSSwIt3LYY}d_@BU#eMXr5|Bc?aDjIyFOf zLb4XtDos%lBjrLQY9C8SXQlDlGL4@mCOOsMBHPn>mNXJ|Bhmeu6>bwkaw4P)p;|}K zST)1P5dGtFB4XVvx0V}b0>2O@byv*0+Hv|cCUd+Pj`DFi$zKyaZs!WQLWvf!_X%Ps z8ehjHLKgm!hY3W-%rnq#<5r=Zg3B~pvm+MQz`gnYd5_A!6CWTu4E-a@!?sMTAat83 zR$J8;S}1meG<`qj@@gfa+Ex>K*mA{?bpo*(VXMu|Bq!XY*|ONgFq0u!(89JVoBhm^ zRD>yw$_Xh~r@X7FL_$Zd=_dHSzPkce_eloRu%(Dr=JVdgA_ONv-j zn3fEM8#PrkkLq}Jb4|fCLv5G=KFu?Y*SG>S!lUcoy>RQ_G|!p;0l!W*!^-leEL-;u zIV5`z!8`PSfMWd3t6qHW%~kRrQ1xA_V1>SG`8x{dteO8-{?%_*_|u$IZ%h-X)lPR+ zD>=JEC{RO7m|dID2IXOsPaZZB?Lx7!F*+kv8`3Oj?H$2EV_@XnAmO3an)&$ZDejelenBUwbLcJ zCCVw`4=PF_n=X|~U9O$O@aVb;qk4yNoi)fO1jDRN2njy1MLVk~2j#9b7fM!$GZp1$ z4sS%F9nqY$BROxa`Llo6_uF~TWnvYH3Mn}mzSamuuVnUKok?y;u}wWJ*yS*DQdgk4kA4c_2t!}`cUmN3>Zd3C5v8j7<0#mS*QTVYLQm0U>9OS(~E z?-5;;`lJ0db&?sAXE_Xy1fM5wHf)v6NU)GD8Df&>J{BF3tMy|mlgZMwV_(Uvu(L8L zL__(pJUQ3tFDVv6l|>;adQ?0}Vvacj&k?rT{^umMU&hW7p2vC(?x-dUTyFg_W3?OV zVfZh2JFqh@kiI<}oAdN7nE`V%!~|z2x*W8_PfKuxycFu;BQi=ua;Q!d6HsD{&s8}= zOZq%m$eDa8`aYMo2xV)ph&LylledLf>0!$`PC6H(C#IeKp6a017GjxWRynK_~$ zI8q{FShiDB!gJHaun|oNPQ*0iu+A~Qe=7?a`7Sq04OMG=8Ix=dduo)6%bXU`4?31A z@i|6JPv(dbOBJ{doh#%7Bgy9)d9uL^A;od_7Hf0PR-M=}`@46dGAJ*pZE$l1BhOHy zXA%OB69+qsD{)wl9QIf)S97_9i@lpOnGh*0ZKLNv|+$dCWx`R-%|4 zF%k{Q$W3SZ;Sw%nVfUORO*5yN&P*+&VZF#Ew)d#WX`;jBiIn3)ZWIS39(s0+w~byw zqn$EhmUV`s=NO(8jGI#seZ>;O>8P4xzW(L!-~HV=uhRZcgj)elRACy9YbT0}#MX#B zphKSsxvJ*vX^Du=8Mt$BnjKPHOGRNMsv$+IIznem6F4mWvXE`zP5{H0sw8!klJ}J2 zAi(bgmd&|1)guyGwZj%2Em6tfydc0CTrxQN^#P}QKb@mFVwxS4aRy;L_7zIBCMs}m zFWj^H%$y_tgtm!TwXTRpgz3$Ti&gH39p#L?dczQ=u@EZaLLo?o+|HW?;tW$^ipm)( z7b#N2y{s@HCPH#`nHI7H!xjZ&aE+!XrrC^hV-;ddW`iu@m~y9{rwiJE98OnA!WFm- zTpf-wIN2;8m3X_*u!V3Tu5Vol$!zY`FcoZHetdf}!b^tA=Zk`rl|?0gycxniI)ix#a`sdd-1qfImw6?#ih>8kyPG1|Jm;!`qJ#rU7ZobLx}9cgmgr4qS(iy_@f6&jo3PlFD?g9N?z3NEM(!rl5l}(yPVLc+iWPR z#dQt`1@6sttEWkMydKhz@Rpj!hv;zB&3nL$q$z~TH4)FIiaj^96*CmxiuGwM6|G!N zOg|{k7A%c3!t17K)7*;os5!8eo(0@R*{ViplfvI0n5Z1(D#J2vxo{=4%b1bK$A8DAO-mNn^h$QDFpU|LF>Q1P za0*%uTOlE_VAuNbzP8ctyq4(}n-YX@kBX~wC}~)EIu~lgCZCAnUJifxX)eO*3OXm{ z#<6-JVxY=li}0@p--W+G2Y$c0ka zPH4q=Ky*G&5XzG%;_~((RAG6B>b(p#C)5ffZW%b~uuph@xf6+&amoFb6prR5I8-&U z)r8nj=j^}jpRbv->YM%`T%$8OLp0!ZI%QXwp?dfe=5;k>%lLf*TLUMsIQG)S^os8! zCBuxQ4SB@kTHEjxVF`zeHj3VG-4>ZMPGUnpB+W9974f+Ox5e$)&GZ^s?bms3CR6kH zvK3}>hWW5r4xdG#8z+b*e2ovOt}Jn)nW)gX{sMD`(ym`A;aKK|qE2ffiesTYR-lPt zopFO!SiVx?CH{z_S)~pqv==IT|1y>%w#YSdO6T{_(;k!hqRMravR95IL_^t@ZtD8T zZ*F|xS4;g7<0`L7CMGCP*y$vrL)%RgH!p>otzMPrRdOY~GltCQm4@i5Q9(=CF&h)B zx4@KU>xhmBJG6wu>u`X$m;;^5D^^9UOSC!7xf09YR=Lg{D#mOhAp1>0k33h1)4#_U zSftj8vmCtI3~t3N?Y&y;$1#qzm*jB1Gu%fNi*qy@H;&*$z_TG> zvyLT{3bo}y7S@0A%I3AR*SzeH%$~7798Fakf>vvoqBPCG)8dw;b9fW)XorpEGAC8H z^bQ8%=T=`pz^WSbTqDIu&0DO)j&=LP4s zXt+e;4h?XjbiHJUnQe_cCgiC_NiLpLnJLv_GvTgC?u*#GJkywwG-tBJ%mk;oDJBn? z6v&Dso~NE1bHK6nD{3k=ZHOsX^I>_2H##j*i^#DvZbLefShR!JkE+#7h(@++CR?1S zZsQ$kHjA!UaNFql`+xei3V+aeGztbrED`UATu2iVisdpfypIbfl430m=MalV_(X-N z7;2x~iQ}sf6%%*@6LPB@P8ebov!5roCk3%k6#EUGo=zO+1;w~O${b!23b9$$X!;Bx zgo~Ff?Ztea@!-8c>Tzk|A;Se<~?9x~pHI}j& z_eFFsC=zb=f{tyH=Z*+6_bUIE_LXmb0!1F3Vdj9Glf)+rCn_?hAi`8WjR5Pk?$kV^ zUP`Fbcxgr=(vZYb!HZHk8v7hJE{7TY3W67U*6 zVC$V|Q0yy{jz}^NI(+YNtnkT=xTUywpt$DVG}8>5%`igi@}fPs!|NIbfrBCv4eJ9I zcc>(^O;fK%8)@^n8#a?{HIH}sJUtYBoY@axKU`dq7{V>U;gT(QYBbD!4z@$ugo};b zgs5!nahjv;=v zk0^Q^uHOb8WI2KnVqWpe!i9C*0!R7{aE@MOjM^TsJ%5Ezimd za9GObbWLX=W{HVBJ+DsUFs>W~(ZK&dj}vFIS;sgoBJb7d9U*a$H}#N?F$63ZfFI<8< zoJZ5`RVn=*b3K!L?zr`sRFYy(m3}l~O2dK?d%jwWKPo0QsY@^qH`cbUY;dCe_54`H zU=?p1O0h%k1zrIJLI_TghV;F%otdXM4JxX7Gdc$gU?}K)n|1!U(VSHKnobQfhg3YzoYhzMba(czK^xW!tHTGh% zd5y7BtP-3bYo>XwVy#%xk*Z2M{VUl=6WW%V<=3sAArzlnnsaX%XAE!MvLn8-$6!_X z`f$(nhm(ix)njF`o24V$dX1gZn)=n|hkoW3F0MYfW=*NFMLMuR9AM2mjn3u|sZZP} zrW*N^;;`27oGTs{|GHjkE@yQs9vH6M-dtGPT-q`aEj%TuGc_q*&sVQ;S4wO1E5$7( z)m5oamK~ADgxX}Xa5Sdes%_i9ppa)j*>S=7%QwAP-v4C7 z*lJ^a-O-Y=A6FKq))>dilzW|lBc%^IL-|7WD+SZEoRP|5CUvB@vG#=pYuzP$t=P`K zUDW=G{6?kluo>T2VBf`ev()~p#DCe_qn=l;70lC#baTVv_<<+R70%rsGgh*>P7^ba zE2j#7{OxLc=lhx5Z1y#<4{a*g`#0(dwM*htvbOP+!Z*IRaP^&|U$8PWF!Q5tRqMrL zOY|ET^(*N|`fh92jyVg&k^{ZBRW}()aZ79UF5yZcxiayz;5?qJec$lOmeqzj>@NS3 zQPyHyahm~vRz>3 z#3FHdlQ>|w?Q@gc)B^RI>m}p(TH}hkwc;*gR4OgZEi}$7iR;HV4=3V1?%{jciP*zs z+7;Jz^d8(Sd^I&odVE)Hb^Crdy|D7759CHTTfWWTH8jKA{GFkGw^TX2rEfs3QffxyhVj(OdCF(ho9|HPZvSC%PU)%r zUDC!qeCx2|98~45_nog?#+y z(dSRRc~jf3KIK0FqZMoIV&}Me@4&Otvx>)C&znnx+L33I=TlD$;^vd*6MK5KQMR&d zt=rUlkc1}JJb%-kVsUf*`NUH9X-QmO<(g+3yNNfxYIcjK`JW_58&~#XMUZgAP}5+_ z7oJFc=T?!O8(Mqt=7)xdPCWj$yBPeOz3GeQtHSW%b9U7i&2MPKvF^fS|IojazurFE z@{NO|cFXSGO=5b~PV9C+DQ>gHM~5m-CA$Y2errB0y4@!m-Zr0-R_;nXI#fCAcGm4Z zYravj(p@Qj*{wNiIue2Xz_`<@ccI+v3yUi}`S?yl%QQ;})g@Y^I zB?VtM|Ne{M;@3NFZ9Lg+9&gxEcIH5Ly-2?YX#bcE#x3BE8pEifi-Cwu2t8%Qe{=kjf zgx#s9D_c&auic&6c3_pdt$6oM+lKB?d!BgZV0Y^6`kjrRZ`{_q)V;&}UyWNII%^Jm zuW{Q$RW3VRcIJtDOa*L^+&|2~lUujyYRe57S9C_{PAOMNwc@L0yT#QMxXl%O&F9cMlT7Pc{yC$$hjrTfd)OJ)Z4T{9 zgqZHmNY>1CH=R5E?}@AbcA5X6bl}^agnx#JFS;g|tKt`|1CkhHxIux8;*f#gQS>V$ zJ!FfPWQuqz;o=5f4-Kg!s*3nLAlh6g+H7@1PYAJIHHp^iH*KS-WG!f0H(zG&8 z?1+l^c_^%7eq=U{Zdtha#PHir9=&+AGK*6SOb)jc+%$A9Ue7q?r0OVKF1(Ss4pXr@ zM7#n<;gBria>sH|O;p3SX$ZE=*F^v0*Y|H&cLEm`kIvZX4q-mqW#8qdwn=qMPfN{5 z7-RZOW8-4;WOB18<*dE3=4a-xsTMNapCYS``NkOkE6Mn1s&7?Ea)C4u;+=x@2z#z% zRIL!*oUKP{T_HD}(|V@5+U0i(ACi(cigr9%B=TJ141UXGcL{^LjA2(S%q>v`wPvZg zI-#2832CUf`LSLpZX`=huA>kWeTK!&0`nuBqbJoFmE9wu4dylL&AwLMtiDP!?l*NQWw2zvE5s9OW5M(qV^6K> z94%OEIO=fkAH_zo)8OJPC-t!u}qZ8O1KrJ?*1dSN8{I) z#A?b)x3JiP-`w}swRiq}zaK_-c5=Que?za<z1uH@!Qp#6^WvRB(29!6Dtg5S1Md+ z-la;_`q6@zV;?eyxAhckHk83eXLB`UXZIh?-+i<|JubvQ!Pti8!9~28`onkVvwtbgQPvKdeHB9C;6O4ujgPFG?~d^=w!}YHGVEv@SKeQr;J36+ zKfcQxcy8ePDYMT^O7p}@Nyz=>^jE%InlC-i?@6^h)X0C;=@k-66#Gx{+`L3Dhz0x##)Lij+$=4VO)W5RLyZhM3nK)P?4HgU4g+jG>b?TV>%+dYZh5bFx-&Z<(X3JwrYIv^u`Jt-R zH?Dl}r+%0dozbIWW%AgE*<$RYVug^ma6}WzSz@*+E;OypqIk$0Oy`?5l~P#LStL53 zH$N%G%?2TEuIw#O@*V50OE)AEU1?j~V)hR9I=PAIW%9`+V@LTNe7Gs)iuiEB%k_-m zuZQt{u1>OTHBY#<4xjX^8?@Q2Qn`4*3Ma)LL2*0GD7Lxg#R5KGR}>uWNe#;P3V5-9 zleI~M_J-u(PQFfvElTqRPU5^7t?jqBi#r_Ajy+}$AHZ+>Ig*p(US~h9MI<3zp0Jgz z31trcp1`n0!yfL9l`6xmRcP$5DP(MKbhB&p9pu+ACPmrcpt!$K$W>c<(u3)R31z2H z=WJZrm|8d_7mfe+(?3{~b0k=ql9d?zoV|;g2-p|#zT0i~PGjinh^mjshZ?6d)2M9i zYphEQTFeNG1;UI3!d2^@v9V2d>epExTi5I^F>6g58{*JvaoBB@TdaFiM|oE%6u;_L z>qB+;K`;?g#d5}GO4sPUgFUWfD{5Xj>uAwmVWVsf+acLvS8~v`m84oKDATpI0>51p zbBp^Dj-i#a!>Jmv$`tLQQ<@msDH)}WgWK6nixP{{qPkc-Z_X2vWl72IHe+_O+&*j$ z4~8Xvf#5bHXgigM2aRGWm5ADL zeXvqU4$c*JC`k!FXw;fBrT$uT3+ubiIbwW<-D|#G{h`18>G>~PnIK-7tQv4fx350X zzQ@td6)o7_`1VcLN%y#;WnbcVH{K%5+q+a)AP#+X;Dj`$CDf|5jpxloKdSC-JonW_McevT z-Plyy^1H^BEcrS2hUB;06E~eGI%%G%?oMq^jcP01dTFd;sff$+`PMxHj}JS;iF3l9 z>g32$^MpuUCapP0suT7!rL&Md}7cRPCXi?D%+A8B8JI6q4fhxm&FA1Qw2$<3+m;W4(T zXuW%Mf%4hA*qC<1;V(4)P-=bQn%?3wWvAG)r%oxu?&g7WsjZc%M@oE4&VQk*tm59a_cW%?EHDRNudZ?*e?=K}R^>0b zrswbpsf!gKs<;Ptw&RP38_%&-AE+8Cdt=G%=CT+5$D%Fnxq;<3ZeI14wzN`y6(3YQ z8k+BxB-3L>b<%0+$6EUy-aIF5!U@{P)ZHnu(NO3FJ*gg+u3)E{gq!T)`Z&9zM6Om% zK`4+$3WSlO)njg{d-CDJYH5M=$f-p2TxNYLIkLnUDO>!*a`CH2#o_wn=CI49_TATd zhDpyalg7###Ib@^$=Zb2Cs;ycZLPS}6z12?=XW;LOYx5gcHA*>qMj)mDOWd^n4=6w z;>Ks(K6{n;lBRC2iIpjhOc_}i|DKsY^hn+OtzEkhnXQXrMZ)r`6kGm&hJ#!)t8d-x zUfE&qT>NXvd1jRm`{0fq{@5qYtDJcq#-8g%r{7s6ers#a;aEk1i`yvwBQ3SZ z9H@=k_P6803}NXRwsEN-i_>aSX{;FMF6S}hFI5}>bK~38e3qKOW1&*m)9)^3^Nsi? zs!O*H*L0TVpK_MvYf9^OP3m_St=OrpQtjFoTCXj6}z1wcjtai9+8}v(RMInX$_pGpyIyPm6QvLmQlg%59ODgxlc9 z4dHOTm@FI~NDhmG=G-BxD>=g4vc+F@M7k8NSR*ZxJ}&08=B7>Q46ELr=&LUqcKeFN zKHOrW8xV1EiP0$CC1?Wfe@d;Q3L|Q{I)eD{iN(qxw{MlyAZf7*rQ577cT5wPjWg`p zhD7|>^7-m7FE$Khf%#L17lcbc*us^R&T|H86o)PFyljAy@-9u)fI=ro; zJwKIBm84S-+lF{T5{>xBt8uzIf>Pa6Ek!D{<{gXUwOD6IWBI4^Hd{xT0ojhXm4s?W5oJ{|XIE@fC^Xdiz5(&*zp-WP&g?-*f2o^9&*jw<%+S|5G@na*She=~=_i^*~EM<2_V zh|v)xI>HQVvzwC)@ug;{KuM%Kq7MFkq2J1N)B=v{i1xeXc-_Dk-SAQqAC~ba8!i{Z zF0V{03yJupD-sQ@X846NhlP2|#IK0>Z;Mo(kIDRRhZigvoYUv{V;qsu!gkFG+xN4b zNnI7hk$v1rRch{!HXo3xn>5pV-NPT26qz$BU5vd~#Fu@%IoZ9^NSWGfp#}W#De*}T zzbF)ouQ0BqK|C#n8NZm#HlxkjEv6)kT9?U(4Q8Dw%azPCc*AleZogUA;BHPO271H} zX?u(4IQC4HGt1H=s#265ksZVCuT;6}QuA4?74G0-a~7My--r+GxpgCQf*+Ch%Xu2p z^NPeXW$A=sqG=~rs%}(g;y3Ywie)-m*eqs*a8p=>pA~O$%Mxl>PWD@-c&O1HwA8)c zYnHfY<1a?L<$u5G&P-6kxHn$av%CHNvOVo5|9YqPQt~NvPu;Hm(t6=|;-oXHQ#r{F z$4@S-w(hz~cs>8u73T2K)4Q&1FBZCZrRe%?{O1bOFR_0$e#8IE%|||Qyh0p4dFsW_ zI2-t+^zpx|?=2bFrhR{XdSK1UW5v=Iw`En56{PvYt2<(gjZ{;rxMR=yO092g*^9!t z`x^Kf`EF&bT3p=B#;Wh=YRb32VAs~i=gxcVh!D%K+1xtv(i*p_Ryxg(742#qx}Q&% z?TXi$TXWmb)Q`2_w|Zoe{gScP{-;#K(nr>^m&6ZfRW*hkTe9Q*WyQlo3!I`e?DH=f zz0&h#;_#YtdlxOQP-0aMzr4zRCYc`DWU~=z*QOc#J>PxZowvPhORxHqzZ+>UsV_P_ zRQL08>5$vMO08Px_VhIfqm7@aU+n(8?%?9u&DseewTo>oIUy|DU2S|Qwy5|v^@)Ws zt+(M2|0(TTOW3yf8g@T_YxR!!Cf+UFINyDt_Iqwy!?JC~pDk}*qx87X96hi{Oxi21 zO5dIM>@s%KGB$8)@q*u^*8Rt)f0~)4yv81Ow)V|cKjrvD@Kt@q8}U;sW9h3(R!NoOeGb1R$=`HPU5ec=b@tZ$;`l1Di6z9- zH|Hq)7R6;7#4_cD`L$Pd>s@QLuIFQXsi7?2l^82aHyI@-Vs}Yrc(M6kwAH-OTB)hm ze{gl#hLIyX?eCez2;S018kE60=NTjX;iJM$Jsm~chpsyE?ZwUMkBGxB!uQyRg8}+WIw0ulkHRxU*zOe8qxYr7Lbt_iSPGUb3L30K+=hCIm zuP8K^*RV&r?1#EXu3|rZlmEU{AOGZytE7&HkH&=d{MP9|yx+Xv_)hZV;?elvn%c8h ziA|!@SL*P$49-3C<&FaF=e#*ueXuFjc%Y~5XE*cbN{oD(80<;m1+@?VLK=&Ac5SGS zSMlGAMPvC29-LKmyUe@j!Qt<()sGaZU2FGmb)Dq(^J!|nYo5`xebF?>JUpdvKvr zr==2$S=VQqR~Ee}&V2@N=9@d7Wt+{t;##e+_==`o8{W6ceQXQ6cU8+=_t7%t^s+gh3VtUYc2B1U7JX(7 zo9ixWSUb2xd+Np}_du7qS@=wyG$V0 zSX89nYo1h3r|vNi>~_B(eu3d7IWP43_!yo^*7+}M-)#)0O~H|7uQeT2R~pEDmB*qOv& z4>i70F`E3awoP4>zOHC&aAC4(h~Z7k{fmn3#(^f4#kN&6iDeYB(Z=f}GFZdrnTv{Q zEABN1Rtlff9%ow)oG2b;m3y1_n5iv8=LGAmq`7ctVTDmRP;t(@Ztp4fR`Nsi`&`YM zibqZJ*&$3;D&DAcH*UtD=MH>Xc+?$g#>Z820mB!ms)4dDc2nuVIpL9^CBiD@5x27F zZL?TCRdMagfv3&X`Np{@AwM47#E*Yg|J~?UGEd~}WU)QXJd78zqhhJs)p(-#4NdPh zg}$|oqK=Dt!YDDtbE1V=rn4Tu*OZ&_Xr%dJW}Fb*!{R+=-=bu#+nqXP##@|%R{mqn zIQW-fA`dRWH-cC>Uexd!Y|lNgnBl(IF;{%Db&Toi@kGHnQQpXSRa|Vc=E~MF4L6d( z9=O0OYG}7P-)yOqUSVT+C3ezy`jT3*U#T-jzQD>&x8q@UUfA9ePcC6k6eJIseQds& zpZW$H!JF}n?PHqJpf&H5K8&Ym(4ISp3xYkOs!cA#=hYLfQr`{u8<;`8TZmPO2S)Jc zj0;S+d8gu*x+jYJAJ=j)q~iHziMvr*$nasYLd83B#VVC)S4lUhl}=58Z9cise0b1l z9(0#5R*8G=(t(9$q071|YQ*hYvs-hiA7dY`x0|YO5l5GA(vA*q zJ-Soc@Pmz|eH&>oc`@mHHpJ+J9-;`rqv@G53Dg{N}uK+P6!7q&BZw@lWwZ zu|JPHu?6X8aJ|SM*|Zf?xs_pyJh7sGXKeYy&otdrAFC?)T5RDzt_X^F{_v3}=bN_bhqQ~d_Kf!-j(K+A!V6wR9oV2EtW>GsQJ-z<<%q;d{5))?z z6UmJ@)#_8X)=T2+1*e+wQ|ERqD%qqt@wYjUt(_c_XN!0-)o25 z!ByHy@mRTwo5b}i*4~|e=Jd!?=Wvy?0(TGl#QbOKS{I2Qkd#|aENlL!!I7$?B_kER zZ)$a7>f0sHyzm2Ocooj++Vg|?wa-3d{|`GfZHh{3tZ7tlP5&!Q%R(N3CveZ|_zRYjxFLS=Q@%-tAJ+>+bEHOG;g;u6|x= z-TV9d_`V;IOlBrCnfH&^`}OPje7ECPX$h2(wPIT`yKDC zNA}Q!b8jP z{kX4q0QW4qKgGsnksFlOvHN_{^$Obr4Y!DkYEcdhzlVIO-s002boZWv(PBUEdLpbI zYMw3%uhmZ!o+N`?rEc3~r*^J$j?mvD>>)AGN8+1*ee+<`9gV83U7OIND&x;rdr8FR ziWGSZMHqIdx@aRAgjS=ga4tWc9&)Abb?r@F+hK3#gwNeXg8_Q2$s$G2Y~e-MyUbZH z`Uq<<;uct=m@Lyv!?$jAgs1mi8*}UG+b*CuG+FIAZKCd+wz2Ts#)=Q@n z-QPsgipg*I3F&I1?Z1I6729i~gp8l*%>W_>T zj9+k{=+p++F-}IkI@Z?frMWfYd=(mMpzDPNoc3ftP>sJ|Qj8;VSEQHU{v@8tN8bgN z{=u|j;!>kqp|#fPk&RAVKjkQ&5&geppGOUwovd(5x^=Z)g*QA_f9cJEcb>{=`0yK9 zX)gC&k7d4FJlwxsQ=cC62--?T&L!YTs@JPLEk4nmo}OA%vyBwYVI4snbbL~BGJ`RN z&l=YyNTiV7xTi%?S1FL=lCLTrn2W5}lMU6$dNx(fK}uyhykoI0Vk8vE;zmvtn1pq{ z!lV@uR+&MQB4o0#ooS0aT2ntQly!Etf<+oQU6OrPdP?wRHe>mE&2sVT4~Q5OSIL4Bfn$fL3&(t0d( z3d;y$MckoI)!Z4kun~E;pa^)+}zr6EJb*145 z@CT93zZQr|X6PBj1Q}CMGISFpWL-U$LDFYJmYdb>5v~S6A;btr|60I}kS?ZJ8q;uf z1Lnu{2JE_a9-qD*R#4Jsm2|ng*fArEvPybo_6C8n( zT}xald80s$w4QI zA%_=`BxYUG>X2-8i@f475hOxJ8XDqUxI_^6<@$1im1%uBD8{Ui?G>_PhMSe8a%`bF zoyyj_lK>H!p_#+DmNoHW{h;WFL`;-@k6*LCU0+KFr2`kH{0Dg9RiaAfURBRa!ZRPx z%=Ii|M&ez1C|8QcPGBr7R{$zyK?UldXC$Ukfb~-&efT_t=UQX>t?5kyyG(G1gH%=6 zq+pA^x`ooO*BbGgBNTX-(x*UYgL&R>w$#j)et6N`?@VD;rqO@guDtlRZV^ljm|3zYR^ ziC>VwqYZOo;9%d|Zn*4tpk`gcRx6$qwofGlTrv@BgK)mQU>MK0qTW~k+ z@hxm>3cUY?uVKxDgJTQIwjVDjyB&NgFwn!KhMpL!#Jd)pXZP${a-L-lf3d4!=YuC- zTxi_wyuWQMb@&$!=OclX?TLF!^V03H@pxzAGiQ_Q#RZ5}ytv@|JKT`AI#S!8c&5_v zz}`~F@x!1q96x;Wp~UCA{=J}S^uk9wz2Z?XlvlgUhk0< zbCgMZPIhk`d-UzpuHQP}PT77sT8SUn`{uos`YUkG1G^c0reLo5^T5Ey7gLE(H@^5; z;`l9XpN+@9IQ~sv&mqhepI5(-j?6G|DV4xQ3Yi(6$2S%+=#H$e*u6#X{!H z`ar(0o_P}78M?e6)`TYFDK?Ipx8SG9FX6zy36*SOlvTElfhT?jxwnMD&l#=2V3k!@ zGP7WTj-I41lCdIPYz14CeILyfd?q*`+E5Jk9vl|N)`F>Zkp#9l;?m4ja}G>8rrH@? z1ed;V=S2b?hOkXek$x1VkGv07P}){Z|6g`)O{_yrV>oj-nAek{`^lO;o#~^AljM>D zG1kw?YSiR#28+teqNVUek-NP8%<7tTsGeLwa6A=&Pb<2bV1yLe~h-^F0gwm9dM26XC19%k}uLn zBSrCwwDAr9C>1CY2{b<<)eyj4590DlM1}+=xN=~CO`pI#p|6h=Z3^V@&tDT3RDuIo z5Jy=I8Tq92)L@CF1(2xo*;uwRbOzCd6;ifmB^E&(g+vdyg5j%Xg(oCt5j>Q{X3~0= zU}ijo*JYEcaBw-wEWnGFjk)E-9LvD4%M{bI0Tp3lS&z0{#5!d9YaqtV3V;?o(;T2m ztY-L*uIjS89*dNqnLU&ac|U-KfZQP{>ABJDNk84y;*Wt?=BWj1&NuvDpmGWq>}Q6Tg*$1WLtKhD}yMdIh-zYc?I}ab^cR zN;a|{vy+4{RF7WPi3#(bL@Y5;xWih^2RRmj*GorEmV!_~I2PggBBWQ>igY={OZlv z1ZV)wk^Pc~ zoywIjKV1*T$$@r`2-CCzf<#4q?`BSN?Ob{_f(lSbj(XgUQ~^GiJgrvB9xaW zW#E-w=H}%3+MTSfzjQydOm>c5w_4cBbW16P%QzB#0(%(AqJ`*QL^sp78T2Sye0NVg z*?``m-CpGOSbBwXs->78)Oodm|DD2wI0e$)$~#)w=QxR7&Qlq(zbAnto;K+6G&8BlB8 zu5Z)7(*=FmaItM=1YpfS+^0?tKEy)WYo}X)m>Mk=E9AOFjMai=%5tylm+=9| zc^YLf*ZF(U4AOE$m5w5h|6mWK6lU?#%qF2_pPXbJ8Nm~?fCoS>eh&FlKtNS%sC zKsdHJe!2V8_P-m+NnXQjfFzpYER38Bd4|M-0h$hIE+YfbKOz!q4KmIWD@KgjLsiva zp0?PfWuu<3Ct?Kxf)QNLgSm7N;Q91;y)v4+HDj8QHTfV1k!msF)e^6naEB;S;S!cw z!J64})T)11 z&_qohQaqWW2{N)co^r*|vOJGp5fokrkS>}!&|J(+#cEGT00L`omeBQzFa3lxOGRJT zqp`3jr>}A0hJX0{zkUaMp_rN1S#~@&9y5F3*JWaMd#u_MB)N*k8?flLDh=4a4A7$8 z>OH(!wwi@wI#4+16p6@?>Bkrz%E)-;sDUs5Xmd(nHaB%Bt~z7`~5- z0w|HwvK7IhK#VkKZN!?Q!2NmCYA`#j_GOY40bJeda!8rHHUlVN3JbV8=n)Yg;Fi|| z@E%JeU=39rVSzD*f+W7O07tiKL!Cj&NoYtMrFe^6Ak9H?td`}bCG!@>dflgg_tCMO zO@B#0DHMVUwrp4-d8cClV=-F^NWD>OnC>jd&`CI*Uj+a-PeSFY%Xpk2F^tI?W`g!S zQ!F^{CIql5V|6zYXIH7I2=r%fQ28OO@=4|Z?3XbHOE4V643RBCfy

  • $^Z2*=5KI<@~zZkS+peY9IMBl1U}oWlxo#K=*2Qb<)1@+b~fC6Wl><@WFDB z&RM36mr7BTV#pF#(Z328!0-%62X+*1BeO6|m)n!!Njo`bDV1mGJkm;|7a1-}~_qwxmdie#oh) z4s|1*{vP@b`XoTbNcHHmzQL0JC`A_Q2^Eqgaa3<6?a zj)_yoNsP`!H6bisie^472+%mpNq&JQUd54#JGogGQ!*pd7Na#VuK@`1{_{$C^$=tN)il| zlbJy5D*;XDHX7{;ViU^f_D~C|LpVV)R>a|Cf^fMP(FsO@ZZcls0#!60I>~`aRN9f% zp9zm0rD4|wdLBtWAP>x6(K4p=yR3?5|0>#>Nl4TR25s^Dj(;mcW%o7Tqu>qmu zU@Gx6#{#5PHy--o+PC`I>K`eE6rY=wOH=aB@aqG$Ikxu%g)7K!+n*K&+2!kN^ zE7vsGYLR#AzOh|;>Kod2zV6(Z?q8AGm*4N8b`m@MUtOM#%sIP1Fg0;MyzfO4d7}=g z)~TRrC;h%}#|!?gm80k2qvw%5ynUmyrJetaDOmkjTk*AbKIR75TU9w}mB*1s0~_a+ z>l+`m(%qY>aqgPQYJ=AwJYNV+yYBzOWWB#{^i1h!iG2=5jXRX{n|0?O-0{zOqgdEA zD9@yw)gj%wIaFVCJ*u^0WMtecjHgIyBlSFnBoz zbJF&Nd$r+S(llk7O0DZB-}*~)WX&G`nyf#plwSCB4kT~Zo!L`3Kqk{u>EK{2SZAet zrd>MMv=EC}A@3?U<3_K&7rAkE%oMbyoO=^qANm_-rM&pqq;AuH?)@e& zvHqDKmF><)VA`2{_~^EwmpitfmBt9<<* zv3*kfjmaFUq+UpQ!Ji+v)LMePoJ;Q8axkr=lkecWP&&Z&k*)7f%M+i>Bm0R1A^Tlt z3kpEx4!(x4P}jMSikr9mqbet*QYnD+@IC}>UBL%bw4jA*q+*5V8jwdsLzC5T6tUWN zcAgSo=OW7aR?0ul{?la5=sj1|O>+oY-PY(5a&~iT(Cy`TNET$k{=tjtfpN`ToOnWqyGv zav|_0aOC_pU$F)P2u>okhc8?}Sf1DHp|A3L3a`@q6ungZ z8&&t52)cD_ZMtr&f}HodCi-?pXGWVahTx11eN{YuqOX&B@{tGRjfz~G-fk<>hQF@- z{&mei>3_cq<+3vak90i(A9cR-ayLV^BjW=be0P1;N|LGZy#VtMZjk3}XRjct;8oin z_$#_=RD1ED9O|N3#}Eu9oyR(1=Ts-(&W}^$#3V`fjqKFrE7_^@P=34~K{@Mh z;V+@_WfxzvT3}yCiZv*&t{k3^I*QO0=r>xsz^ZaTn0>Iu*3Hj*t+5TyQdR?cLIt5X z-^ZP4QJ(KT|IqgDO(kfGAOqHA3habXY`EkfpB`9M^2r4&px#ERl&a*)$eA;A{+cY9 zwQZoaZs0?@E7FQ61dAzfu0g65pTFa&k{>w<=)pGjzB@`~GxE)il_W+qcy(2MU~3 zW5j8QK%~+s(DV5GgQ=AIsjj7b8~fIu*JOB`Bf0x0N6Y`71~WIG-3C%d_#w!L)7O`A zLq1c1?u$8Lm9831a;MKDd3AH-VNjjn2iN`6k5*b*V)8E2E6rIkcpr@>D^NGy%!%E% z_Eyus{AdATCWvNBeQqm2I1+oH$=stK098Xwy`$61Rq|E+s1n&WK&6CsdE7!}Ro%24(k>s>B-YF|VaPYJY*zK#+~K^(ST?P!wr}PIXoGP71C^P#l-S;KT0zW<)+TpzDM;KsW#6`cs|8= zK4lTTp$!#_XfSq(60b*J(WdrbHqC&3{_CCJD7{dRzGE1VTA;!JM4J3Ro7&8vithjV zZP)WC&+zU~3H_;rsv4XcwWz^(lo^Tkt5I7i@wac@x}^W%f&X>4;c`L)dw8?5+@DDY z5Qim@z^P$II2rokG6*Ab74Rp}kXjRtMMhBcSQxjA1bl!AkeT)hnQRt`CBzhsMat+n zDc1n0xO`O128AX_N%1LsAFXiw5mlaOW+Ee~E=#O%WAStnIVNBW==fvYGB--RF2Ygu zIF)7Bpkz%|D&rBPfS$$G2HBoVQfl5KhuWAT&0bMtP?{%3N%CMnO2+4DVERVnK+etxgaV{v*+&7y%3=ZS~<`3uEMW*&_f z3?=N(zXQyBXSjHo1RBHUU-Cac`{ORp%;8P*hcPVC9+=#4-MH)Y@tZXpjrD{5Guo4R zC^UJ4D=w%^c?Zs(KB0ZN@$mh}uN$qW{WmSo(I<<;Gr?EKx-CVwF24F|fn~#Wx7D&C zR&PxT&N$B7gEJefL*Z*dG?8;H`0B-1VL@K~^>9JEefC+a#XeCHGoCXPjG@@*{ORys zaC5_l!B-FbeuI7Hz|p+fD+LF_`|K0Xes8pH;clX=sP5BmG}PSO@Yn6a-pVVTGr`~6 z&&4m!3O)y_L`K9hhXekYnY4GZ#>4`uy;SZVK?_P z$4u~B;F}GeBo16Zp7XMG!}v{$l^mKIJ4_DUx|p72+-u*>J#Rq`ih?(WPR>sk?Fzn% z-iBIZmW`d;(VO(_%wydabg_LKP>aXVRL@CU@OtjEze~4Yyt%=u3(t(7B*Q)WF_*tD)~oyG8}*+&Gj#nytM?5Ao!5KKvawLSwqfIg z;?K9w_CIj(_x8v3*^zY6tWEt!`=L4eUx^@^J6h3?oc0xO>;-$bPxa3n_n|?GN4^OxP@)(~F{x``JM_6X=F@&4^Oi zXCLd`M>x9eBnsdH!72LYd|(pdP?KO6(s}veZP3s=g@WI!G&)!@&jB32K;9xcsA{?^ zoCQx9vQR{N0-z9otQfVZpKp;n2tU`o4Q(hCgs(G)DbNhAhYa#fZK8kX7^C*3j8RS$uc9o$F!CE$qUd-K&P9?z^0*I87>rR<^!#f!TtLA2 zK-W76Z-FO~+d;dsd_(0hk49dJu^S*E=1}Ey&cRBm+@4C62M&N{XKgafGj>^$gIypQ zAy7u&6hA>Ipwz^%-^?FllVMh{+d?3Ip$>)oC>Wfxd_t8IzoDv)Z=iz)TQjf`4Zda=nmphoHMsFs2iF;pAFpzL>~$>?~!vKY?i-Lp_Zl`m$M2bDN`94NIO zrH>IT-yxgPwc^Z}D;HH}c+fYM7Q_o?3{|fottu3n1r_UYqEcIkF=+q1u2h@j&1k8S zcnyzAQjq41hp0JPJOe35%)zmqI1i1=?95mn>k&~2kOrvnHWFn(Fe+r<7*uY6^8ROX ze{t&XOVZKR=C@_+5TRns@h`gc=x=R`TeF11z$C!p(6odZc@GIN0l3Ym&JduCHVoAv zLX{cSnM8<6#q8ja&L-}G0-?c%AzJ5!)w2oWGN7P>jwO@|Xw;+`ETrgo0(exO`Ft?; zMFC@23^SP_C-6Pyc$W90k_Cv2wA(`Q>N1ePE;B}H0XIUlbV0xmaMWA0Ad3cb$hZbw zIm)5;B7zqPa}l~9k_V&;orx7`Arw>;Nl=nUO8i^(uy4V)cY26n7f4g6cxp2Qx4CbnL?*C28&3_arY*J%AO#c;b3d&oTX+fOAm~G0SQgRJj1up$`4s+f z#ylvqQ@9kD3%61}Wt=`G(12W`6mP{jd%^tUpytXNrK88RCrBsDLH5S_BWQqXFvK;L_dDSd+vTw>Cxy$um5|S-=i{P|Gyg= z@MybZyZ=Ai-SNbbhkyY6Kek&h{WJHeMCI)tcSUrl9Ja3%UXo1~=V-fxCQ83%8ha0P zQy{yxMH%Gcq%L5-&6QAr3h{Sn>4709wS<~%J!<|eH)9)b6r9`ayr8F#RvF)799d*u zVAQB3|HRFOyBtaN6o|GEJzb1u?4fIwK$~1^fwugf^0KqEaKSc^mi^y`$Tz%l1kdG0 zQBhqq4P^M314co+i~#XfxvcEUd?3UrsVjZImXFJFc44u(hg;9kJrp>^*gU8bGGbX# zhX$f16Ra`IVq^K1E~ZP!suEUFInEr}LWAoiG^$_16Q~-b5l0?2Le?|bKI8|ayeO^E z0v7Nkc(ka(TPKNYCnz#wh{%AlVCOSLF$~N_7RtnS6SZ4byZE46KP4s~0GX2G7nHV# zg_2xR=f;yc{X)uK(E8@>D{KF`?Z{oJE*6Pfg#W&AnPU_c!{m!XC!*{$wp_jrWi!za z@eHmPsU-@TYs+<0AkxLPwV%o*7b~>0hE8=tE=A$7KJH^)3Y7E>w6=-*KB$8fTms=K zIHiq?mJ*~BJwP?Jt?Y~0fNT)h3&iy3xEye6;@{%zEfDEbu$loX>mj9)*j&cQev!Y2 z6S~+kH#1r1BD>@R(hQ>msQQJh7Agrz|B!i-=87tc$@na$SRdweTpKsZ2(@$#MP|}( zk$7~|PnaZ`!xodKjNXV$5_x!+kZV~)p^DdGJM}PH_vk^Qf`BxpD-<&N0=}{+WMOxs zLe!xEXG;P!(ieDo%Eyn3O>zwS?_+GTm_w}P+kl`jDj zYid~XUPpE&O>lLa2$=^IIZ~P{Ez3n~5EXjrHZ|%NA_Y0`8>6$Z1P3a}69Sn6Cs59m z5~rSS=*{GXMZnB2aLDA>*Je{T#*>-9(^Wyo5}g}e-_1#>`BcvRn>5fGw! zjJ0tdr8cbLi#;xV8HNpLlrhoPC%wY)0^7tC$x;W9^dd*v51?wg+H#o{aj%RC>{BE9 z;;lTI$#!$(uXPN)g`;WQ!(gFpqR>HE@OXXX-gpgUmJ$`h0!S8mXpBqXeByzU$B|gv zTpNXI_&xC+%nGs?(qS_8)`)>*5zb%A{_E z@H?h#0V_rCRgd0?D0);(aPz}c0i zv?SbISO!!KX{JdaZ*CctYRyg2L|U;YD+*q%UF8tX&P-CGo!M-yR@#S0Br%OC^wPYE z)Fr6}56e&C_7?GZPUIQ2Eq7dQr0sT_EjU~6CQbDx38Rqn|^3uX~W}$-oArC4oE?Y9d$Qi0K znw_LDV$=XH2`afo_Mt*W260&G$OdTvDcQ_u6$b*2A*TgfC`cHy1jM6MdsQ;47i9uM zVwRCYB5lUvGWmFOP^zxg7nxB?biGDp5tmSCg%18bnM13;sPqwv@+dCOBOPT?AH?Gv zzr#dg0lkZsDtq$j7mpGew9=I(tRWb2v8+DQ&S1;OHd5LpRX=uq-iS(fzN4TQWjP>? zfFlPGTYFoBzXkM>Niin#=L#cs)9TwV^*y zp*FWa&%xK;T=Va!721|iU(nd>V=H`!0J>6$y;ImMU@@hv5WCI1te6uiIAiqO1JV_M z#i}Z4Pa?o)qxuEW8Qi?wnH<$GYTrR)U(j<$^$UGtl(f7g)u&ISN+D*lzCBaM+x%!3VI>dpv)G%xLiOBmtisT4?vSB(6K7>V%;oF>G#lU z%u7utoSH?>eOaUA}~%vtQmDr(Tvk`P`?OuPtnZM=~Vz*V?~R= zeL?R>w|z9CS?)}4cVdp`XwQ827=1J9o|I5HS9)*avmf93^S^v|!hA=RSiBhxt$7ma z4iLz1#?%@Ct>}RY@YiB04})_UkP+etD_n!oSRAPz@YppA=SK)WkCw`e#d|=!N3B=W zdQ62;DUXW-+DG-yHzT{D?yXibJc7-eFlJ zH5zE>UzNl!s&9M$Dnjtg-q^0vCV0L~4EI<+pdhSRFZ;GON z@5Va=K$yXiib#%f`sPd|A@E-kdJGMKMeylDPXazys!xDuB>Zk3|Kb9pBHqD2>S+QE z3U&jpLBmHVz^kxGT2!AXJA2++cn5!GuwA|f_c^gS{vir`sjIYDbE#wy@`;=>RERY( zH_=@wv&@eJV6bYO#)c67g1P5Bz~x0uQWSf$9gXTebn{Ux)aBG9mKHiTEkMH?Escfn zUo_vjaiiO;pkg?t7aOOWe;nP5=Fx{sg?acV@4$~bx1?_FD62>CL;V$e*on=^aLvwDCuz>ga`s)8uuyR)gx zEW%rV2Q73d4Xq+kxcFZiI>`PXS3=O=U1;_0x#&Wk|NI+t8z^Rs*8}XvAO85=+FOAm zcQ2B#TKh$xFq$sZ9yl(I;w4JuK%`ZAT$;@<0B{T*^b;?=_i@K?7oSX?E43aQA7l0h z?47{cJ9d>BerW$8-<)U;r!#3|MQKg$DXEUFYQ1e$_%6A{UA#GEZoOA{#e839ZGB(g zxKuOBXRyw*hGFMWQ8V{2lfv$6`dh8*9FtyQ`lvt{U`%ycRhw7%>Vhf9_d8~aQO!12 z4E1Pa5aQ1F67b!TQ$&%)^*l8yYPjAy7w-KS8_QUpDmm+L{qd> zFrV$ht)-?+lPx*-ORxbkJkeKc4*`QPQzuouv!6FEg^WtRl*^=cs&6INJRH%M0(WIq>3#L*K~s#+Vm_=Y=POJp&Rw;~<9w`E1n!oMzO15j z{MXNKNnEk&M?fdq+?$E%BI$xW&}A>?bWBl8p$%kGOIgoU-V_jPtBAU7!cozQ>p{DL zN$5==C*|lWrZ1b{S}U{X6ieQ6wo}T&b4f2I*?`UI8D`LRGA5HoDwHXPL%tcTHH^i5 zB%A2U?PbAUE=8nS zstIj&&xc8QtRYuGYbq9<~9xJO@uh!vzQChfERo}X$Di$M6UD5 zDM6B?Ti+edawaDKXDCW24!_i_$QRn!oXaF*%NCR=cA>~p;p!A|1v}ztTNcXbOVS1e zfN!BpEu^KeT$G^H8ZBWHtDu3v93@ONyR7hoKK8K>anEBM!@_*#6?R1L+=iEA^OUKQ zEMph;h013$$y2V{eA)mC1@j_y7YRYAwWuAax47!M1bqX^ZD$A_z67UL4Q8~8NN*Vv zEu_8K&fL6lGn$J=G=(iBtko|h0hv%jseG*6D?1@t>#fk|P7qPF=G?@} z&P|wnN($7PT@p#)Nzh)wJPU-rm*@q26XjezJq4*o#@)g$W_niD z0lg3^vd}TK)+b2Ay;L9n1zD_c9#H}-n&p{){h#S;uho0*j?ehBY!Sm#+6-y2jEV+B zW(;vao&=ef`gq(NDxs-md=+#eFDlBQl9dESTmV%{jXi~oK%#=O>%?B#NSi9cU<_iD zK_Fo+f~A=jp^2=c34IH$5>wDh9L0JvAZUWJKHg8OIWJI}GEX^qLg>{Gn0eliD4_IM zL8QbaQdq6;^Kh^h=t5ep-mv|GpeK9ui*sen@iFP(^(br+F%`Z>j3=~`dKHx-xb@=KWW*>g5#l{#KCBrJ z{BPpkJ}!>yJR6=}772Zsone3jLeidLSj_@L2Ctp9<0dnQVHp-A1xy^QII&q{XZ_J6 zQb=CeanqUMu#6Cr48{&glP2J`gWV(!vYe=Wo<1>a>|i$^m7=;zn>Ilud6bXmX@zAM zJ5Ksuv!Jx;@BRPX-%qgG9d^&$=RWtj?vHcbTI2Y1_|2busr~uVb3^OPwqFKUC{Fb) zI=iL%-RGaGBWEg>sRYv6Mxt&}Pn?%rPpXt{kaU=I&dMuRQ^g)H>jrCFny!q>SxVJF zo7p&X7xxhKJ1ix#G&T`F(lHYmBuCh!eVL7!^!k0qag|k@lG{JZ-*wDuCqoacH0?GW zocqh0My>X8W0VeR>?>^dEm>B#p?LryClKVmstL_mPv~j#q#9>NYPTlat?jhZ!0B8& zcw9Sb7E2+C#MD4N&$?k4n?w{-o$^+*u(WHhOGMwCsjM ziX7>+^DhWfhD%>k1M_CI%^GC+tTh>Z^@^kClG4M2A$GH@20uk_F{{)|*A+|O)G~Yj ze%IThfBW0xFRV##Ym_gZ(F1ykdu>U0PBjrdJO_>vO%=YIAK_5Tut4&j!_@&7)J??L zVKUW=7@jc`lT>%JA}AyfadX;z6r^b(R?{YM=@e&>teB*33;S~SamJ}8S;;oR>G4Fz z4t$vkH1AL~GGPmekr(A`g(YoL2Ev=IfWAqaajO)7+DWrJiv8FC@1scO@*&d^@Hf~wi(hF~pKUr7T z-Ck}eW$gArsuzs~?Q`Uu@=4_u+d0g-Ys~Y>ext2xkR-z5^@`o1q>|etbyPC9Y8#n@ zD)9XK7P{MqqH>{mNvIB?nNn|(+Hhu*Rol2+6@;; zMPhS9`wu>NrSwqqy5#tN~BEdmW9NiBsfLE7jw5%BT1M1-`i z@}E-C+o)m6T&{EDMx^D~RD)*A?i?}8LiPlBUHRW? zie<@LUAkFbR{G#}lMz+7a^`{>=EXB`WejtEpE%~uOEp@beYRfc2EQURBC3{=hnW-5 ziI|y^ImnA<*_ShFofxmxgtOvIQrWky%T~rEn=qnNHdIwAvz#06KJ--ai{70bL1MDMJYAO4}w`BgGRvjwOeukCFizL2@VTZt|u9QN#!+&2beB zt}67^gSAZvL^O1ekeM!23juF?pFPkhPup=j#Pt$S7g}^}XjuvN*@8ges47A+v(oxz zHSnS#lz`WBlu$`#MN}@q)*Bj96-EW{grhhjA|TxX8Gt)9G^{y2rW;Xb>%l)Rt$IROdmJV)L0uRnd2$<>8f7XqdO=;?>Ma;~6+Eah5=S5@*rvt)MP5n@ zML4Fzwpt*8L6i!Y3KkWn9|_WwC?taOl9_z57i^f9IhW)^2h$ zlC25j3mWU8+^i^@aQSA>C7hlY)(sN#j^?0^;$ej+KO>EU>z{y(DfDQ0yy2vz5 zrG%IFHrv9&F^xxr&@I}yCR_TA?vN^lR7RVqPIG^Qo0ajD4&@a z>?q=vz>1g^(j955Wv2WvUXj~c+v~_!E@8{TDYpJdZcL=(9tD}~F%uiOarBK}zV}yk zrQiI=H4zBCHYh6fl1|AwLAb2(lq3XgFqi7RdI%Za$TXpjj%#a?y5vgHeAIQtEuzxE zB&sKr7l5_y43;rZnnc1x7`8TZ*I3E(JMWPV>O^|R#$B&B3^tMw{5EQ6p%;c`9LKfv zpb|EN?Pf}w)LcENM_bzHA+4l_A${UGt~@6rakHUTc1j4A1)?o>3y;jI>8kZzKH#0<;gq#e(suEe~KHy zBSLYb_uv?pw}+3N^HbN`7uhukS2$UQ z5A@9x2`=aY;9!GPt@-0Ju!f7B7cu#^6Bq`lvWpSLb>*PsS7#}8m>Dr9TrBV9kzgZG zB-v#85kFcXSa1CB2hqyX=U(+c29FZ4%MqqU4s)sHJmmZic}9_ViO20`eX~_v^Zp_A zh?*A_Lu!iahvl4_tdhWuct|_!lKzJiMOr3I{Cc>vkkJ-LvxPXbCzRImP^%`iR1<BG`es_(CP;t_J@IcpdaW?yf^eIn>3HfAvnT0Te$Ay;f<_ubNbVFBn_<)J#^2$v zq;2>G8DXi=;z^m-<&ffOQL4aS9g{p&D>i!~TX~?DJNq4ds*Q<(h;iKuk^`ntk4zI= zKwX`eac%PfO{a)Q_dbK(B7y`O?4alAppwTo$!escUU zU5-UB_8ykrbAUWhYP~Dhl3lpIQyIRzB%&TkY)7e8!?3CTwK2q()Mat(x)US2#Ob@s(far(g7}AJ+UjGZ4*A{_*tU-qbC(q-Lj&j;`X^;~&c(o4%N7 z?3((;p5BpNb;>(D)i_x8(tYGg#c=Z2{BZWyx6i8YJt(gpgB?#dC>7Q(ysuXpeAjH( z-?@*Kjm@&*bASF>Z@B$@a&}}9Ib`Jv$B?OS)6}0oer5S-i7p(H$0YLD{xWsH^2E&L z-lIIeui^*dRQJ1{-sc*X^E)!{mBhg>JlK@{b=@1s=4)D}ce^QGKd4-Op4`#SFH1kn zutV0xqf7T*8R)7YiR#8>w@8|r+Ue~0sJDad>>oM$V$VwEk;}ZDv>P9FWAwHrZKw4C z>4SDyB_`uY&EokOD8YX-e4y7n)4wwHI6ZsjfA|Y1dm+BrnLBgF`(^sA{_lV9JF|Zy9xFSc{tx$rv}=?cxfFe(U2G^n z5dWon(YZqYLP@EoGlO2wcidFR;b-3dP*fftP5g`5-E_l=3;S48>@Ib7=&e1ITozD7N`>=)c3L^ob;y1FrdP|ZxX*d3UA#T# zWbd1;>>U+9@a67$X-Uf5kn+B;^H!XMnttlF+J|bFS`L}%eINXRnt1riFG%TMdFNwS zwB1Lvzq)-j>eBDLc!PSSqf;9-4oS=A8S`}R^u;Ta-zL3pzUo?4O6HXL5lgJ+Eiw7Z z*wbq6c+0Stao#=TRJ=k4hNi{7&(h=COC1X*s(1DO%}Xbv{GQ6ux`m31o_SEO&s4Q^ zZ?A3rd^Xc1|EyPu@Bi`G4f2&ApZ&m7pX)v6U6<6}m>F(dZL4k~7q7pqjr}o?B|gdL z@)OFg)Wm=M$v58k)w-SBc3UTE^t1BP`R{M<7_t_!^2K*e$9J4RV0P!fRFivom(e{Z zU+I`QaZ??c|FqnGEGx2Q@r`{q)~wuGPUfoJmV?GUqe@R}?$Ax+S$UbiZj@DjzEj!V zGxAvHp2qisx~HM>(-kM23Nif|@fYRiv>$eUWv_Am@P$k2Ve__M_OBf2lpD$;_dfIC zf9yOZy)uxBVmh&!u;z)#K{5A3RAQRDs+R70Yi6Hya-#m} z%G)ZflUechS~Gj7<*X|Yd}e${&7S(Fm;YXU@mX(f@j&*AFYWJ0HqAA@nC)7;1MQyT zCp^~mqc`pg|J{ub$j1iu%CiH*e1&K4y0PvHL+8G5NLtbsJ9bQDZg}I#=$tfutNb#EaEzW$ zvlDfb-#Pl%bpN5Qt_MGNc%rwl?m^?eGVghfo>Eu5cdWM-zxC~(u6$Fh|`^ecxezm>trF z5A$1gOV3CTEwmo0{~0@Sez8*ex*Q$uZjX2o4!)trvB2Ij@Cb9zIv1_*I&tX zx#{PkR*BESov8s)6TPfJxPAV>K{>V0n?0jxbFI} z`Y`>7Re4luPmW|N$E3E@r<@~>+8fm}S>AGk)|hE$-;8OQHY@#kHDe}Y@kmR>)9Oa{ z_|bH4J9~68{rF1_o?T`M2?>G{KJ7EnMoBFnUVbuMK8L!Wt(2NmvlO5`Grh(}Z}c!R7c z3A5f^BD|O=&Lw%O(Oig>yvR3?p49|nAj&tx#nh6*8FdMy_d@(`GL456>N79|@okChpRp$%@v+~!L zw7ElRJ7gGCEyH=%W;wo~CBZB3jLrG5-dpQRJkQe)IJU*Oz|l zDrgY`b|4Hk7T;c(|`q*1T!n?%L@nS&7>v%`?uSKf4a5f--|BU%j zi=csLo+<#p2k;DS3a9}r9`F;UI4ELs?*GKw0xx_W{76gSd=}T1&~V5WHaU3D74WRY z24Zvh>d$wb{fE*&dK@qN4`~jSs(B(sj<8jRRukPPa6;4D0>Y_tWZK@yaURa^6tPUx z=wijODCf8k-_0s;%vQjQ6>Nbb9*wFHLux@)GS|>yq=Ml9NB1$(MZ0bAD1dQ|yI~yh zBph($9O?G-BPp4?8^E*mQf65kcQlHuyy|a z9vvL%8Kjnqu0H?$7UPj?AdG=VCT|1fnWc)g_|&+6hyHONcw~@VIVrvF`GCIi|D7G5F8^Nxv+dR2RvIW3iA%1%>F(&C!se%@`*@z>-d>1n4DZYlO- zlPuRR?h!rAS|a{#Vu3AW;Jw7yz)*a0$vEUK&;yi6m%Wr0*QDr}b%lk~JICs3mMP|A zOU=H1qaNp*Qu3#$MOTyJiL_itin8Yt81V-FoIBX;5Bb{V<^d6H%qTG~dzNxQ}zpXgo}`u~5w{(Jx4AUtWku-f6G z!()a!R48mJEYqMZ`0rv}`wj)(W%%XzWlV5?1iTxv@u!7%{UwigT_F&`J8*JvL8!2Z z;q(Z2*Tk>FyTJ(FL0byH=mmj9-?kLq^^2Ldw0)-beZTwXJMju00X<#qDFFj%L;J2U znXQsTksx!1r-WkEyCgcaWg5~GhN~-Tniz<%F+rNPTOx>O2Fv(XG$u&Q+r_9LLYq>Z zd564^uEq?;W))o{jt66+okNM)s2ngnxs8N$OU{Sq>s?fq5w9CHjjFJj%tqCab&Tkc z%eGqRu@`7(;W@Ao328&$LhkenY~_*f5!lET;T>uV6578@RwWyDFkh99tX(*{$fX)PCuN$!OZCM$$u6V;rw5gTSf+|T<*4)=&WMle8plLX&pc>F$XVsUXN~09hLnXvWgQpJmcZO1)28h ze5J-*Yj$D6gU*;MV{pM%&^4uT5<>1%M8*{w);5^*oD{@NNp>*GHsHhtw53lO;=ynr zYLzxuz4;gV?_Tq_UpzL+W_qU|eDH(jcZK6nf{OidLUpFfI*E?Ji+))3KiUwUhzxoGm5z4#I^ZUYJar(3DKKOPP9{!j2O?M$8ktnW z>NeN#s==zIVB74rq5V0lj6w`%Me~1(foTIVFVse;8CTum1RJ+tup`q$wcS_sAQZz9 z6BK4zQo(XM8|#us$EIAa1~gtdYyKp2OVU^S?;$~aEh=mm8M>a0(haI#bh?6$1E zw}1FI-~9NItD#XxS*G%UdK4)I(OS0wiXHTAz&2(J2{gFrl~N?&?xc})l_^o}oQ#t+ z)6S5x4Yhs(^+&UfLeUH%PxO$DgV8*)Ld1xm<;xYO#8IC&9ToyZOvU@&JXU@4PF_d0 zazlj{g*TfU*cM_9lYr=A$>c~4Ux*ZYqhWdmZ zceg7vN^?W3cG^i&GjHM)@{qDArWUC_=LeEf=fom3w_y{$t=NL5zRF(eNCA8Li*z^| z+|45zj@G&%Gs>r5@{L6oag=~B9HC#*LE9qa^Z~7&EWV6jd-2ns2)0fsj3Mnb=zjK=HTS= zCXkYC9%Re zPMAAgDJpHW7DTC>oX#_0%M`vd6gDRvWtd2a{%dHSDI2y(;z}FI;k4B%)2FLlW0TVX z6{2*J=>nwCMwm&~gycCoRH%cJ$zdae^#pNr@m#fL4qCo#VFMI)mt55&J?`rfQ=mvl z)P!ITacYdIA=(B_N+5X(ReC_5h}%4_Y$qKmGY3>oqMTO=rlt8tuY{`l@9w+fqh@L1 z$htO-vnc(MTo8~eK|s^P-Wc?WLPTrobPBcQHl03!DT9n=c$r08u6NVb7Ay{ySU$f;^AuGxx^1xTYaaH& zG{^}t8F9N`VPqbglV(eAAzQBG%89z!g>?!NPi*jB053e>?BZOEp4>pL;nWU=p-8aI z^P0UC>JHr#=2*aR$9T7+GBJ`5v)NkaTw<`yFA+YVwBlUj^r(vfGO!62j3gB&;7S12 zw)p6Tv>Dr2gf29Yfi4D+q?efHu!7A;-pbB!5xW-YQcEm5CjuA=*iJ0o9bsdb5IILq zsFBO0L(8C_S$YRIv4n+LG$<{7?%VIw{Oz0a->wNHU^TIw&IpqtdAS;OM!rz^coK)< zr(W1X%yKVRMN1j^Tr10MCsvetrurOr2Q99sn-@2u>-MZh8>UPNYZ)iufILPCnXPsm z^^E71&8t<6&&p29^AXG?Mx;p1alWUP#c!0%ZQjN^9Vv~RowfiVr)FsCS ziivC0z(f#Ku7V+9F^Qf066?{*jm1#{D5I!-+789D2+))Q*O3ymoMszEucIPwb&@0| z!YyfM@^MC!{MS_OZ)BIOUes!+5E@t_CQ?X&d8YInoDF`eHdMb@(4;O9P!V#p~S(ALYC~_py)u@6F#^S0x9kBu2N=ZqIyS87K$HHouc?2em{ihdKq@v?;xa z_h;#>idTxPtyD^rAx1U)-5~WDny1?UzU2^OPkp|4wBNzGWdmt=iIY?}dzE$(A!-r@Nc z)RfM<#o)Cd)~KNkQr~^X(Dliy3g(%}5p%Ox(T-T(jg)kHO!1LWt_HO;T*`^e_C$0^ z9}((Ar>)lTWl^b+Zn?u6%> zlc8iq*4X%d+Q`m$FseqMXGN~iqm%J9XjJX`N>*fXSB2}KqMn$}?q(v?OsqG~5fya! z?HrcLfTBI29N?ZSEzvw-)sB@&d9DdZPQAon*^g7lgo0^jIHADLn_bv10A|$}-Gy~^ zOV36mrvRBwGv^HT#W!jIGsPq4$u(q*pI5X2*scM)~_j=hhMS=RQIUnOVQ#}fd4@oI(Le1Sl}0>4fLzfhlG(t z=;55P5!9gd#>C@Lx-}>@+eoEB?!Nix$B#r5%F^EyIf4g{VH8md3pPILteLSO zFDFI)+n8q;@gTvXUc*$XOI}27kS6J(z6Egk2B@7URl|}c49`1G!>iaAqu5-_tL?;`0f4S=v!eLuX4HmMnkuFm) zKE$SdsREi!){{Yc!E<`Ur->5ZV`=4J!yDtvz-LM)=~S*q+{}!}*ffCdc5*4H;skRAc24$17#mqGm&n)nF9SdjZp2KAgAor*V^B5;wnkvAl;nL;4GT~vW8%|<=} zNn48Ln?)!`y|^apa|PVCdU)6Qou#)wd{x>2{AYM?1$STwA5c%UR+Bi8ZWql}jrC$@ zLm$90Y;8xmA7&$THp&Y4Z5PG(3Tr~^hO%Pl@x9}`dkAM9NU++?Fp1Z=vr(y(j~QaR zh62dVUMrvBuBqfD-%?7M9duX;)`IUR-4fHRRaUOW(M;S3(g^Sz_!PRGm;(_P!zozA z?u%U!kPeZ&HJydM>`3AmC{SJZQESa$E(47(rVQ7Nj}q6P85ZBee626Yb|J=#(wb{5 zD0B~l=8oam{j9rYICrW#Kf|R36g`#LYGKV!TwC%Ylnt6&!&g|i-`mZ#j@Sk)%mT#o z@?z9-|L(1o|MSS}Q~$Om%uO(@mp-%}@Kn}F z3#K%B^c*QDZ;4&2Oz@MOvJ7j3JE2ISDbqyTe zbI0Y6mVWK^b-k@{m+8~6n{dPpK)KVB9M4k6S%{6HAmIXv6(&7U6v!I&7L5k23$32c zi7I`^YIElm^y@um^fivVN79?LU=3FRr`=!E#Z=2SzKET90wsPK{c{Mv${6Wl2Tr|` zFVKZ1R_(@P@>Cafz^!PpDezQdpj%#l4kc>Oj|X8umQS* z*xlp>xxQ*@7h~f|h9HlEEnGUywke~=+`?7_!435Hp&pBz#`CGx>@_%0FhmituDXWF z`2&VDuEPxCzNg$;1J=&qr?9DDe^{V^hBdrJ_8O_lPbn4~%Oug8na}Q3u}l+s3j{C^ zI3kUgaRU%@C&iR>kNm}#{&~1`{;$O#I$P*5*^FK5Wqe_rsMhr!d=+h z*om*O`;tC3_gMRJ9ED)?lW4nt44#Sg0fLa%)*BSGR~YyxV(#_xTd_ z_Df*5K{53u!<|0C>&QYaMZz&APmcLA1pz@XIVwj1O5=@)$t~k7C@_^trjIHtX&Ei{yIv2!72aKV_UNG-UJdVyV#hF;w`OdO3^3gz z?7{$WXhOe47du%z zkm5sKC1q+40>Rc9K1MG{`!RgX?cvi>!grhs&fu!$lskzwve}r?uS&b1#j$v?mD@!@ z3|6oX@DOL4)LM4gZ$a*=)R(p&?F7+}i!GL({N&AV|J}8RUtPBj;}Fhe0(#c$xU~l> z_I4!mC^dYW%Y6F~+HuqtCcP|QFjCM+2-;76E#`JmiS1ZubC)P|K=*;dR&ip)MQF;C zo4AsyN_1$8jj&`Y>gL3VE6f5)B4`K`(Ls?gHuwnwG*)jYFuk*!$Q^3DmXdfqa)Jx> z#;l5HdO@F$rY{)XS&rsj=n&wW-szFnHPZ{|4I|hFNlowIRY?%fdB3z`B5B za|?@ReZoY{znm;Yq_`&kIUvJFRruTELlieMF~%Zpt3&gi*&?=FIFY2vQ{?zD1x2&#ZCq2cOgtCj+v3!<_6L^Wt>P> zunBQ5SBbUcBM1l_c6Anu#++znXD9>yK%5B6oLSBp;7phh2fT(NI1+u4(}KuP@@Aw2 zFXh2~RpwifS`c=zox~S=0%tKiA1Pqu$~G&~ zE8*PssdnCIYKZ*$qL0Omhb<_&?CxCfO?I1n4UbXD59u69Z=(~w&xmAn!fJAN@&-j} zgQD-0sEcQa!c8y4xg&zC&BW0>xV7ui~r^9ofYG`m5p9AZD323VfT zbdtKY`B>)DS=jDTe|H4&6ZGmlln1uE%1pEwi$hR#La?JRxPIHPo8j11YXkHc0dgK= zrle}%*e=VSqDY0fwP|15ce2X72ukx}@7L6UrJh0_xy;YZkFj1Egr)#-Nq8R%Xk&n3 zSi6Dil(_Ai6+|l7ViL--L=Y8ftAZ6*hsOVl6(8$9`9 zQeRVgoZxHcYf7Kn?n@ppWKHydnMpoSO)io&0koB7cqMnYE7!Zw`+_x>*(J8ZDtT=3 z9LZ$%`O;ry?YzFq7k`a!XQmd!_#S$!D>8e%n<$9CAL92le}k|1zZd@!H2+0*!9XP9 zC309wOO3<_&44rRd14>H<4*E9XVSOoi^qK54phPa zeG=4G3PoLY6Y+w6I8OtvEd5!6p(eW+6ACI#odQ3eyCRU<=-SV zn{vGi2D$>a&dCx(q={;IsfI84uAm!F$dW!wH4zxXL}S$$s9Yw)%e07%A20z;6ApGn z2bxn(Sf~@II;#c|_V7N!DjjDXR$uZ>5%6EZ&~zj8<%5+1vMyJ~O@wC|+-JmRH8dgg zakYbkM{`^vyd{jdQ3s-GcP`Uc!t3DgcBxewH~j1`)Z7MAfl(i0GbT)m)5OG7LCLq^ z)gxA}Qi`yzW_h}S=(%bisUScX#nL0bf@@uVAs@+b?*n=qjNR}8R}Faom+~;}KEfW~XYeNSMP42qhe;VF zfGKbni{0tt1@Gk=*z~9gmj^ zF+n%uC^+127;A`DL2`5)`r8kpe3_+46--C@JjnZuvAp8~X#+6$P}~2*a|W>XQGNtd zFVA?^umKgTTl7^pUA>q|DoCDGyDO}W`Hh!yM9o5pq^oed+qVwG{-)b zVLZLA!g<+;`0?7SMjCmaGh;s9hKO_0oe(j;)z6$4gp9=kDJ$_mkTR65ftZy5Cf$;` zH@lWa#|SPd7mFhZf8;#Aa@qB z_MkX-u^0wyFQQ5Hs)Yz>T$Nq`E=56~o0AQ(kxv3~bA<1UFxUZ(@W0M-ZX_xc!|ENr`2u`q)=p=AF$5=0qagqSuKL0KJZ=w06Hi8GOy&?4q6cU zl(ZvTK;8hwFs%~OQ~9#iC2IJ=JB$sIzs9V9Ni$)qmpo$)di%0R9HtK4;96wZ;~Izx zSbW~ARP=)QQIz-~!C(7B_CMD*+5QBqt9k{;du@0xQ*Knu{T_rsbb&e-lK}9Yq)*rb zSHsXSwDEfKAjy?wFS7K`@htmq^WbMm<`9a8(hvD&ri=PhVL>47{$v?HW&Mu10<&baF^JNC+;%2peNRrpAjVSrXChBw#M|055MA9;r6s7w+SOu``jlQu7 zLWcjJ%K+a0K%&8iAbuDHDvoLMai9z&zP<=x&Q%+-0}yBKKrQU%gjiSxYVnjG!3Geo zag-bC306S?0UnmLzV$`$Uktnn(NDE9e;N4Zy@1036>0@6gQ$^n%)cO;!n_(#cjbFi@t&~)z3)cSe-K=t)A6r`pNIm!#-<31|x_~!? zA^R)G5#@rxhXdM=KmRXt;5$PKaCj}Q$0AS>VL>jYQz-SB(4B(Up(_dHxP{guYVfGK^!e1PWcEkGnPSH9Nzd9KY4y+!sUq89N z22h*k;2hsIJc4>{RB{U_6fCh(u7qdYL?MBIS_l7scnbvuc;tv3()}lRD21OTy0n3X z5K;*g{`oHkB7%m0cu1)Blu#N9E<69fC@aJh)Kr$(0-pIlJ;4d;!d5PT;@3v?C>{>} zmHvJ2j$W`@A{xuN9n!|EO{NhGMnaFKgm}~`0l9|qjX^pA`ZmTu z0*xX>63OF?pDQ#+%#?g%IoU*8kP5-sOqv>qj2ouzqTWdn$Eafkk1104COsHIHIEx| zrJZ#VXWWQ%m!ob2cT*~BbMh1pB#ELL7-Dsc5p%MQIL~NdS+IItbIj#Vj%XE7VM**L z5zAO=is;;&_MRri2td7c@!8G~mG*oFV(}kaRn+u`Yzz$lG4W3bS~`BZDke@9Twx$m z62brR4A;>@O>SVy&`WU4-Ir~N|CF;p1hbC{G1T|s^8%h8h?M9>3{UNlgQtRH`mb7& zgXbaB^-x?WSRAKob^OBja)Fw?_;j-{X@dXo=P#uH_Gf=O{A4M=y6>S4z+8>_nYTeH-}Cl4q&KcSfAVFJ*nj=pmwF~&KluF~^aS_~E=#?Y`Vc+2=e{dX z?)}=mxjWDf;JyRw;9D{DpjxR$JAk(j{^0uDiu~;9Cm&3$-1_b6o|V_Wl>^)T8~3Ae z_B;3QKldd^!*|wczd88#ljxPaGWl%m{wsU-()->R#$}-9PxUAO}*h$=g*zS*YnfAy$_wx-@5lePQ$mRQ}_`}p;y7v7$vT)?!j%P z*qPjK@4w?o?YH+Ia5Quw=s5Ux&rdonTo(ISEcLTJZ+vac;9!?+;(tyE>ju08jHFupGK5PBy+ zoAo;koSR&ZtyW`@ulL;%hs1H^H?NsXZgCFpxX89<(0vznYElfOr z<;mTh2W~}!JE#Pj-Ch5+^RC|-AoU;jeEaU)#s{x0sG3m9q`w>+KTxO6y|gm%EXNM8 znyma82G@F^=jyGYLw^sw{T|3Ox2HFY3QR)Nd+J^9KPR>;XZdL$3-|F5FMz`@Fe zy^l}&#P$1=yB4(1?Q@P#?z{H~G|T5Y(7|%;rNcim&m~sbz6M0S zI~cCW?dA;5+P*F1?Bd0Qpt) zC_A}8KZs9ZJ+JM=CdKC-zrs6f4oDNL`#Z%0!}qR&)Bm&S%E$amq;og@Jhsf|CYN*1 zJvCRc%HB;5|<>*Cx+$z+fF2RG3l@Q&(VnCNAhoa z{xNa)c5KU?E9|{i8WP{VF7fjm5Y!}aA zRJ^V*bL4vzGJlY*$$W25&%^Akn14;(a{oJspYQwGNtwSXL+TIiKWu%vu5{<`*7QRF z6{G;TYn}5sQ2a+gF(Z_E*lX~JE3j3be@X#_EWt2fCHPkYDBZQ!x457Yf!T`)ILi=p z48_x7YfHeG90^ce2&!Q;PocqK-^SuVl*^<*q=*XOkaS@*g&+?bYG0ippm1NKk%N?$)&>Q!kWqm$2x~J!eQhI z-%8zSHzEt%RbkAxQCq$UED^9>aB`qu0FBK0Nv&X5_?#(6X7H(Dl`BEfus3PgimgZx zJ&#>92MEi=zb~9RFLCSz9b1TMD;Ay$8C@vhC|pD-EbP7$iYV3Nx{KkpO$mVru6slg zWK}3h+X3AwiEz2^%l`R@i7@_B5zLI$fg|~Dz;N}Di_O8`ihlcNztQxE4+v`y`5H64 zs2r2^yff;W%l)%mRYYqZOAAG>l6kqJ6(>VpAgn*^)>k;wFPO@Cq&qb>A`WXMly+L~ z!gj^2BXL##9Fs|_wq?wCMbcbOgY>&&Asmih{cbI4u3nUFZ_pufsHIBV;zmh^juMa0 zMosI8D&Hm5YqK>#9_M5}=Xrd3KgZ_1Ax#$S%VbQEH=Bp@sQ5x}W|ZQarJ`q)oM5d$ zb8TL8IFuQw4Ld{=`IM&nz%2X+B_>L!+}{ zwrtK;<z9p}JyU25SOyNKwX>u&I2Luv>(X7x zzVM9)+N>onKje{~J;2~>!;`Nbm!pO=zhrcnhwq(lqGM)aM7mXGeWT{MxG&KYZOnYx zn6c>=qDh}GpCbdwws{(jvIMV>O@|$8zT%m~HJ8O9ccJ~3<7OjTH*ZkIdq)=P_utS& z+MSv{MB9zL;gt3Fh+XVd_f731F?P;6r5$;uxk=m2Y}R(qjMYF-s{Al2dg^*_imXn2 zIX`}KX1V7?w7q#|T-n9?#*dr3y^{-v-aPfb*AIPsP0+$j$BeqUD%U74PL#)sqTjD- zYc}{`*^EY(R5|~_mztWD@VwVWroW#ikIK1Z^=Y<2TkWm=s>v_9ODt1mY_4XnQyM*N z-4@xAi^`4elw}^$Z?M7Bc*vXX^m@ys^WH_a*}Bm8i`lk;QF2Z+j-;2Atb!0uY2dCcdnNG?YcBe2#@6NZO?@(iu(bEwg$n ziR-?sX(9bA`zt!>xigd-3WMLc*jgW$t8`{M580 z&g>E=5)p~IcUy|y>&7Mfutu$(VVSb{%tw+Dt-d$hl4X;tgV7P--0sf`pfFnX1_y(hGvGsC#wyn#Dx z+!n};TX8hAH+lAVzxnBJfA!^cDYc}BaA37R>Y~n9>Ce9q9OX{jo^eq`l`)xKY?a6~ z8{_78nVY2+Yl-wn3`ZfYL8^mKC~^}Yb{#=ja)f>oW@HPzBObhg^gE(@`{-T0dpJh( zx~w*eLaSh$w&KYz;WBD307udj3yKE&&8;yzG?mI-g`@@KDREIUb`n7 zvnEGoNB+o-e1dn^Sx5HLG<&>({jLWMvV^LF$ z(K@F>ked&fCMXWgDJQ0Y{leKN4iBHzynZrj6tiMRmDve3l^L9;4pleJ%zUkXujbB5 z?-KW0>WI;rJa1eXo$PGvD35@#T{{}y7y7b$g=TTTD?g-Sfvf9Bg-R4 zX#?f8&-_0Xyr1k>R5$7#rb@{POL>n~&d3(rs$G8?_FZy6UCaKvKK?x!wE2b2Dzszy+PKu(L(v*x+>5>TH*T~G7X*ElR)gup=z}!LZ@3TPD<=%kn!L^PY{?$4MkcvaV~G7 zs7}!Akm%ha5k&}WXOda=T{#ynQnyM$Ptk)Pkesr-+C^`)c}90NP!#GVRkB0PA|rN| zlbhI)iutj*l)jGgpa#5No#ya1pRZsA0%id9ya$++}LAXxye7 z7MO+OyAJ+Q-y^U8c6|wMF^9}+?r5nqS?9$koXLvjYd-j|C<(i#>A6a8$Q<~7K5{78 zx~v@>mj1oFE+f9$W?Zg#ie+x&EA{s%wVCn=L>NR@5Dh6AgT|pUwRyx*nO)OGvq?JgKC_PX5*MvL9H z_jgj=*Kd3oAel@i&zX7toO3?!Q@govvaBUEyQR92BLX^-2)x5v%}O&_j^}4>|Aa^@ znBmrw?#aT|x%`15s(fsVlej=q6Uqq3RJI{os&+Ht^Q4_}Tao7q9!R5OovrwDS+jEX z0Mp~Cqc`RD*X3#Kd5Sex`{F}0QU?2Ox~rs^oz?Z;oB!Rmf1A5_cgR?zI9DMFK8g-# zT!MbCz%Vq>|4x)rV8mwn3PksZ6ZbJ$qIOC*0;3l?D)MOYNTp%I2-zvCD@n=jVHWbJ z1uf#1@>$ZezJg&}v?JfH>F?!=l6fXZXJHcz&I;iUI-JUIOHm;i@8Aj{l_4wN$*!WI zN{2&DWXr2%C!^GMAn#B?NmWyou6_zHbqCY?F68QBHXl=bIYzvxw~!>7*`J^sq3N4X_eprsG;MPf#Ow z3qM`TK)OC}jxNRXSqet+S*^$(SAq1bkWE2V`Fh-Lt7anY<@^ek$>3v^;ZQs8E_(+q z;$DtyA*rK?8`0QL5ewv11haw=c~M+0qda0h)I?(#N3MmjnQRJoikco;R1x%cFpdUu zK7-gp(<|o-05Fk#Aof;Z@?rv!7^iSUI8?CcH-&1=BzS=v zQQ<%*mLx01IALP2tVQCI4%V32K*CeU*6QU^@EuVpx?IYbFpPuxd&tXS+gI@&0!nf>2qtDhgDGf&DqN-%oz~CgNpdoatZp1> zhj=$d=0b5+M$bEPQHV#!A>L|GQdm>4lWDNBD`n(X=S#pvwYxzIPSy(0gHCb|c?I;T znEHuiK*^9L|MJkSssA~l$9~)vDxWg!NRi*gxa|fy5^9G4*95hp61cMIzKeuw1zpK= zF+^Hqc^NsR8%AXwpNvo6Pk$$MGL9!M2@a_S>H7g#FN)z+IIBn0GLO_M%~iiE!5!(! z&UlY1p=zhd$bSmkP&h-ZQM|9(xG3GJ$VUjfH1ZBpX%qlSN`y1Qd`-h!OeQXZ(g5d5 z4Gq&Qhds-(O=R9&VW+sk&Ct`ti1D6=&P@9~F_@I3^N$gE(tYy7%upp097KwA|LYE5 z>)Wz~hDt`Q6Y?fcRHzH83OE6;BXqDj}_VX?}_8eEUr>GyEiLN6h;!4bTu^r6msgQOVF@3(f+O@I@eVwP>J zoXfpuHmteRr0N5rt%F}g@wDh7G-a7M5s-Nk3Z#SN+vejTSH|p+sd>ka@qKyZ5uP7c z_+)WA6fj%D6oNNHI}L0+^oa=6d)f%p(ZCy+F69hJ-cOqSPx5+IZ9uIJYFt{=EgaIX zwQ94VE+iss2dMNh)z4+9Qkck12DMz^4EqzRqlK{(X`&-tyAf_Esc>Ws+g3`N5ue29 z$#GVdRuO{q6GtXu1Ps)VwyeT3O=Aa1$gi|PmIqwadIZc(t44*GB9^5~6@BoHkUNGJ zX4z!qS=|aw^rg64y&0#bfEm$9iF&!E)Mnyp2gxv4-K4m6bFgpM*(S z8K&c$CGA}=Ej!XW_f)X+oNY%cmhx0rv_kL)J0dYEsG)pAz2(eOYRH2Rn6BEF@~@!| z)@s_!A0TjyF1OG_Dv#;r(|{7q&8z|{+si|Cx=WIYCjZd$c1MLwKC&+ zpwphso2<3O1ZDJ)BH7qU`AxzwP0#Qw*TFk_7!f9YeWnqUEuTtlm4%pAkcseWi3^H( zNQJ1v)mK(5z%>o0skg$KU>=JeTgmDzM!MD$6!MrBkhqUCrI82dR=>#NEzAv6L1FW? zjx36x>^rMTYyl`1%C#HWhK<&*uia1{zVWvH?sb-5IkFaoMK4F3yl+69=VRt66h5*q zeZ<6X_Mr;SrhoM$n$I@WNp9yAVVaZ7ysZ<-<+;2rsn9Hg99fWnwMDljQFW|Ldl}s6 zvF1Teq@r{XYHhf!o5ze9B&C>nVH5Jgq8G8-jz_mdBsLJUdNX=?@F7Sx(T?qsHn6&Wg=OY-Hl+}28E%OKvwl|3;v z0Ea4M=>HGQYeuw<<z6QbKbk{ZU;Q`e%Y_ zlgpT1fnjhiNi_AhF={ubhIp~62E8F)#*-Mgt(Kx4X}pVNBnSxF3Hlx^se&{fr)al9mVQ5R5zmzK2QHCMmdv21v0esx+{i$fK7?*!%=d6Q_7J zDY?V0R7t^Tb_p5MTaUwXRqYW(tlW(y9R$RLdmMTL6D|d1@R3rB@J@Xw}1NeG*&4xWV5BA+=;MU4ue$8d;@RxslzZ zf>fLe@Xo2+KlzW>|Ko*a>%4cr9CU!VBC_i0Fv~$Goi8MSH=`0YNtFs}2vTlpVLCwv z#B`vlD)*>Z&4|GHa5jm90w9z+4K4<333Q8co88%s^caA~qbBef9)}O*$uQlGLqJoO zOe3s7E9opvW1w?(>48x$0uoe|z5ED8MT+d;<0fe!8)KAE&hc&xOJd5k8fhK@y*gk~ zwzEkZHFG@4V#39|nHTifT6dC+72oaZ)tHFyMx(B)P5S5Isrm93q z(~=)VPpI{fQdcJ6MS?QP`6Y%mscOoVQUIkIIX6LJ^8}TT;5k8^&bdg+LiV=_Wu`KB zQiTNWQT_ov#*a6ustF3djaoXzVmdyluvYCZb8F|(R@szXc%h71Ey-@Kl+{4dUI6P* zR8ohQTdLJCl`dKX5>$>$mXl85E|Zo}6$uNW_Ik94Bbj+DB@0j~j>FOX1`aDb4uBe1 zl_tr(FIvBQ;Nfro^_O=V0PDbp;2wwAgI;Z-9qxrBmMmb45h2xrJf!~#QouzD z6rKQz00sc?D~1OhdO;54N<0@KOyDg(0+VdW0ScMa8sO@Hd!CjXG`yl!0lNb5O)ANx z*7JmsuW+ddiOpeUm5E}}8jqADnUYLAgW+1N2um^nW<@Nb)fteFfWueNx-tIdDdBJTwDo{z9WEMXOh)7%9Mj+sNbu^&7OB9^*zt z{X8z7XJD~qoOU6Tq9MzLG$kmDa-q1Iw`d#OBDPq_ZRUk)2n(nc{ZdxX$fYBk+o9w6 z29FYF^^lmggyRDyTNRW_bVEB(e3B1g>U)y({5(cVqGd?ps3qo6YJJGv3<=1^a%V*n zT}WF>&-Ym83!JZ7Gjlw@cqjuk|BGl?%1-A83C$qk-lxUY zF`0r@1eEVq74rg5Dee)Tgr+^7qG#p#iOx(Y)2pD&O8~ML%4)R?nIah&44aQwl7NI0 z0m?N|EC#6(L3aw$FJg+Ygbx{>_`c*Cq1b>vpgAUEYP!?IM1bV1@UmOw7m@Y>iY9f4NeQ1oXC=23V@QBl2epiW$HAUz zH=G7WN-4;s64|UyCsOoOlKWIHuYO}56owQj$TLo6gBo=g(ugr(0mmG1R}#`ua39qs z>CNOew~Fg?m@t7?Gk6gM^LY9R45$G~TGC7g-4Ms2up|owsUdVqy0_7(WR#g8Wn&4a z(x@eqJ)OXkQ9v|tjLqvxs^7QeR<--e73|K&!5{{?pqM%VQ`7|ODHfuSN}pb8qo+k@ z0qbtmtAz@B$dqz?J_BQp+QiKhu|9>=M=29#AAp`jfV`h7Q5$lPqzPCv3;cJALvoUr zCf@bn(8vNtFW~ixnS^33;D88w=mrT+8lqK8sAM@8vSTpL#_HAVT5Sprbx2`YPjb@| z#yU(5CJ@tWQXmk~W-Usrd5_}`FTxg4%d{Mj>}X7~iF&&K;YrjCd^k8Jf=+p!;@3!a zhH%H%j9n~Pw&Bn4d}7N|LG|2}bUfvR76VPMAVL{;R>f-|O@;zQ7{)Zb(WVABvO|N( zDXbndy2T{;v4DIS<3#Aw;H*L>=o&I{n#2q|B53tiIEz{wXk0K4&{Ar1K8Il=X01*I zL11Bo(t~kBow%q-sw^36&}&JYn9rmzdWdmFI>@{fmXS5{d5rN>66eNL2$^K)_i;vT zYLXD*vl1f*FTlC0ELz$Lt;QrOQ>siUIR$%eHa&5x_a1l+wxl%Xqs~AVN2g0o?Dc}y zA!#&Y`~hxBs3sUJ*^A?|9YG7Rsf2IwEh7tsyvj>EcL8YOp`xN+={BJ3x_{w*>Z1=- zHfw`zOrIMc_MR*_=^iSfh338qxvajux&Mv6oxxa|JwvHY?N?TPQB5}~L+hD}aBJs> z#D&@)M)D11((lNUygK$fV;dBndb3TL4Hg^pF??#oQur-Xe@q@ODM`&T*LxwaDI9Ombf{W`L2iyVj5rs>7fYY$GblE1ETqcTnVtGmQ!c_vb+4ga3% zGGq`tMbM74T-v`{_?GudeXAu$5B-Sz6w328*G@RtKUmA2#2Z#g?Zz&qxTq?W%DfK% zitrkNowi>DZ;!OKR_p54rWQ`yld8zhGz_P%yj&b2%nJ=x7vbsQoJ*!suVE4GH7 zrd0BS)mGNyGfZaANOg_A&BHqFjjtX`@5z6M@5Kk2oai86-3!Vd8tOzBl}MFT?^LeQ zO?x)h5z&F&fU6kZTtl(fxa zbZh>(Doa}Rma4pJCh!S&k{D+N19^0Cd_Cp7&_khmK7Vz4@U*m^sILVSS||C)m)tXW zY|`iQ-M4caa>UXVv#m4VRoj?!{$F9jHQUd9)a%V#lzPWvSiI>C z@fKON(WUGEjCgJn)GY7+nv)?Bx@WS2yIT4zps@dD+nGb_iayDmnm}K$YqOu~l%ihl z=T8fD_QR}n1J!F=P~2CmYrfZCB|nHdJB|^vc#rh>gg?^Tusl}T#4IlDcI3$}K4%|u z%Y3g;IPKjFJbwOz##^=rr12NWm7y=RspRdd!|Sg`deaS$)kcqQp4~3C@gJg=i9n8v zEgv(p7uYE=-1fW4V4d^vr&_MmE@W>+f6-852Fd( zdzHC?=Rg1R^+N^E?}JF>BjqKz6`u-^<+?s=xxwuw5Q?8X2eQcZX`bn5fg?S1B(hAK z-8ynLzssPz@Y?0}+$nKlzs}*|wmn0BzO7Ds<>?pk{1=Sz=^e%={Y}+z7ml}k-YQ>- zqg71C$Hc$$L}mZDtnRM(gv-!H(~+y2`%lHu-d7R2x1_>8DYx3BW_CtQ3vKsiHBoaX z>hIsWpz(=2##=;k8-2EgEId$0geJpDdO6c>+m9c`j|k5hE-;~VV#fA6yoO^|q`l0< zJlqV@R(hT+At-;P$5~)`l!ts>Ph9@-kBq@e58V=o4Z3V4L|$yrZabJ38*IV@@8Fw4 z*21ir+)bfNw&9_f+;sT)!O*a_8AX4(`7c@8@*?r3a1JOsPd>9r-knySziGx*br97N zMd{o7t86-ayHvFq9^vE=nI1S|X`%Y60xOxfESW^(%KXD}0RGH%%vo}9VmD5IXGy1a z;{n5n;}Ma~;VJmBO54ll#hBKt3uN5!I07Ni1@b0@D)`1;EepR`DjU1-uFa2xo{SE& z@s*E+3ivmRZc-UX3;4m>n}PJB<%9g~z$X85+c#Auez29h`&^Yaw7tI)N887%a4EZU z95Pn?bAwd`9CFw{JNOiFSj*0IRLNDuVS=4GSYO!ioaKVBo0uAW4z(zWpA{`byBntR zDx7c0mOResm4gp&^~&~#9U&`8mxs{stD-_VsnZg;cqI~0+jBnX6YD(2V~P? zshW_1Qv^BknGuAR;j-f%o}Z-9B$_84Lsp`Qlt!|&&9Hc11v+SIk<2Cgus)EpNN&fY zETE|6V@P&9B0nJ~Q$t%31KJ-5b+{+!R@4@u5a0)K1_GL`sD)HBj8A(dz%4=nh8O__ zWjj)81*M#-!?o@Ray#`Q#Oo?uCaf7(f^0R@#Fpu$W9T_0lwD{8GKmA^i@++^@*@f> z_989QuOR^Gz{1+f#b+s)3wd4GKqZUG>h_Gkj}nUy9lz65YF0{QZL>+NDFye!98Htb zp@aZY=nitAA&UwxrF3*Gf$6x9TGYtq(IV)0X!zSSOT2MZPNG+m4=0J5um<4~~ zHa<-Ajha~;PM;blq283pCUrt$Toa2TZmR??Vv_AQ1>CSG)ao&yin4%Z`w692=SeUh zaZ8J{G~-YsOMu!v1K@7a42we0)Cgo&z`j+nLJy@knxtd^+QtT9*=lB!OjaqIHL)VF zA%V@!fYkE1LBx*@F!$hg?Nc;%-%a~k(Np&srwfh7q5+V>XK#N zRmE2ZqP3QR47z&g2w>};Fh0q;P#tM{(W;Gk<`wZ}6B~7FM^50b6KzGq^6v0F=`lcC zLy}CAk8X{KaUb`TZY4E3F~3O6lMb@IIHfe}&OX^V3W}9WRxcS4>9gcanC;RQ z`C8bs`s)-YaW)Tbw2%R>$PDih?#=PKs3D*{653vE-2&Y;V%Q@Le|e3+#9cbukRy>z zs>7v!mX&w03t*sZCl5X*2E}4BWOC{4E8(FRID+D2Pk58+Q#s-Mxy604i(%%o@b zJwP_*_{CN#%3In}yn-~d%RM|o1fuD3!&cc@8N9^B0#F-NXx~yjlrBN-R~dv@s~@l9 zw62HC{9MF(hS1#?{6uFvgSSq#Qfo`K8Io-B%cV+5b)>IOfTvG3X82GpwGA)i%@br~ z9U|guzhdM2g?qm|a-ua-mP$E(xqI7f>*F_%E<3dL?j?SmRxCp$?Q(S8$9Jzqp??)0YHbj6K$n)Bi(idkWKBn$$I;FVtDZKso^WjWNP}!hMb<=pOe&hV~je| z!`=LsYYpX1TdIa>C!H^?E?LjYvo@tZxAS6AJo6k9(Ft$Rog8LjWuf%(NS^V6Yl6Js zIwF_9Lsk;51M-x>{JJnkmjN*2mWwZ-Eo^@^(Ih($$d@ZRx@Txz^ZsxK=q-CjI@d}rE?<5TS)#SVC2rQSKGow~lC1zcG?c40Gx?tOvt(RL*9T684i0KDzzhCeD!?G7X zxoaI&k!7IAQ-s#kiq=EOEbl@K38|r2){oQ5oXtJXL^qOG3{tt|o|5TnXdZqIpe|kt zb;^;Vz@9DvNcobeWm<6%)y72Xk|3k;M$*sK=UEnKJ;plM6J~0+jh}$3(foLyjC|nk*sN$1-t?$wD73 zicx3^Fh-0d8O^fPmv3Q&09^v!9&qxElS^@NryZYz z+GivK*|TUq7YhvlOZ2|=p$^(1R`DHpSsh!+H)wOV+vXXly<2TokuzJ^VUNq~XbHd+ zD3D9Jh?V#NI5B{2=6s$V5aRvH8$I72$!#hM_}@4;ITS zaTEFq%|gp9WXTC&g95j6y}-5&s?vm6lx^8al1osNAQD8VRvyj^%j;zzc?{-}KoQR% z0#ycnId71SW<=BBHuM~uEGkWwm#YlbL;k`6P}-tJ3^Rp?(ix>m_>}nxB-XsjRb)Be zaG4=j9kgJBL%E=huB6@u@QK2c1r`L~yqGFRm7at7kQQ*Magrsh9`XSY!1+7LSv-qx zEb#{r#SfL>1h)~y?RAt{ki%U(&DRN}yCJh-pGSyL8-%PF>$33B?3TuMq|HIZrN$;h zNV~ye?c&S`*i*+mF`%?T+oNw$JA79y$<`ynRgD{~klgZf+i%VxN`gz3-S4w$!zT zX4Bt-=wbXuZxqdLdgoI8%KiI$&7J>?P8*(PdgV~y^r?DEcut5hv0L9U1*=#7xnkdc zxjr?1hsJ3CB#3*gS8G8Rv}!s&nA^C&;LG06j<#lf@2JCHze>zzR&9RVGdQc%uZUR> zueyF^u(kDie*ARFXQ9m{f0Abm>%A7^obB}tP{UMxv5q`DyZ`asJvZN}9lTikAJp@!eh9r~ z`8$e^_k4M9hIp$0G)%X>b(WtFJYIX{v&Mi3f zC!QafvpjPBH-m;5*W+Isr_c{y9zeH3_0@3qRr%^sdi+owQSkIHlt=1*bI>q6c>cA# zk~4S{8~@--fA0p}uDU5h{Jys=8HaYfoqBriwf{r@{GDG=y`8PUuDyku{&C@-x2R(5 z31><6%<1nQI461VxWAqJ+vdL-+0=*vBUQKPi(INb|10Wz>hGvA?o#k9d07!}UoZ0A z?qs{=p7U@;oL^<{M$uo;b*;(@J5{f1Q-;fx7AE3i7k*#kRmlqeEK==E?zZOQN?8yC8?8u!<%?c`nc1n;V-jI~AU>eh(29M#j~&QU}02TK3+GbAdj1NDIGQ89isQ*73K z-q^J23qf}?ZBX}nVyyvhMQb0a%@kXw<|Lwax?%a0dv7f_!Nh!hB|@aH>ipA6?&$%% zC|Z_%M%$af)J>4_{n2&i^K5J6BWmpn@7*(ZppBY->*m4tvj6Yw51PAuYBWpQYV4A_ zgG$FxlQeP6T2K^SPP($lgT@}5?UH8QHplj7+;ksOY^ zmg=+_sa!7E7QAosxilB1`EZgXt8!bcY}@AtUWK(=+0MH$}M~=R~z}8oL#4rC-|Om z=WAW;-;j76F-u9l$MUH7V$(qCzkDt<6@AfY9piB8nEms$pj{3dSJz$|*&S9gzvlS! z`iqS0uNPoa5fNLjDleWMqR2>HG*giMN_`FA2F=nMc_(+|4_nHzJD->v?EU`18>3Hr zyZo1T%%alAC`G{rJa~lmKvWp(NCrZ=VgkCyqyc>3E?9ZtQBDrQGL+$<7RXD9^&FJB zf#@u-RHUXvSrnWu!%Y~9k~Nt^URIzBVghuYDA2qxl#a`kLW(S@f+;NKs+FH(QE9Dg z^)L`HV#*7%k{N|m>15D#LjIp$A9$k`DKz?t>_%!FwDm@1MaLQoOo}tus|*X71WXd)K_JfvZj_0Q_i~O z6h+|N&9Zc7r8lJCZc;ukyKzGQz5G9S2cRLGfh;K&$sM$ZVfqTQwm&A1K%RZHp%#Gv z*x`|wfV&xAO1LSmg;i@vhulZI^B@NxOIm`gvL;eMyb*v?7qFh z9e_&VOwl^1X+AIDs*sWhj}#RkK+5p|;WaR_Kru5ytwl*j###}W%e%!?W%-k@IOeT>_sZf9T8hr%8?8n_YOJpLt)(zrC%q>*tFmTlf|9vjLbPt2iu-Q~k4IiV~^sQXutZ{&KI8*4}Jz4^djhpU1$`17F| zDb@BOwLNG38sCj0${3aX166PC9%D1ocaXnXbL(1d{x#Y4ueP4E8Nc;~H;i6v-v4>z zLpe}GR=hS7Ms@rfFOu(WSKKcQsy4Z>v)bw7o&c# zZW*w4HJ+pXP6n!5Upayr|79RUENKr$B>i;R`}=*r_MKX;JLl>(Mn}`-$@Q*!d4Fth z8b$st8=9Ff8e1ktwNG<3MbA7XTn4@hS%Km)W3S7uY&w6cgfIS~$)l6A53Js=EedRX z_e@oGk$I{%RQ-zR&i&WQv4B|jC)b7SQzvx~Ez zmJ1GmIy6F_|5dy1Wd9f)wVp@aM!Mc81?u*GCfz<$M7bwJxzAt z&TO(~`C8yW&c&pxlNZS?iFFNmlNef8dcLoooE)krPyaW6jGBwQg;L249`+W@9($kd zIh6wgY~Sv+eb37csm(7^RQz6LYzM2{Dpmg1-uYk5GU`-cN#=oTeEykmL8>ntpY1u7 zQF`v;dhX1``|=F&4qHu3Dz3WnK!NBx`&j1*N6yJ|^mX${s_)HSO8Hgjf^>Obvh-)s z^{Mh*t+jfam&W$X6CN_-A(@1c7Zvp)NcZOV@FE@zF7-6Xf{ zWhU3hKn0s^$(Hjiqd9c>7smdb+s4yxt?eNzq)B;rYy2wND{xBOJbJ3(+?V2cD|g1( z8t%hC(N&I4OAi(KD!-Gw=)7x{<0SbZxhoRz`i7;}*sB>nOTV-D6TCQFVYGUTFgscs zz5nC0#^K1fatRrGCsL=ZMsva5i~sSf2bOJp?XLY|XquZ2PMc%9zc9vM@QqB7e>!la zGk)|8Qno*#`p8`XUNI7 zcSz|KWjXThOR!>(YZGRC?L^MA=RJvAkbw7x@68)={bs$<7jIU^w)FfLbBi1u@2k@7 zAfGXuI)jS#yPi4%hF{N)t}>sySh4oMm?Llp8rN&zGkT;+OL+m;6V7v8&qY4~ZsUi0 z>$F|$Cu}|CyYZRRyRWaHZRL1+_}_ti69M*2WI5SQbs5i5W5z>MN^9?|uRzLq&D@yX zbHMIcO$|M`Yt%mL8nq9f|56@~UX@{DbkS9Hrl@Wj#iU~t{e^L=dNect%Yh@_ghgx8 zpC--u@hf0aN{QP?PsQzHUy{}_YlH6A3Uk=FAw0})|5_<1F7`1c=Z#xeUUuK2M!LB6 z{T7%6)sO`}HT@Z*)qVW+QfJX8R#@8G;QtHJU~kQ6+i>g;Xr{;VuCqikI*U6?K00^v zJIzhqJ$Ed-Xy24=BKQ%w!sDT08vq5y_rWD$$pE1~{!yq&i09>7W^r%mwy@pH83 z+$CILTk_!15Upn|vAi?usdmrG&I+N~HpA8qwpu2b=crk^-B2$xjU_gG2IUxpX8hGE zTaI8G_J1bCfi0AQzv6#e`z@*>-ouyg%b|qrKPL+CJ+@(RyCm2{FFzUwvAF*$+brz% z=ZYh6@cHCXTZ=r4gChJR7|($$#Ma5H3LoZP;AUj?jMi}BY6^CSQbc&Kq@*xxevXs-<~wEBdP23r7R z>c1p37Czcy*aoYYZ_!32W|*=3jC~i~7Oby?N(lMwg1i#ke*{G55D5> zdbA294)8nT5&1w~OK5zc8HfwvdI8!MxD2i6DDh;0+>89q1OA&-fn`1A4Skdse%u0h zp|k0sn^YCv?mvwDz-;t;4a0zgI50TWOR?K?2*3mMGKK%~&azL|{qu$nfMDNQj9z8u zYzpc%SjMwHWf&+6nN=C$qYwo6na79vfAlu6vjCSR#oe}PbWrce23iCgA`Ps+8?9#z z_y?elX7>!LRzNHu8@?0p?_$&}p=37nSIX0`4#IZ<@Kmq2|xiTxK@Zaz)evGbB4eW z$o>gOcHl?aR(~%MV!|*S6!Na+{X{O%{2FH<`T#(`gui9HGtfpgBYXw|N`UE;W(>8| zxFGk{8ETjgaQE1NWEB&WQCSug+L(oT2~_^>CeXp< zE#xd|XHPw89Q_$J)*Kzz-CWycEQAiSkeJM!4&Q#JST6Azzq;|f@#?ev-HtP^i_ABQ z{TT{6T3!2@w!sbaBJ@L_Of+Z zTYzl0H9hzF{ikxuecty+Z^<>pSSy4N&TN%ux}O%-$yDrwbLAGFeb(H4xuf{jTJh@_ zx`^DZGceX|jpviSbxlg#F?N-VKYRX5?O4+n*7~L|)~?h&Acc*G0Y=13==;J)f-e8gDhHkrPGUa4}4V_EOL2+BzPv_*=B^ zR+)2p8et$H$H|!XhVD%HO;T7#p8D@FWa+n1(`_x(T-J_CNV#)+PnVuM)kSh< zxyf?*EIt`^RY{#EUL;2YJzs)r;Up7;`4HUGV?_s;nX^@Le%r_Po$CfTGzWsAIcrVA zs<^Mem+igbE9l%@-kLk-SShXBD^d=a8RewwPGtx_`>^n-|9#;@a4_g5TvgRi3lGV~ zwP4&^x#up!l>S8aH*3Y~+h)KY3VsF{8QQ_HobvqIFIwZa^H;&HJz!T$(eNn%O0^Y7 zPr(eySv0+M<&?bKF0XK$+CS{w6&aRaj2+C4{8^+&^U?KTuqahQTH9f6^unk((^Gfs zlkEB-Tj&z%^V{S{2xyU}jMs#RGPXA`08lMq= zJz&W?2-Z}rEv2J}sBX?fZpmqiO5Z>{iwwV0LY}%-S(f2FBxiU2Vv7%bTk1nIE3UQ7 zto>V4jqX>*9ptvvV9pF!pM(d-Re z9W(3xywg}k{5Q!}T9&R2q6bbi_C(XzubWB})4o}`UXU*txC*yi>A|qT9gw^hIhvY!HiZVj^O_MSKZf5;EKqC|D8o^^L>3~ z*L~YZ4Ztn=-*;}w zLa%eBr#JllHxA8>@BZ!1EvaHG+)#RD0+CzPz`|G(cw0`Pi!G1IO@$Gr2<8^}K}hCx z&&hOMsoSQ9)ks#1;vmF9Es8EN;Cm$0xQB`j0azun3Ob4gS(+u>Zz@m8h5g!A9{d65 zGZN~lOHGzx`F+ngjT4ROVn{!tfPCCW4w4?YQ4+NcUA!`0EcjrC6Di~_MaRht;Ij~g zCkh2(NFUOC)zA+m4ItNt9S^Dw$ilvK6f#}1gma00IfFILifn3Pg&wsMdOE17mDS4` z<&w_87$cI#S+rf5C4Ars5wlJLOVZ!RrptIggs7Er z4R(jz1<$DTcb;~coOgdqr1jm|)Ow5u?+^UJVNiZsMY=JS>BnEykQSgaVJQ;4E9IZR z@)%@cBFM;x>{Q6?OfjVh@IkQ2@GnKuL+U`-O3^DYdVLP>%F6_1{wFuI&mmrYPu_??Oc%)FuBM2@=D+8vmuCTlt z)v{C!Dz=)OP&{P`Yn4+0F|!1U!V=`NA*x?XGg1XJuE;5FXBW_ssnFONmB;EhUjYi(!6!qWLh%3P)QY>r?bU z8c|&#sod}?Xg{zdQ;M9}6Pg&~)ow_P_>r>arjztwBNgN^CnKgPSl0EG?N*^oo>Z!Xz+ZpD6`Q`#n`s zd45@U1P+kcZW^9`8Ng!y0547q!WhKh?B2tN46)dA+v2g3ngq0~hWy*MZw&RrKH#Mt zeRsz48_M!6@V(k?ucPK~FaG|`5=-Ovt_0s}N4**NUSl}G*XoZB4qIk6|7^`$7yoDh z_8OqOt`B~`^j!XqWIK+iTah_@RO;C3`~$){?o)CH-~5af3#hUzqN8-)nEy66rgKw0aC5X*6TS0fVROyx5^K% z0q9y4EWs|u2OlEd%L2mJ?H%=l#_xChG`HP0w#5SQwH=#xDL0FrF$h3F+iaUTTc3sB z7V3eyW&`lrtkheESbjqc&O!7EsB6F9@VW&K^}59ZsI*l7tq%@wAmXcb z?0ejHac=YDy1`%V094w=KjsSmw+BT>Gr?Vntt zI91{gC6=N!Q_20N)#y$M@aAu0e{_E+LlJ zDu9Cmj*y_HSrWM#z^tc)Z($h>@j?iM%I|^C^U9CAgksdUD6vb2e>^Mk;$dp#jGPaz zwxXGBbwB_shlALl@LFE4Uxr;^*}RH+U9bTW)&RRyC!lH%CBSNa2wl&?lCT?I{U>)V zbF2JOD4tg$Ggqi-2u!XI^vcXQObMaIC`&wVt8dufiarWm$(u$nNZWwhHt0o<0#VIu znet*ec_2+2zZ$UGT=w@@Wh-2ii3q9#cWqK zK+yoZ2Iy=u27%3$jrGVjBS34()Uf;>|Ghl|XVPy!*ZHRH zigi8R$x>(av|`i~RE$2x3;?Zu2^w7^Av`6u5+aa5|>6uT3)L(MVC-ozlJWaQuIkZd@ z;0j^trcHbjR+#iKJk5jL?fBv!{uuegvcLcH!-92y*NHyHQnM7DE?PS|@|dEwNb^a1 zeHrcI_%81`raPVLCg0(`)czi%$NO{@{x;cPCDil#oOv`KmrRAy1W)D9YP-F8$P%Zv z*jDE$OQ|j@cc@v86s}&t_6VC87oNfQNIZW+p{Gy_BTp-WQkI?B5IjxhpL9vQiI=HL z36*}%?;T=OUHEKyPZ7O5$g{c3u@$03lzNy+YFuJmz2i8PP?7pG1YEPx6eo%~cR=*= z=y@lSsqODkxT#grt~E8Tw2Su&ar^;Fs)$e}%+!+_Dpqww@eB4$LKp#+b~rTp|(rtR7u?Mo{-D-?7qD!xFM z_O*)~*It^-Y>KSXnp$L(lFxs?eckWTvij`_JHRH(6Ox5$iY!*vL!n>?RQWsRvgMQg z`XQvx4>0=5%D1V`^j0#ND9c4op@gBNNoH|oWk1ijs{Be3e?UQ;nUT(F%IX~iHJP|o zNFP2#>uC;Kpe6|QgI;_i&E@w)3^3NO(x=%;Tu+eVV_BRk zRJSRepJ{ZbtfC|zJ5Z?olta{TJ|y@gqqd1!t03_1>I-sEG>bEI8&lTChAGb76iVuB zaCiz+GAKEZUCchQOK~V%9x1<^Av=T`&P_%#C@!r6+n&zc1ii7ai1hl?v=N>fNyX>0 z^ZadlS(8J^RVdehW-|}-_Z01IUJ6QYv*BE72D#Vhl_P|_$bX+t`~B0sJJ&5+{ZFw3 zmP~EcY$dc_MUt%0v9EzT-Mh(F5VjiI6}Lb(<{|(ksQj>oc!R_kbtTUvp#}{Dom#7S zL~hKGO_M^3ww-VXO-(F@00WX`oM&6i=7Ky|zm4>Er1<;`L5WEobz#v8s02U za!KaSU_EWH>-%Mm+JKN0%xa6Ivqz0U6+`+6t_gZcWxj0nQX6!_Vg_|M{a%Lbqjge> zhq0IE(-JimDPuHTQ&|C)Y$?;+E3jHlbeDUmc@zWMVE)sYfBZuKL%{UoHHKl1em89| zEPLCukfiwCN(-STBuUb!@Q{8j1>J*M?-M*~Gbu(vMTc=xj2{8ojZFFV>P`VCC58h$ zvzCvELB4GZhYwb#nB;UW zh5n@y)XFcF=|lPu#gl@DN}o?LVVcn%-;zra{rcQ~!Ar|RuE5Gku*mHkIIwf*uR z@7-+xbsfKYyR(R+K3-OAk?>r6Dpnp5s+~cBx6rtww~zj+r@VrR*11w?=@@QAzhwTP`wX3IbF|Hg zZr65goGkP`P-18M+~gnR#jK3iFrxdIaGh`H2euN-d?sFsI`~)f zDJNSjDd~^BnMUJJ=4NrBG;8P}B>R`;qc{njjn*z?m?_PW2mL`f0$$=y)}<+xOydYQ zE+<&ieOaZyr}6j8%8Mv!#~z*@#*HR_7ct5m;UzU*aVbRpe|&unc-z#yx9qs7la|I( z)S#qkDk(~$xNbs1S_~^gI#wLTO&S~mH!T|w*JYt&u#~{U$K51Zc9b-28e>@2TLv~R z7{m z{C?Xys?Ml4@PSs*F4LV$adqPhik0v8M$lB;nqXPeQbh03b=z9YpGYXJigvRVL3of zdQ?_daSCr;M+(H_XdgqNW)=_b?1Dy-iHj1?qfG%ivbZF+nUI)VE|2Nu$h8<1(1aG7 z8cEn`O=seg5+Edjvb%UZ=-~is9(MW)s{2wyv2K@yK7BrP*++YSywucef@D!)6+(mZ zvcuX;=o5naho0%WfQzvTk9*Q~#w*(OP=hqaWdx&OY7jV*nq`Wr)HoYK%57cL42-mm zu%?$UjNC2?E3}-ekZ})1*{kqY#zzL5$@nc+hI1r~7%`N@g?i|PI~;K#NOPB{L-k#k z0RUCQcAf`ZUMCSG99dMBB$SxIkFcy)inBs2+^5eld%!*4!|JRp0)26ZPNUtxN>Mi; z&5|G^F-A44)}B!KHAso6@slW4X%HigNMdt)C{t@O0?4Z;T4X&mA_@~OiqzOnmZ`n(gA4aN&cAeUDU))UARVkMx6*$72;l=;7t1=V zEZ4G@S&cN(8cN3%R$?&&lsKttMKz%*EYC6>8r!B)$llrwxG=IKp14H{(v*!Lnstni zvteQeNiB?lWQ^}N0IgLIQ*l`7AjW{b#@2#5U;+iaGCBwAfLU?{^#Vs;!W;n4BAmwR zNvBF(zmu^k7J8(bU?vnMxR%cgYOq{7MhGil2*IXVsvfXFb;p!GB5B%n%u3|YdR+GM zom5kYD>a{HYoHBXGhrr*nKl10r%eM)<*h-bvhZvZ?}q-mk7^|C7rnHZ$R z6o-Z`GG8f2fh|FB43j0PV9PGn*`2UckuP{%$+HIGg*u`C|$Hw*vwWt^SaCb_~H4xzy0yH zjtaLWoWL~ku`exZ$geL*B0>k(z z9J37~w}YuyBq-{96PFS27UMv*9m?Z$i9?-29xsZv7R|y@nggjFJ5i@1QZ@zfv293L z#cLbj2Mlws=!bju3h9sB)mgKKu zgdVc93~fpgyto}H)_-#PK+f&d>xZ1(vTU@R$z={l*s}&RNb+YXwkzA%BKNlFR@KML z6II0TGefww)}R7`8`L$dqo-xlbP-4K0ARIB6;dr-Q9WdE{=~DS<|*Q5xu#O7{rzBj zdmg;ZGD5+N{{ zc{V03l2}1fV|?NJiB;6T^5DjDz{lgYwNj_BYrmvlNp-f#WIoR3v+d;#6U1dU$8MR< zgk&xe=7W!R-#0t>>%ab1Sj;>Ow4Zz_uEjN~lF86{dQ5hx+zO+c*0@G2-ruaK^-k~? zjNUF=5H861+YAk>cY)r<_5@|DLEfYlAK+mbz1A-3gMgA@Q*vUHc-n_FoDjtv!Xk)% zjiYURE3Txgxdg5q3D_??#e=}F*w@481Cq6cWiKU^aRYP^c1B3ML>{|?21Nyy6V4?; zZ&59smaLD*z(yZ}3Zk#6=Rq*nZ3d%&<^VyJe#}DBJZaA;?o0^4G-R5$G)6R7k0`_H z*P!&6Wq`L;$jR+YoAmVD#vlKocq#2zVu(tF_4>p%n2c($0D`uWpgm{&l?Fzd!XOIL zmmpZ}7!W9VHN|+4X!R7WCOLpa=8K~Z8Xf0#g~&Bl8LVRWA_`y*h8PbA`u8Bn7b|q4 z)3~}qWaKW^PzcOI=Q03olkOPSpqUbY`NJpx|3c}b0>%TY$_CmL}RJY~>zh{muOFxC^IO_4GsNJ<)#Cg9$Pvc6z=AGGB|{Rx`o?A6(Fh{7I!S4y#o3va9r>chlF7P8 zSW+ec=bV+6U%Lz=DAc0Ga7j_^xN4}!^|RF!Ca)MWdjL?lppottl;-7PYBh=La=Re& zgk8umoMKhR2?+tz0s;&s=qL$Tx+H7zBoC8wdoiC2Y8L}gT-3y%xRTTq45Q*TfZq7Y ze+?%N7hE63mIA<%fWs*C7IwG;Sav{p1IhpmzJZVr$|(V|6&oS}6bO_H7-j(C;_^Q+ zn*#6q} zt9jjwJUo1>*?~?NlA;2}3tTts6aaZZs?Faatd?yGR5S;0$Sfzt4nQ-csTIj6Pys2s z%qUPRCG%!zjL@thi*y=n9EzDw=BwtI+K2j9UGsbMmZbnOh5+zhn4BwOw&Pd}e1(WW zj+n7tjGbcc zc`sS;E$;K*mQ*=kd^1m$BK3Edpx~AQByTZ&pT{a6I;OwB6Kcl)xFkbEHuH%QzI5ke zoXiS=VV`aL?BC`JsP~qCg+}}L0d9q~K%IaRNI2k0h6bue^PbOR^~L>=X&7M8K;<+G zVJR$T4a9)`{DOJ>JipA0Tn$Lbi@BwlMZ8#ocG|4>5VhMs>lw)#g|p=VkuwXD`TYCe zjt0zG7mN6Bm;Uk0F!S$Aaa+0rUT?A&(vkwRt$zLyhU3}Qm zDP+)>!j~grR-3;IX3p3N8naVoqp&HEbUWy9&0wV$4;TqCGF5@#@D69BU07`Ti;*<* zUB+zYzswx~&n$ge^V4g7cKfOiuf>+a=T9BvCrOG&LY#C{4{W!F##eXedT{NNp z-5r2|C@fx({&v}>{O$a2XE7+X#iCo>!99OpKNjou;@ubS|KCpv7hV6DL4Q|;Xt;MN zM;HI}<%ajSa)UD{^)GsO_=jNL{Y6b!{_9fNLzlVO>b{)d#T)F(sz267Z!D1OKffQt z>Kssu{zrg60z?#MH|4r21mq5 zfPxdi#}p%k+1-#Yi!>gz5?eRfUhk;x@dsmg4n_E2?>O}T`cXT=4!@P0zqogFd><9 z5LLCpoRgv;1K=TMfG6q@bI9+-H!O8$W?@5-L*YVYgm(yl5=Yw%PQgr2Z`iHoVQ}DW z3XC|i(e3zb$M+umRRMoDwiLkbv6$0K{XRrX%2hF&0<#fivGr?)-3e`2v%@sfzPQ0V zl+VYkrRYL~Hc!kXK#JHk28=t(6l4~3%@ARD5tt)kkL7UY-Xg$7$Y~w&+{|^kLWdkT zDi-rufX8$2dRl{C8S>c;f3O(_jm@Y3HFL{fZlvKmgiAWk{By^d?@62b=h2z_)7kH3 zT%n)4G}!*CWoys4v=>~1=hE4yItCw-f8>|{`1$ss|G*LI4$57#xIIU?m$oNDgWc{Y z)WL4*3DxMPBI-al^+Vp=4yOiQGalusfeWg6Q0G)*T|8@UOm^Lrx&OEL=iB4PU*g7J zLQ7lfFQL@;^pfy`n7TPhg)fN4&B+otq`sa^wI)+-N$1c7lzNkM4)wyu?uTs-@i#ZH z;g8|a!G?cY6Mms4{F53u(SK5-!~SFR7;L9}+HZZ@E3&IY`>hm$?VKoI(?ObtulVI_ zq*86XRGSyG8^vs`R600bI(SZONzKE@Ji>>c73Erz92_IHI=Pl22m46vKh;6l&c(Z* z-%~U=AMYOa6&dqt_pl6ycz1uiJN(4Ho!!6SyMN*AercFEH}~K3m1bYG7|f;#?FgK2 z%o=VpYpflnmm(Q zh-MdLSQG=!Wh{#Xu<6;&st(nNb%CA&BbJ{=fyEfE!b>FcX%;UsTgLgmo28!?T)Yvk z{Liq|_?G(H#s0u-T8j;fH7l+aD)AR7gjiaH<=x+Uvc)GFc3ok<=(o)bSj+|UHJ|@htzqg260k0bwo$aE@&pg> zQ1dvOk|^TkN{*Lok}%K=grD#}=nps$*ewzby&eWiE0*dptP<(Sy}?R14B~dTppqbu zz-GOSU5pYL0|Tv@|l9W&AMa;5U>DDmIQYRnUtw(0Z$kP8=eDngd3=@HBMd~$^b(6od_C72=6obCu}h<>Usb3}WKld0ct|eeLBV1S@CK;Cb=gWChq?B(i3TMjOz51$LK8*DD6*H} z1~_#ku80;o0j`E5%cAB=HY%*qXroJ5AXRV#_cBH$mP-V^NF&J66vvai$X{QdsSw%5 zsHa6A&2nXWgqX?lR$ZvaX?yzs4v^RYF-}`4+9Ci^5=Hu}IVQJWLp;xSDK-y_^BqLJ zM7N9bB3KJEK8E$7t4IZd>fMDmXG=3GD|CxAw64{J`}le*9V^v{YFjyKDA%}!w{Gs4 zS$UhVoDC`=T1DsX0Kl*|uspgft~?&q98b3dGDB?sME5>*kdoyF4X~@YT=_$`K?3}p zK2ZO#BiyTpTgAqPuX}&Urb_C%p3~X&y3;xJ)>&feVWLsQx>y+W^;}hCKrfykS$W{r zz#c8wgepdfuPL2X98<|P2Lvu(<;wYr2FE2p84$)x@ML6XosS=HP?@e~JRTvs{)HeE z9s`rCM|_;vSf|&~eQdY!Re6ZN!AExZ@K-94E=~DPX=+Dr4 zRA0-3VhFj;4sZ#ghF9doK?I83Ty+g!B%dI&h^^8D*GL4QclGr=Z390pms;%~4oBGCOS9uM&x{{dsw z90@_iGy-R_-Y(Tzh+`JgafEbO6olon$bPN8gkYen#6;ExlTmQG(yz^-prcmv+*WY% z;@}A&_|nky>t!box;RniLuM*K8>E1bEx6u-{_NtW=LfGYIQ5440|Y|jH96HyND5-= zU*X$_paIlt3CA=mC4^~WH7C~}sF${JX(gz7M5`1QGi5sOt&0;SOh#?xX(`Ci#yBXK zN*R@h<>la5(8+++6fAG6MAe|y?H=r0i|sZBnF5l1+NC8fBYx+)4pfTyWdPUQ;FNLz zs`c>)sW%{QVR8no@rSh;))<#&ROUq&*+cR~mr}^RLNtXHFpD-wF@>~5bmFS!Tl2$E zNmNy-l^oAH<{o*J%ofyqyp&bgRzrPkHJM+i zuO^M8eyLAlssZQ`+{d)zn70M9sCuPlQ&#J1bPuoQ0*;$+4I?B)r0}dw$V)NU(Qdvx zq1`TGV8rVHM=Y?K)3#!g&4YQ2?l-)A4pF*>qV0@RTcI-CP@MqadTxQ3qH-nRGtHB& zg}iPjDFL@|Rk{Kr%1T)lK_A{gpVI8e0X!13okGW9mH?A%GvyXijDi~^%$)R7kv@Zr zKyltxXK`q!uEI3;t$NPlB*)h1w6Ox#P0?5`cpsBzl4eH-q+v*2I5mXkithV#X% znn`Juh@^uRYy)`w#iYhk4soTqT&6G_Myt=Q+Puo&eTi@}c^#dIvQp@sM0*H4cvf-;1B92e*075EILtKz%303BZe^2bqo0Z*$Snc7SSreY)|Aw#$lcs44{Jp$bNndl-_C8)%WKu!~MkqKJ}CMy_k&M9XC`i6L;SOlv&X0S-24 z!Qaed7Qu3Yi7G4f*ja+2R}eCvQh613q!>rT>#U|Bk}I{61ekj2hM(O%f2(8Rub1?bn8!q0k@hrD-8Jkm@Z9U`HQ*!zJtf%3 zDQ+|BBT2XLv?D=ZPS>$Dgp)yRJj8c}jOo|e`CdU*>wNezDd8bG14A5#0*!nFZQIjr z9h}(0(%|Hi2=TDUcarZ7!S}ody3?Ubhs*P399*Nd$LYgX~ z#tgq;eO08bf|W)S2I;7CM6ZQmv{38mupZpnEC&xtF@q7Py&-oaG zRfPce0kEHJ*9oF1(co1ZM3Kc=KnM}DVWPYCck*9{fBZ@5wM$h3=x7N#kIc)m$;)6V z#}%@mCj`w6_IWi=vT7r;fCV4BLAnLAm5NwcR68mY)?+lPM0usWI#dVFNou~^3X{fs zHK|}Im(wU44<H{oRg`CTDGd$5OjvMvV{KFG^6F9)h!_X zgfK2wg9&lc2t_SmOky(SJVHQEsWz(&tK+)(-aY@Kobw%DO18CzBc4;+V~&(p?2(zh zd?PF7ljC|^@C6Osi?}Vv6PoORanXA=9r&t?Q<8$er>!|;?<^^qP`Fi@{n2DGaoV-R zAd9&Lt(hVzf{>&X?Y$N=jWW zjfjT%lU%uxKMH`MVx2@VxL`R@Tq3WOy`x~4L#U;*wmMKFh22rPvB+MgI{L_@s7-|NI=vkHnvpij(ShusWYrNV zBvdt^5uvSCSyZ~&_31G1Otfd7rcl(IbPsx?DMy^IZQXS7vs=#HxSVOL#3BmM$bz+! zLZVF*Y)?mx11woD%;^fqj$rzXJ38fv1U-QoB1wkQjfJpO6!BpwU+-4r06KWKF-V`G zU@~aY2E#nEYHWz@m94KCHmXJh>TG`q9d2Q^7_k1CH`e&1M#C&OPWQva-V$9TbI8?3 zlG9sNm{q0tYH2k!rcHp{WEYvWe7aM2QWO?fa&NQ9&q(8hTcwk0k+VbavsJj<&!bkv zX>7UtBnKYV)LIfK6vk!Z^oCFO|N67YE!a|!G6Kv&XfnqLM7Quo%!9a~<>*OAP_Q~r zAnbP}iL(IWvx2m&rJVXCvI#IHgT778qinRuPm36=*f%J|$~lxg&P-IuY?3JQ%Bn<~ zszy0i!U&s}XP~ISQBR-@=%*VsQNNBSj$6RjP>q0wgD=6$hQ=se$dSW_y^t6iEj5B% z+pZu(mNC4$g^)lXhj}kA>qY_?(H(sZNMW>Hv301FNHZXdn-<*>)#M7mPifXlWZ@Jm z8PNz1DjBBoa#9Q77+COa=0b%=yan?MKs`YSSi7!R6gR7=b`APd3^XkYR1u57!R){) zfafdJ7?@pyX2YiA3efL5268ByfUsUXpSK2Ec+hoqD+ZU#<>lD3SHLN|z+i47~(Ak7?9nvTmjUpmQ z0#Qy0bm-diunrIk&E`THpI2mm%%WHiaWgv79z1|lje!9$7v*$&6L?U$QdKoHAloZ- z@Pi?&ax+B%Y7>J2XLfar4w5RUW+e+R5#>^+4EAqPlJpgzn8v8{Rl{co3LZJKoOIc$ z1n97canH~|Xriqkt$_);1e}h5RzyV3r=92$P5^3>N)(rP)lnJK9l(5|L;dBtG7Pw7 z*eTGsV9^S21hF}i(17?#JC4K%gERx6k1>8V>$2#m80sZxsX#t6725=>hMS@b7BLoK4AhL4h-AMET#;@AWt>}>P!PtWDMqsr zg&>GRT@>mBO@!`1E4b^83;*l)3+MiODTP+W?t}g`#2M-ed_?Cw?lV-rTxr+!)LPW3 zLWRe+y5t~jgTn75aoq6g_6^K^a%D5(ERBW?R&TCecU1B|)~?dHTgfqO3VMPzdN^WR5f@%SF69ucIb9itX10o++AY#V`a2 zA0(qf=>M?^+HC{l?1S1B-SiGy&H6<2B5Dwyk3fWgrwuJ3NbKb0mz3=|h@zJ4upR)j?Q&D)cg1nhA5zZ8ql`&oo>MLOo^?KvB!B zFo2QEHhlhpKUA(UHSuP!gk=o+#EgQ+*ESDeF%8^I&WKhZc%kH!02)whNVAcMg{9sM zQZ&q$*v>&^%7q5$aEFOmevp|&)CwYZ2)E0U1FP1-izg4XrgglM)rd61C>RB6gggxI z@oP$=5wZdXK#l3}Ww0%RP1Fx2lzhf1Sw%XnIlxfgwN2b+N<`L-Bk>q$f^Xf#RD!bA z0<#gUhEu^?k48Aj=fZFeq^x#PNK~+XM^YhK4VYx&0&Z%lL2;VllogWA_&(=Q&yW`@ z-`pH6(B5B6GZ+$RY*ZjrD+rhlR+m>Wm{q|L41%mh!9YKQtWu-`im2UT83ej{3#^TS zhh`0Mp{;Z9xHhV5VaRDL4dM%A?^KlGF%aMkPPblWahNo=lQJmfd^S-@IljR@v!)`5-=MaG60GQSRM2k%Jg5~vg^vatF=sAMqMz(|&dcPm!M zh`NdK>Z)~!?f$j(GOED=swQfU=t#Wu>W2llJ+PcKt4ma^MUugQZxch9;}ueQL)7`7 z>5Ppv=tGo%r8H&D2^wZ3;dT`l*@2ORq^&|0HM=lD6d6E7$7qtgf>l$DAf+^f6wcN{ zV$>>;FJ`Jizb88|t`iaaL_=A$a;xwz4}oC~Dm4D5$ZPG20l6ZgoMM?BGgRO!f*w;{ z5d^mV|KO2#@=H$_MQaTs!wl5_5ie=I%_l#6gCYI9RPF5X3?Qmt$HSHHje1sly8flA zh2Q1L04E9RqIUAZJ5y&T))P$wH;1)$B~Uk5+VXI#uN)mMoiAxd?JmmxosOCaw_XoX z{Cjfj1heN-FVai-_5;*@(wAr#heg(SFu?Nn%gSs~Tg$CCgxRlFbL|>I3~x%v@iJIa zcgLRFsHgBmqja+0?LjZ}9F|&HrKf|PHhPVpbx=~}7_3xcycOKz&mw_3#b$8T>s%QF z0F<}My?FXf92W9zV1Zp^yl+fA^F#u#D!ED9O-^i=vj?2-`TR9CmsT9$OZ^L6|3>fO z$5B<&OZz@6nBTix-=_Uf9hT&F{@+M;E%ml^W{-6vzMm@XeWzHJKZ>J`debZXzvI-f zF7M^$<;t4*>YIA{`9m->x3;pz8{AF0BXUENZ-a=(n>c#zG~M;B(F4ws@pj`HQJ>NL zBzGg;uMRP%m|u}skv)di`_Pz7O>1@Qg3kuYOA|k;Kd|kxj(wiT_2@oXeo^lz#~bd9 z5v+=Oefp{5S03#yPOlyME2@>5Y4%>`ROh%FRUV9-|E*pyfp+Y3H@PF4G~o%#(%W*2 zyK88xJb$Q>xHov6UW}gZR|kkIYrV1CWp7mMSl_`m54l4nfv6*t*N`$g);C8sScaRq zF=_@~x2?F5NuQKYS58q+RC*^_7mLpZJk74XBMWFdK3wkGOP#*`fe_U_IJ)<)abwnS z^cMH+9BC_;&UW0fo_b{FNBG0qxH@0@t%i+k73pR7>`&F~tqORxe`Uvtl7;fuyn1F` zq3c%LP{n9S{Yh+#77nt}b;fP2A2R2^_vS-l!FcI%p}3zWX6l23&9ls~?#iqai>axa z>9#BQ;r^i;+B9R~Yr|{r&X?QU;`dhHhwF1?l}3VjGa_e=M&rWGF<0hPX6~B%2Zx(N z4IX}W@Bs5DHPjmzI*W(*#{1-RKKf|!=@HL6)Yx6j6$i$K+qFLVZuaD0>rvw#`OKeQ zs89aX?U)Ter#`ywX#9x$JAJo4fX}#Z+j=Ri0n_j9J#CB|so@fS+?e~?rk_{-a*Vj{ zCEz2Z19uvOQ=9$6T-;qD_axriKdnyg?09@^2AcN%(VEIv<^H=%jMIAQcc`P8#2exj zYnycSkakaMWMf6E-rxS}A&+=Uz9;yvzRA()=-#Qc`lHcMf)t(68Yk{7q&D*#X8;6%8XJw( z5bfbp@hd9!F}D>48s)M2v(ZkmZ44s*}srPI61N_{2 zR8~>N=P_sSap}XgCAU5;U054lHx)?2>X05|mZ#d(o&I4WUZDEm zFjf%uQM2WaGdls$7C+NjJoVHiVf8?X0vPM@(f;;>dwwuD+y2;|w+Bz%nEPzW+dFfQ zl)UYO-6u%d<9|M!$_+1|=flS0K{s+AT0p}Y!`+0}#`uf!%X$y`1U)J}12{dk4^_|m zJh28i-jigy+rm%Xnkdp2sE3_>ME5r1VP|Kher#ZRV`nA#i~Z*|wpLe?CfjWSa^zgInKk*)UmKY`~_UhDZI~@Bm)GQh%HqRev1{8WY`L82~`hCO1 z+UfYAA5rF!G>`3T12=QdF=L*&p`zD0@+M%jZ6Ftq0ELJCmGF>#aD0j2>YBo}D^4VT?4eLQ25vIY(TACPVRK%hYq<#p*^j4W4kWxg*u!pH&;#F99$a|3OmOMRf zwCL1TcoV4#7z$j5#YZCu@hgf~Qx^78h8iTSAu5X;1eH64?VvTe}Id13{{?x+L;%vkb(k93T#qgvuJB_EZ$_~X`X)Cr!-0sdC) z7R;~LI3;0ZI~pCTtEV=y!(_P?7OVz}D%dMUNoH$HOQ z0=gniVeC?uu+}XHiz%GE@PEJ8eW9SbY&m~2`~jVw=GTO2%+C`-KCCUs3i_HbOVMIL z6RZ&?U(00*bjD=F!E~!5$Z3usD>*WB4+1zA+70s}xp6hD+RxA!tUSQ53_VGhzkvRI zg(j{>27E9(qXVlAlEIBP!eo6iP#7XP?T{D-rf=vHMklyoMpoHw0MenYHAr92D7i5} z0~BPAXJ7($l*hQJfdngUj1aU@e^9dk46s!}?3jwN#dbQTqzy_~O#+{eT_su>Mc2U? zE#w%--Se}8!x@FflaILOQ?t@323Yj%JI zUQuUgu6RGQ|MCg=(}_oz?K1KX;GJI4N?ggG2K+6sr_XbDGR6_PgoyjVpgBTRtRE`Y zspJp%*fwAVF6C!=fYd52GnhkKZ}kE@(9Orfl^+?*c1qn?Qd&Avq}P{7z4#d;1JEPG z9&(;KK1ZpZBdM1`GEQAJEcA438m-<+|mLw+(Z?8gR1MVW7-Nk#SylA;-B@FAe{@Q17*IhId&d&z7aot zRYdQsl-S!kBfUmf$w=3F1{S5bpLH=+Cmg-i#;pQM6)~^v;HWfJ!%1O(XH{bf5iB~f zCd2WqklSb71=ZDR&(pJdd0>j)vVlsV6iZwUzkF0|xawX=_ne$i#v*w4!y;VYz?2Tn z`G(Z=287%P&}-yaU`l6aRid`kzoI%8T91uCEQa~5+qTlPJW(zJ8O4=s@zd4vi$k#i z1h|-|bYg7tQ{QMQ0ua#GL-;%_4Lr^Jhr}LZC1sRHtldhTR;$VLLv0V;wetP{Udrt? zku4@RU~Qz~9cudiEDk^fds?RSs{nGc<#e_U)_R=w$A3M5kGkTr-WS#2S27U~6nKev9boI8KA`PID~D=~Zy4?; z&^>PU9`|G)(@Qb44NrBPtJ}nQ(!0w;JzPtW^P+j&$T;pIV@-81M=s>YBB!XSNUp@r z7a6b48}PKx@JyLn!j~k}=Q$5?_JsW1E3-|(QFZtTi~=e-Nk(M`3WK{|>-FDWC6uAQ-HyM2Ruwaa-dm{%le&g; z{|7bKZG?>TuHDohT|;3=nyDr~^0rL>rSHPrpfwq&DIuTHOz| zD)gx4jtzD{ydV9kv*^W40r`^;^jWdY*o99=pk($l12T{a)V;|JK}%3QtcBC6Diri7 z`L5KtYUHuya?vYM@;Yj!y#RG&uP;f4q@Y~Lb$g`=;YE~f4J!|q^ZxxvWMCQjQN;E# zxfO9h4T32LPpytqNo^pNlgw!c>NdS@zyNGFe;8C7JLvcz*N2XAY=CS+K;*Qcf+t_$ ztBRgQNG}ZZa^P3v4S-i;u|ChpNAP*Zqx(|?0JqD;SABB-&ckxDR0Rx6RVd+C>P!`k zhH>L`D=f=ZUk1#0vH|Rmaz(sC>_f~9Jadu~^Vl7!!gvqhB*q_ScG4*t+L3wy;9QD3 z##EDU2CoAvO`t>tjMTu;5kS?|4sbF!e0UJfo{d{ZJ!HM|LQJFL`=}0+X) zx}6btx3AHt-^np&s9vTUfE#tEc(1R3&)M%aX~}(RDN2kJn-Uj_AcxKK&x}#YzcpI|C@1s{;Hcl z@ZJ67PqX(9zIev}e0#@K{22GwE)!*}8&ICF`@!Iuo==m{S5&`coYg0}quKfD?d&75 zHf{D;a>4oafnnl>L&J$H&j)LFSI_Bh-sXGwjV{3SJ-cbfcTexnq${pghshT%#12$k zee!uv_54Fm#-8@iH&imSkNj7%wj{c5VW?K^27KbX_E$u9o!-&6^ZfkNJMJ$zN}k*L z{Aq6Qg9}8Pp8lx4-?+JgpFA^-PLKAXfA;-CpMT_8_D!+$B9P-e{C4LD=%{lx18~o? zMUSA`*4vJuo;`3+_<5C_*f6#AEMTkGCgTr2=lWLlaK$g@<5eB&U$1)k(U^W;`zQW3 zV)TOlRU81R7TR0+S2|9W_}@ju-8{v(R4D4p`PZ>-tMx+B!7Gh4!`7;``^B-No}YHE3O76$7j{MdKe5v;N_9 z^>>ba8UvIy^!mNV$D96KEYDZn(Z25C+7fXrIa_@*B!F5ce!uQrxqYtv5&8Ytk$>5G z0KL0+@)K#>`VD$=cGJyA_*vh(&$;U!O$6rAqkCG;$7%zI&XofA*Zmvx%6oP%M7Ea> zKP%7rzBbc?&rblR)%-(u%Dxv$C#k*U{MtA5ioL|i(5-JnbxQw~2=m`fo!@rw?r$zv zjz5)4f7+F*dG+e4;g?;ntu1=;m69h;=nW!U>lKZQU1aO4#oZTshS+qm8^FW3#DvWD zZ>+D4#ItSMl@AX`>e8DS<6B(=uJ=j)iI?>tANmd;mX@l)tpk}Yc*Ze5p1S6`6RRkv zHmf;f(hS1}udW&t|4EtOtem^@DRS%jP0fXIaB>N{S}$ofh5<35=Z8Nye$?p>{*c_o z_KC!NhjE~}b4Z*dr||{+_)#rkTzbMWm#xrx<&~&3yBB5}(5S?yDu(}wn3Lp78*8%P zXe^6y&h(mBD&4ltR1Z5hR9pSj%E-DBbL?jr#+ap)yJ9Pf<&UU+a#vA*9$~i267BBP z>sJ&SuTlq4wu-x*sx-m&XTwA-O~yBgn}&Ab^J##`e!aN+H1!rWPQF!oNtaSDKNuNc z&+wMvU6ZqizWwPVKVBYbyjN*6yV&{r2U0V8(`(C~441r^CE}7+Lr@32!b08Xrl$P~ zKxt!*^m-NnaY_G1$32&)YPGs(fBDeUlT;KCLbo#^{r%FFUOB`Xy>-pOt0%&4@ie91 zh17FDzhx}(RESOOVp60$)O5)=j5ZIorto-NMJ*hxQ)n_Wzdlb@@aOStC?dx9u?I@g z#v;6`of>X-8+)lUrJ400J{>iJxAcZOxt44%4_8Nqy3#qSsQ z@gYguyImd&s`1-koM%{niFnugamqj7O_%I|;b$XNO-{LHw5e*Cd{uwCD^&d4)j+S* zDh{JV?;R#)N2|%P-81^EQ8rX1t~Wx^QNl=%%NxaCi7*%`Zu!9@PaV%{)y8MiOZO~X z^2lRLMUabFWbCGq=6|}=TpW>w5$pPcNE2b$(5wc14BWA@9v-ZU;D@I1rWlmd4#3J* zlH@^a--Gfg3)tQ4I^CGdte{k|1jAB(FwkDY(0o*}ij0FXL>ouqG7t7*o1_>)mmF|V zHV2k=bO@UP95WYSZA7#NEOJ~#S6Psr{K{Va5@tXGD=+vW0%49$Q&ENFR95Cj2#)p> zgu`zLQ75HpL>%x#m_uSd8#5$F*me-;Cs*sZY}d^Q`V|nTp3>+%W6pT)KH)F-A74th zEu{;A0Rz}_R#0Lbj6iBPrR0!VQiuv7(D-5TNZdk3m_`^3(-d7j7vph{-bc)!4$>4T z8z~CZ{zfT5+EwrXHSkWZQ)0^)xslU2)e7d9EF~q1Ss<8ks5V_#saF(dAmW2^y9B&G zmI$(BtK~cv&4oss9iH<0Cfj2`b)XIk3` zNUE*b%RZRMYw6)HbhU_+rQyk<`u)}He!e`p@Oatb+I@A|{_?~77Vav2`#-3or6)@6 zed~wrwx_&&A3O8f124^_d+Qd+e$RpIP-A=8JXqqLL+Q#J37fAZ4d zy7Y*1YyA1L_y=hAqcWqsdZGRMcRl(DPrVq84(}}-A6(O?t}FfO(3{l$nG^M;uk~Je z@sC3lnN8vW&!g3^kL&Yiw9t`bPxK~#&ax?@I`i=x&kwl^-T?E`Vwg#%%C>J27w*fx z`RcKEcz+euPu)s2ur6v$`qLk>tu54WX8r5yUupI1XO2&ju@k^Pz&ojh$I1RbmKo5a z&duxGCck zy_~tA(F-pp+XhSKyY`6(stT#|n{Sgo+ML};IxEM-f0m?P*5dkQa)U}SZ#DdT>70B2 zvxrKEduGu)sQXsLDTl=}=^n z7)$pYm@+!omF!lhKvBk)sOPUV{@mz(hngggqLT3}410i(0)5NuZla3$L3jJRh+4Vc z#mti*md1bSV(u)9r)tY^sJvIswC}z0!sGz|;-Tnppy-J3oA=FclPFE_N@mxDzw&!B}HPi7bH z&rUoEC3c7Z0OjF;2|@bpM7HfFzx2-ar`;EOT3(Kx?3g4^yUyzIVIH}go+};t?%0gB zuxEBn%(MHOrPovkJ1#|B6RJ^5#D$ST@w~fr z>djrzcN_Tb>Y|r_SQ==!PfG_;7!QO>}EHJ{7;7_$#YPOb47nFg(7rUMy8xwYNGV0 zMyzjW75n>|O;UH2-aF)O8r4GVF}B_;#|1{)r0u)&Ta^bddT&{3 zdIe;1ca^%axGfAEDI1G@+K#82dn?2#<``$96`c`g zK{k1106X{k(LFZ~wj}{U7MNCm(FG*Bx%Lgbyf+4vFR>a_nB38S1ki2aqKtr9hPn^^ zf$6F4^Nq(Y0F#QBc?x(<_LOLIM1Q;%I8fS$eeLl_DgYLi08Hk&*haAhbd&uR@QBIc zSd|Q5zJ0(@1Ex>C7R4^KAE<6cZF+L@&=iQy_sa8JMI+G9$N+YGclA8C5pRq0_xh3> zl1%i8YTyW~21s4@StfD>Fm8FUaXfOQUw^)x{1Es@KobzR%+Wu-s@9F$B1~+UAYL+qqg9;Np9kNn)1q`WisApc;Pw zh`wa{(5^?Dbf;#vdEl1Osu))VfB=KO4#hwW)f%eMHmZz~K(NO*g^lfU ziJD%Iwl%lL4Wb;tntK_RYp7~JjHW@jPp%VN#3OPd`m7~uSdbh~0BfLi}1S5uvlT;OO$rNwn$`MRuy+>dQtJ-Rh@SsX5WulLP z&|NK7caYsT=~X_E^MO+tO~*kAqBC0B2Y&&cCyQYkm}F*N z&bBrE{P3`vJls#U`Hh~zd1@cIk!+#r+sgde)}5vLLeJM;KD`NX^=TYEtxN?3DlxkgoVBPg*wRcQE5B=x^FMQzb8=LXMoJ9vNnF;wiU>Pyh zXTz7Lqiy#?PfGpjSXBhNQg&h26KG*q1<;(I?MZIv`j3a(E`RI$+srO>?B(}v=)4qF zh`sE>slkbNI-l9V5brk3QLR%ai+&S@l6CfWZK)-J^*ntzJ^$e0bRG1f^LX1V1sy5> z;2Y47ezhEZx9{~6@4vp-kD^a|jz@22qVJz3&Ym8rY@FtT+y8@IhoC!YAHEKF*LsE~ zOYeQ+s5V#fM;Am-OLX6P2X0yY%xWo6Q?#`ZxC76#Is!O!a!QdQlxrYTO?k8)*2*{Q(#MbBdiB`~+I{0+XoK z>(lp%^F4#&+~#cUT{k_njoh&5|1tGG@J&?d{(l+@RzOLn(=vq8=1eB(BrT0CK_ko6 z%*iyJrhj5X#e%Nb5HOx-`6K6*6^}Pe6!Er^$nRbZZVk+d06#xRik~k?w$P`G1o|HUmpnoE=l%+-nrCOY zAGMS1>(2yMnIh<~NEjTpmi-&1X2#_<|HV(m-v;gDJi6$=%#59VGSiioxX*g=IZI@? zBvQ4F)4gx>j7FM@m~_)hYCFWZ-b^=+^*5jFbH4h(pq=%^YN&dc z7oUJ09BE)1YM}jxU?)WI2y?4S)&t!+_TG107@MeK_rDHTO zK@96Ie81TA@~+j*a8WkzLT4X2<@7xgaaNsvFly<6@uU2LoLSrKcK5ocoKwz^9naN$ z57>==88QXFikQ$zL(tk&l_)GW2v=53_?{G%*jZj&U+AcmL2dHQT#xXF3us<{bi@3L zS~L=w%v^{(L=g|e)KEORFZuMH6LvS)w50X51Myw_|Gv>XOGZ0BIl@7@I_v`(@ znJ?%VQQk-n*b89+IQQp5QXJTS$hl_!L1#m6pkdb|eeG|5S@6)v)a*Qob^^I_sAmoa|p*u6B&Rz zT(M&?rm6XNKcsJw=vo1`jh*UI0@+fryQf<(tTAQ5V|N zun(tEZFiEnM_IynMJ{N3rZJN|zz&t;^sFrYOk6;HjK%Q-7c#(X;X`iF^ZWu%3Dp9l zV=-EIfoUZR4L_A=-N?}<$TsjDA(H4GHjKFm?Cx;V&E;%B2c91bnG_3e2yzKo_O-D^ zv0;-n#?;5qZkW1l3`pl*K)4J57fAHzltA!u8er z|9QO$HCaYYrjQeo(;5xb`$NSj=&#_e5q?GByEY7W;ODSL>w;UzZ)Cpz^MGGpKeVnJ zci={VF9KiJy-BV=dv@k!0G1;_z6BnFBlB1Pzhl``zrVcVKd%)Xxc0gX6U3d$K_KIiBeoNY{q`brjqN*^$BBDxv-japrfi-kOoka_cA zz5!BHGm;3e8{|4wZZW_|x&u_Zi zyX0i}dP_iWWP?ynBT<>Y$POz|f~bLHSGFq$iW{Nm8+r@A!|%dy2M!LtGWVg}(+GDn z2b6qg-go8(4)|Xrqs)b9JO@gJGu;gk|D|B+0uO<#;kzz!aK`IT!vlrsyBEy-A(XP? z%-_=hru?>U&-^$%lsT692fsGJD(~9nv*eSfL)W}pz*@uqa{ZRqL#b`)H;)fS(`(E2 zzTCQh;3!zVB#vJ52I@x-4SjWf?<-$z{P`<{!~V|9U&x8Cy<42p*PFp+ zV)c$4Tg;DslKAG3eR335*{4P?TX%P!os3?k&aO>7EzNBH&gI2R&FB9|)7g4Ic(P#s zY|UkB-Mjr8!3(B;?V+dZpE{C`p0#?P8Eo;+y}lFwC<@*&C!?1isXN%earCP||Do6C z3DoyIDP4-b@;cbJ%pJ9RH+=5Be5gdNKmUJ;^YWumP&DuNm3YCurP?sR@8EgxMWMh6 z#=bA{$?B^r>?^=a<&S7&Q3^@075)L*vRH&0%x_xaDmH~P)1lbLOp z^ZmsL+Qn>5NBbY~Ub4ayzZt!}`ibb_%dh)J56^kGbW3fquO^S1?@Y(w&%iZi^mqff zyd2xG-#_^lY(My(Pt5h)cOGnYp58G}y?lBLc(^3&k8Zxq`?hAhbuun5&?8?{zbU*g zlKHF9{N#QQ80PG+$3Ohed}s6Dt9=#GYZTb(K)=z4=cC6R2j`)|A4wmyqes)l#fOeh zMxSZ=`&Qou2uIHPqhOq}ZcDlMjo4(*eEvV(cc2NTM&aA}H!oYyc9uf#b(UT-Y_Xoc zw0Xbv^!(oc*t`Wj3U)ZqGh2Ng|Fs%;SAS}{{76l_f9>ln_2BeUT|YPG`>}b+XFt1f zHsSoQ!I#A2A0bbJ&r2b{lUT^)Pg1c*s*ulXcndaNeQ%OXJU7z$w3LbQq_2|s+L}0og3XY!IGa^?u*_ zI(jd<8ohF8JIT1Wfaho?X1k2)JHh+pDZZ_A-mx2P@xq2{E4<7cUqv8Vyo|sak{W;& z0t7E@*7@gqQF`ra2=ep6;w6&taH*$Wh9JG36oVrR)MLGQ6#QvcH}_$PtigTM3jyiK z-dXtmg{RH@Z=sBX3(UFZK?syG(K$(*pPz>|!^1niYYga$J{r~q96ZShu*reeM#W&$ z`Z6wxBrn6I>0G-_a&0tF4&z*8C)i5gl^C?yMu?ZrhH7#>=eCd;USL)(}cy=3?b zz`zZL8MKSjiaG&j2{5{f8WF0yH5#7Jp=p;WX=z<%)EsbSWrp2A0m+Q9*GE_x$dXVB z4!;mVG$t(jGH|tHPsIUv;>?kQ)iBt?gNd2|1ZkSpXkEj`1iUnH!hS{=h$31hOf;F_ za9SgQaeEw`>%#(P)#NCy%uK3$SRGdw({8=#RPhlo1h6i zA!JZtLqyjTdRxvSmPmr?Rv4(myOk|fL1Lj%^%BTfnsp>wMp*7(K-$LgqOw$J)@e$e zsvdUS5lmbeV0Cj3pCg5VE;msxFpANUs}5q$m>a`fm_^xUTF;ySg(7NeZsKq!l--0) zS$8r@O3!35IteQ9*TCk)Jku>PtX^B7#v~UB(xO#i%E8z#&bo%GXkKD!P%ekF!b^ls z{Y~K9B2|QE%JZUyy=+(>Wm;B9`jbG?2m{DsLKMQcxQBc!KkRb){&D8g!$14G7kc7) zlenO^-w2g<8ci15XfhLP<{bRz0Sh15_}veFkkGLjXY%?_(6N~lFmr%*Aft}CaWIAEv7C)SzD#_JNvFnuT6>==K@ zUr>+|v%=%9(`vDdf{ltRa96K9hf%i4v$+TTlv_DIcp@d5*f5|VD-+Ou(r zPgaGbuBg<-p+kPdQW3iO0x`^@7SYlmC^i-pjr^?WK&9%A@WLLcylZ{2Qtxm(qCEld zs0TS*ZwG4PD{b=ws$MK7rQA$=yD;h(XU1Bjd88y>rG7aZ(6zC1?7J+Tpe+Hy4`uV#b&4?ekR z*{3bnTUv9D+jcK2jp^ML7`O8|cBS$33cZu$lW|W%&VJ}7Ap+WD+NGJOXLL(Cs%f6# zW9MmZ1cZBy5jH6mbMM5<80M}HmKw`Xws;3rLYw&>xy6|@;1l}PsTMC2t5;WXfWrtr zkF!ZGmX{$>lopZMPS!h)4oi5`xJ~6+{1PAB#xe;u?C%cBC$STOO~r?Dc16eXu`a`z z8MgMY*$eWqToS4)kC10QuXlJ@LypZb*OQAqBSS4s)MABCf8Qca4`?lJI%>TAkYlhh zykKym18bE6+V2jMk=uv&ggnw?@rH!pV;$zLE(Y;tslEatAL4Ss-oDsFT+ZnkEJ%PNZEZNwHg-s1}bu$&=X+6Dw5Q=F9R5|KI{CLLG0x-e@8|aZv()>=0TW=ReEc%=wUm9k^37lF+Hudt60EF6-E^Xq6(L0 zptXi)^BQsTo+WW;CZ>bQ!bC5;4TfJx*jq1#rx<$hvqr?}D`gb(;^fe(@@*VYgZy=R z6E{%Xa7#ltWDF7J9zun%o7F1k_8~@nRSwn4#2@Cc z;`%yjZ!W)e9)M3%wUpKug56HF0hHq%z(*_0RkGY7JXrbyr*mWA-3 zWWtfPp&qQY_u}V8$7iMMsB}VjPhIy~aco=EUvI>fqlfTA0v{wSxjPR;pIO zlo+CPF`r(Y*7K!Ic6cFuQoqO++7Vi$WWAl_atk!)m{145w%Pp$&f6fGx+BG43tpsW@3fThWsTub_!Rz~SjtsYm$J;Hm}N{#wM^2jCv(r0^Q9}rrxhWD zXz~(eW2w#Z?!ZAOR1f5L%)}cba#Ke_Y|ba=g-Zu-yYz<}XV%RUS?mC~D9Yv{j8joS z<(K0j2feD+rST@iP%xhDQO|J9LnzBuaVye+@X~}d104#*C|L(`Sjx!7tGR^SCjx;1 z$zFj|C=f7e7BD~`$dX)HdSk|xj7o46)-@YNn7m-Uk|Xp8%|=#UTD@3kAw&6*9HzYh zxE!uU@|aZ2cEvf?$S@0m)hW2L!da}c=N2H+(JY_k+C&cI+$Li=z+lmYDHO-~wX9OX z_L{OE(kez^_r;C5i%|?TctoSP6@?R*-D|k|mhSTOzh1wXZl(XS!p+59(m`*}FOS~YRVf#6O;xKZmwzg>mYNgbBXdDYtU|=&Y-i_tI+dH; z$1I;cZElLvUO_mQI?)sy6z{|AAbMhWFuv+YPwnkHt>pWj{_-#8KV+RCH}5mjgYLpyNk|w|8-37bn^8#2*g2Z;|Z{rCU`KGaqJmQV|Bt^QbO_!kr?WrX!_XBYYT zck|gOS?Z5z zeZ5Z>3xHQi1Xm5ox$2X2Xq#RNKIGU-jSxL>XkxoJM6FaN*AHRwk%6< zDQL>EgRwGXh7;sw;%;8Vf;WeOe68=-wXkmsc&_1MH3HTS9{;4R}i_6Ds zjK7FU3RCnU85ske;2Xy}OUKSB7XnSJkQq6&F0~DWy~Ue7q@t|cMRS-r2yTa*9$Aqx z)9D;GQ?J|C;4j3AnD&t8@ zty6TfvurDgnpvliOF8fMC!Sx;fyd!fVtHkLv+mO(u6|)9T0E&SH-*I+#-D&PdIP=S z3_D<%a3JG!twb+dL#P~awaj&)9!j1Z8|pw~zZl&zvUyqY53V<-Mx34zg2=~)loO$* zHbRs>ML`k$rH94*PB|sv@jgmDTykP4tA}z#SXOIcMQ%alW)E;x&knJ2X>yLt$$H{m(i**(5WW`E~bst?VFJw@YOXhwj(#chf zx93*Yg&RV}dgBI~%Xi{prukc2zAzumXL6YerDGtf#O2{?Kq%&tz?p^?agH%_<6_Vg zSi&F^O9%5<>}MMy97ZV1vc@5{h*Yh#nATgwlOQcs@d^Hfst5zRfyBx@s+JZNUL4G` zasFLgmG~It@Nl7G6$v|0L>Q{1*+ueA0j2VyAW_=)^bvMp?$zRVDmJ1ON6S0$0v`NN zxOqMHZR~$*Y}w!caibX|O=(xq56jkE{Bp=85Ro)PlV7pnrZjr2riV&n-N=~)tFk>dVfq6`|sL4*bg`*|D z(Fj93OiPo@a9AEb0D)x)>W~EtW|*WR+-A~BugG@J!DQJejVz-qV-p@%y&OtLXw4?0 z#?9y4HYkh>M(YA1Ms8+9ybBAXc@-?P2~*T9V)$KT98{#RqHT~pVm?V$@j9Y}!uiTr zsoFNgYUMJdqo=_~k|H^t3P}?m*M}!7EZ|*5VNTvknR)S-pU=K>D{s8sFbnyt2pT(( zohK^vV2#vOLV)uSyeHHvi^BGPmP~P6W4}x%c(>YO2+8sLkmAZVa`LnMSY&$wDUn#J z2k>)@vPcdQqzes#xQ~)eIecNYhi|SVACj!%v2G@q+fy)C-|eAWhq*u{(PZy}iZ?lWbYErsyO6fC+Q(uH=sw*M^5$}?chZhH>~d>5jr?ZW5s4; z??uDriC~yn%$)H4z96bnFHt!B@V(-*f^ED|JTS1UrIwO%Ze#ssx=qQa16+;Gc#dz@ zcS*ezdoz^7jh=afLz>O3CC=&@)*+fzn#JcB3Hdj%&%5c322;EUaoO8xCbUCoX+2W5 z0(MIBB`i*#_;Oc_y2V`HB6%2dtY2}3Xqcj0 z5KWbb7e-_%MD@~(0F>A%1%v{Y(S-D+hq+`}T&VCt-JntQ<8T{C7O>hH+UQimjKhWJ z6|b$_HKj^g?9yVEIc6(iw&Y@;M|YA%%YskbXJNq>gU?le$2 zN4TW|$zm-I7(i7Q7`q96V1YH|(e0`xDMxyC@WqO&1t_)I^u0Wvg0p8aWu-MV-z?n2 zY(?ZSQMj=JJ=3hWu|f)$!zlo1eQh`vB?c<1@9F(t|HrbE)*EdRRey@HAU#Kt`eAp^ zd0qDD2iKsSU}=#!F5uaiHlN#I~FuISr?<`F}FBUifTi4npc{t z@JiejB}{c~LwMZ8g)D05Rlaf|pZzYSFQowyr?k^=ymLWahlS;0DO%*n5(i|}#PUsH zEJ>~WtvgEThS`;ZO=>0C)#{9Bc*iYiyIHnfZ8W{u=4h(n)f(yTF+zk|MS5J(DFg%%!BnvUk=`iWYky(a-(T4CP3k{yT&Zo`IVLGa7^xMcd)i7a(94_z zAFs#slBOZwnJwzW4YDy#hZF9`2`Z?A6iOr8r5Y8r-1Q@2md353W)T~Zaz&^_>PxJq z#Lk|po(^SwSW9SAq_y+ui2=pLljCmj19Ha+FERJ)^g`0 z_5{tx3k;>)5X`ugPCkW<?}$Fe-kBN2H@6cgMS?jX|=N&HBt zmrN0Vl3&3^%@oP<_$vfS=oZVlJhBLbB~St7tnM8vQOtTx)J&8onTZ-M!m~;Lf$}}f zaGZnukZQz%2)+djxJ>Ptt99$@6%p|CO%$?HE-MSHzR4ok{Q3OfpZxF0fotb}cD?Zy z08eF1w;1dvHAlJ_rB)hqGmL%_&7_f8d_X5+r=S?uCU{)M5yHAOi)#Tf2Z=*SGm@Ja zyoa4a$gk!plosC$t6)yUNlD{VGn9rjhO_RG#=xg|DZkwX1;YL+929$49xhT@+kx4e zRF3GRTLfbUn<45xhT3I5#e)Wu1=piRC|eRttX3yub5jS)({V{R^bk2$3beWf6G#&< z(saC-7LCjFqMye`4IN)8?%_4rG_q2)$uQT<>sZZ`gQG0uAa7elY4x{B+OFlq#doIn z{jFx_vfb}p|NO9xl&#cAkjK(whcO2~W{ehUp`;p2v}1H>2*z2dLcp$U3=N@mWpk>Y zlR7D_OY8a^Y1qj~PB`195Lrvr$HQ7)f!EDu|xuipBxa%|Zj-J0vitrR>0{44GucmV>mube%@ z0T<+yle%o70IV)@3Wz9Z6o8Qi!`ZAuH;5oL2nhBp*q+N_W4s=m&os(n2xwr6j0A=s z((0JQyl8}tK8>sZwP0M;-O}J1FlvpOL8EpctcPT$2GWLId_bp)GK{U+=Zpm51Z0yT z3Keo(IYv#EQOK#S{ZNDype)P4&B@nj#$0li5kp3q(F{leJbT6oMuF$L_I&?epI>&U z6YgdX?9oW_0yRky3&)WheLm~Qf-a$eus38;v^u|syIG>fN|gtGB@B;()6f$GC8C{vNot_!hkB z0I!)M^Rkuwi4f-68F3-!G^)-r7Rm~WMZQDr)Kt{wYBYkJ9CImcvVqc|a;R~Sg{eG^ zcr*1)`KlGSwI9rUemE5E5Qp3_v`MOF8cvT=FcwmBz(9PVCB&U-;^{VyhFO=ZRE9)X z3gwi_nnwoFCJeMVj6P=stt*$~i#SmJWvl4T`^62!P<)G$$_fU+cG7FUUdMVx|UA&X$us1blyOoSYYg`E}; z<`o9)P+;s`g5@1h?ZGTzjoRlK#K}}G)0uIH1>~C^!-kT3v65ZEhPiWWqfrx7L^U7u zC~*GdxEjM+WF%v(ASy7XCf+T&}axQcwn7YRZW;<_Mw|si$r?6}!$7FOB%sMA$f`QSU@e2PjWdiUuHsH5 z!LcRPayZEnoiie9vQER<2#|O!Z;ERi;cQo_MpJIoYz)Jv+q1YqmntL7J=gn3W-KwTOrM28uT_pemFtkjYzs+ftLqP zQkF||^Y8!+NIS-j?IOpZh44nTKKGx#E`0mzA)V&CV*%{AXchp)9}hxRD%Oh><6=S& z39vcWsoc!vRP&2VFl?+Xl}a^wI>!T(YL=@brv&LvNDLS(h~S^z3)87aYDBeo?4cYN zH`e&J5TJTkc_vC09T_kLvsB)IffxrXSJfqoAX8B(F{x0pt3?IFI3n=$xU9>ztdIZL$33{zlOoVL`9Sa*Nku^3^NQk70+=NX2!(8%)w}KJTw&}h83s68tqzI z&t->O6j_sWRp321?$Bc|02!H5TGOP2Sx#I?-Bf$L|A))|>*VzhuQ>;vlF$HIUaZ-w z%MszyL==$UwuiGKBD9LiHIgu#*@Vj`I;<=em5Q)cXmH&mCR8Py1#594Yy2*5fdqN5 zSTBZ?0-kuOI6)(iAT}8_T1E`3qJ~rA-N5~WDFg+L;7`bi5Z!M#avCk?QX(+aX2I3N zXl~-6GMHVUHo2I^@hOl>F=MWrJ=&kKS>oF`#|6DR2*fLmCTkCDnZlDB7mXuc%L1${ z5e^xsCESF)@y>4s4t#mX|K9j~+1SWx04t{FLdGaKR)AAs#@C2n%AssnHEEg1RKiW} zRSI|^L;B)?cR1l%L?&bdOKEO`;M<$i;|AbXj?ozoa*X8VELq4C*DzW!tPN`xiL9oa z=oYH5{K_Uqg@X4h=0n*uoXJ_NOCxLfGPRP?Xu358aKaKDA#oArEo~)Dmg)^!-UaJC zn)r!`u}d$W=Vev~As(y}vOGKqLEt1xF8|{hfR_`lJ~q3W!9bnVe7*12?149-;l4Z0 z(Gz7nvr#aAXqtX;l;g+xR3AkRz01tdq5K$^=&af`HrS_sPpD%jdcK!j<=vr7!j!tB zctzd1pjWsHR1>qP^Kp;*`S^@pP-@1@yZ-n%A*=O1eIuu|Qu8nERCSJB=3X{!yF=*X zUL2ZwjW~A9w@K7 zcOB#J?U_>|VsMwCUhpY=8~Ipn?>S;cO}^Xf-vRGuvME%S6u*c5oDU)nihE?aT0CAi zxYIuzq}`DZxtuQju7X#%e#rcnt+PM4YwTV6NhaQh^z~FN2H62KJ2v>a|MHk)msECV z5zRmdAfB-Od}B+Ge+Q%}=7+`pJUq9(mrGkCYlrLdq(Qrf@tc&n!N%OUa*(nx&VEWC zTkUw$&}=~?xv72Ye@pD&{N=6V%clNzqmP=D)3&&W;wm1k@CB%&51UGH8W?M;^R(2W zmq$sor}~#t;_YYGhI~?mZN7P1DYr2qUk!HPoyCLXua&j24ZH_C>bTIE#!>aeq2AG^ zSA3yOYDx;-VOznECcYM5d#cO1J=o@_)%#r1xuJBP3q2+6?KO=pkCch8#Y?@PDgm(! z@4uJKB&Lq0y#MY;+^GlKb~A|n)`e)r|fPv1uIihfKILtVDB@4 zHA&#&p04U@N9|vvC9H>_OH93Ff4phiMk=L{=J5{;BD}FKg3NR&LbOu2@0S z=Qh0bL~iLQcV&Q#KNgI?#C31yJmv2cCw=+cg_%L2_)KGDO``MAZ+2M*OiXeGO7=7C z8(gb?;63Gs;`V}{mpVk$BG(?WOqY+8%pk@h?I>b-_A$M^b>`c?hf+Ve(JifouSS^Z zz*gOin&vwy2l}ka!IA0hkGiJNEc^7uk%@WwL9r`-l)B*aQ?&QSw@0awg;Pa_b$zMy)$YD0zRO+cJ-okM9ogx4SUSa~me136)0uYL^Y(|y z6dQM6{h@7r-?~B*?ZqFTJyAJTy7hlm7MH~*ve^w`d=h2oEEJ^Xa}rOM+}W16a~wo@%rN{gEO*Abjv{Ze(+8uo|u?m$fe zK(pDj*+;(+%4ff{RPh^Hw>{9bt%E)2iCvP4nDI3w%_WAO+_gn;HL9U1Ve8ShnG@0o z{iYH-(+pel=KkLV{_)>?uKno7Oup3XI4<+kJ5s~n-P%$|9k0uM)G_aS;xW0*e>idV zyW3+nAMwr_KH+!3?NvjeU#Sw0lOwSRchY;+`yAO(LykwSpilY9krnGbhlY;RXmorw zdcivvFo>DkAH)(hq@SpP*F?K2U6UfVR(zH8M~4%?;L22AbU0P=B>sZ_ySE zXxh4*E{pjZkKTFxHqn|lPrpX`J&9I&o_?M5<3wvC-0AGcq*a7FauFth4c-eYG6)8^ z-Smld2031T{$>BmZ0?S0RAJ^e@Yaz-d&?{A(Ibh>4e3ry<(k$R>Y8&);QNfe;)NZC z${GE-7j~@NX5-q91YX-#hN`^OYh0PxC&mILT&X$j-3SrUOylUGRvB*T>$me_m+d}y z&zVv#bL&70{$10QIq(8)FXyMst6T7#zYA^Tp8D?gKFEAqHn-+Ya&7pj*fV+aT$QI7 z7kTsM&2GRJz?~WM?RGJij=?8kQ{MEUdiH+mF7ddxF@EFrMAJwK42C-z(TH_4ape$p z!_E95w^Z?xx1POE+=UG_k8Wx4e%0>!wjzA}%3X%X0I(q-TP0Tx?8RsX6Ol1zf@et7Q}WpS3m3Y^3EE^dy4K^0Q5jiTC9l0%;h8H6Axg7~BM+ z&rcbpB}7fGpt#wK`m4Ka!o*H-rQ9O`LrXI**NCWCabpV5dw8AvbLUXM7@a6Wy?!&5 zrl-whz-9>CmI?%ma|^bn)_8^DVWRQ~d*vsj;{D7PMP9 zD}k~vO`5&Sk8JOZ;oF;oMZHbHG1=YR>;80~a)pD_{g(=y@6Gv@`XBhC@~&p3$lcUJ zTASvX8oI3fQTkDvM-d-0bomGW z2Wp0|FzQOahdF`IDGqxnrq*(=2*X}s#+sm^Gj46}L;a4pG-r5%!6uGh8+5ZUFI#MO*y?rikqHQ86g>qdUsibeUd+8fqxq zvO45TbanomJ6{2eK_qyW*W)IG$_nV7=i<8@H4NwhdP}GhrvpcXqT0n|WB=AR)!F%I~m{Z8R^la+TP1K%5o+Nw%S(BWiO!9pZ_r3>a`+_K;lX*-O zxEfxW<;*b)4gfK*7mov^o=NcvBop|-Vp6hM$n`H36Zwb%(y_oftLYiqVFFUuH1?2X zv~}DjF_VTE6`^xu5z>wuff#nrwlXNTrjvA`KYRj%lpEBtl54H8@eD=^N%2W~rG%j( zVI(m_&>}n|BX*qM2Izf-;q`G`Pdd;u6l{T~WOpMdYYPxZntaI1Rk(&M_*T49mQ^2) zUTB&$jH9Z?15R9-3>wpBuF7ACSAtzt8VNpxWv75j3^cI;R4Ww=tfa!_wP?Uf=kP_e zIpp@o4&#JhA4Y=_My5}A6T7GtEO@50`j_DThmU+)_rG%={qq7qDjT#|hq|Z&%sVnI z>>(WBFYl?KN(7;cqOBY!O!M=Eb;TlwCOmi%f^S4Sc@lm2C=^{E2szb#;gV9*U zN)GUG(8AoTl%P2u;ZrC{OM=gexv0y*y18+i9Axd7e8e=WLJfDw5XEl1fFx>3&^Ss@ zEcd^R_0Yf#aE-w=5Zk3znQh8QObV=wcj1@41&F!{dJCF*$n764q5OEI(uEC`pekMf z4b96#Zan-NwDuB3DMt36yXOr5`m2AYdRwV$UY}v~bm5v8lQR`p6UPq~x2ltaY4dy0 zKL5+!&AoT59P;7IAd%Pq`U0O`^Lx;_nCBAxR#9;r^KaSVpRG+DZX-Vkesp23q*9s* zd@C0Fi>fECM9WbBCJ)Ft?%#OLTfe!A)YDr=LLJ{bhv(?y zYhMLaRpm_Pq#k9rVcYCY<+K#i0 z(XRqss6U9(TbD_()l#0yJ<9;Ur&?49>cvWpJtPuilL{F5-Br_INk zNlOz*p=Yqej#%*bVSU?}iIPCCa(Mqab?>ovI+Sw^|AzD!7a^f1>iF5rr8){Fd1)kt zN7T6DE5KU0Sm;ZxX}YMOqX(H;_6j4FHFr|;hB~HfZ5-R)4ca1P*dQFeJD}byDf86Y z%qqcg*S?CKJw3uzq0dtgNg*L65cPpXG4kO73R0i zTXC5Kc|Egu`+AaI(|hWvwSR4CINM4IpROHAuD3DV+@p7?C6u!(uzv<*JF^{tIV(U{ zkj*i$vs|djwE@SKfan*ItUN$c9H7_#OHJ$d;p9rt&`1W*+j8uw!;7|0 zR0W79kRviXQQsrg^k-3d`rRNbk=d1^a#ymhnfwcq`$JN!ulY3N*b>FVgeyA9A z!6GAkqUlW#2|+p6{At$X7s1=}F|qgejJmh)cl|8W%RkovVpGehkVk2<`}pCa8vY_E zkZK2`yZ$S@UNx+T+OsT+aCA-6tf{=`G&5W#bW&Fs7cANO&|$(3Z^uV@Z!(2o!dI7J zbrBtNzb4&YIP^{i2|HX+3tD`zEtwwA>z#@)qoM-|CU)H0kz&;pb=n>*JMr#I9lh4ZYCLI-Q;ngV3ce?uK9pH+T8>c8Snggmp{^FH`6*5wlo(h(@1hKcgJo((nfhaS^|jfzFE#vsH~L`_Qj@xX+KVu) zi776Pd7^R!xmgupp{j{vmlUWaZPsi~f{jc9f?JJQWXBaob!C9a^E42M{cbz~o{TxJ z1_=3UE>m8*(FRBr z#b|9T*(A87NrM*ltl?9OfE=sbL=;#CrO*s)Cs%b^Fsm)#Dj+t2^nF}10N{HCEg`WH z2*%-Y9*8lw03Xc336ilfOiea{QQ17LZr%j(slA?e9*HWp~uac+-z1Y)~305KexYeuYha}g;3Yu0HQm&RBrsXq{a z#WK54Jok zPC6$G3+Cx3JklGOAHhMpCooYjK0wm`KLuBB`-V&wTwc2y9e1DnI66W;ChMwRDWi*j zUPdgYA2CnzpEQ5KREPLCCgi^s@FU{q-nVMsKP9As!F=g_J2}s6?f>Da=Y7xCpCIcW z##Q3Zi}LdAu~oHyt8$LpIi~#VhK;}H-gx$1s#3IUhh-dQe-KEIy{r^&UMJ6>Yu5fi zUVp5=9A@Y@D(1ZTWKLP$S^P?`Iy!vD?q-gzls-89Qd6~M&<89sG2{&{@Xo%{y7A}= z>106~)qHyPNita05~1f`zMIGM-)<)r_8#2Iei0+H)cES@k_Mr=aqw~GWvh>IPAbxD zXS@FDV5xW3oha-qYNY!<{ALLR618*DoidPO=yu?Fr@DqM%1P$uqi42o?Y;5dnz-)= z5E3={7~F=_9ekhjF=)D7@AR8X{uFBXfV$U^W^45^?|J~Htm&THzfNg&e)LxJ`g2N} zAGTNg^ml~=B@VQOv3%Y$*EOry-{;rtADh_NhYQ#=)4#?W_1z&V)5=M1F8Rz%MWMPJ z7fpyCp8LoBy07t`>;0MiDXyQWGuOthJXzP=k0PVB$(4<}X!g%EyR$yjFE-5L^oBnq zroKP^IQn4CDs)z8dIK0(mxzfx(>h%IS*9=NnU@W0~x z%a3==*@_oX_j^{{n8_6e3}prs!vUothk{a=ZvSERK zYrV5iTGw%9L-%a@{xdSLV`{AImyS?t`m9VX{xs96SEeId z)6MGRxSEgt=GjN+EBgZd1>%unv33Zhw?%|+-reo)hHTW7^UH!qoxax0Rr=^*ljn|JRo2*-JwM)$2#d8=kpw6TU={Y4hyCw#~iK z{`kH`QT*taOf~y4u<7mVXF|R^KLx&e#jK^G?4xzUMJk0Z>M!$Sbq&zFQA6q6izT#$ z%pPxdUpoe|sKO_TBK(^L$yFQApn;OM8hR%zM!0q?r4A04d2RCOuU_u@;qKTj{;`_D z$6G%m#j2gbBd1c}S$hx|M^5P8+&_NYS@7jrvB(YD7$*RD$lRZu{mhzKWzFs*9nLc= zfM_;s5A6G0{=gIEo`EL{?)Y4pd+$d>ThYZ|01#&{9;K5&+Pma9NG>_N&bdfQpPecG z_n9_ym3sxRj2!=B!D(|#9Lf)3kD|HM^ZXb>Yt}xh#vO?+zdjzY3p!5f>6k&Os-g4!qgoCxY@L| zaX$dV=r2I|U0xT3z&vd>B_@YP@j4KXr#nl2QUwe&oC3%qkkMj&_rFg&O1q8q$G&%TY zv@MqT14tV@Esatq6Z8H^3=n5jI$>*i${MO}%zS#-ain7>;DeO(_<&G+sDuHq7+@AC zSml5Oy}@Tj4m+mja6PKW{?*oA5VzNY$UgI~_e;=_zL<4#5SrIKX@D^SRQTyb@adS; zp=(m6sr>+Ai0zH0Q5AmBTJK*jxxGL#1I2v57v9ngh8JE@CwGx!7(zCHFi=pDHA#Jf z<}kp{;Oz{;8-O-i0U!MtJVIA22Zmb_XP&1ITcL62<6i&pab#`9pu~^ym-zp&7?!oZ ze&d|*F2ccLYaQw{mqH$*7hTTeAs__^X&y|$hhm;W<5sRkYUsoJc_?ci0SYyYKZ{T! zK)p~>uhs!u0LyP?m}kSQK`J;z>hUJNL9K--%?5<a`Uf&Ug;EnSY-*)HVq)Di?Knn< z+p*(DZh}c$@yhtFCRefm8qEW|M95C05CsWF0nJix5-=WLVpz!)N7+n-uM!VwV6J)L2KR zG0NzKQWWFc_zVIr)(<>7+DZ)wRh@v1#v92pnFkONpmT6JoYwp~ZV)E+o)Pm24B4oP z<($1weDnTg4}5T=Kek)&PRd?9dg|`tC=ABT6aEPpo&0A;a13&avA=nMy7UPQ#sBgL zKHc(uXtE%ECk(~SO_#to!{>zY_(y@^;#bOgEiev8Oy5qERk*kLJon|k9n6>e9%X!t z2vp&By$brK6NY1RcWBCao{#oF10(U5zk1YJMSgs8rv06NpV2?FS%201N;4o1s(=zY zXFl6ZF0cJ_2u5V8!g5pRS z{cxnLu23neZ9nsHx$g}X%nCjk0whEI2q~C5!}tn?tR}YHFtdeX*+)AM5_4* zkaW7r?%p`@gufuUqVNh(<6dhEb`juBY)>6Dr8DJ$VOp4r|hO_^Vv-gjSqdfP9hs{DZF)=&CKn6mRxn~C$2qChf zT|FMVb2BW%hCo4T(b}V|Yg}!Po>26l^_vG4QO`*}a_8d=z#ot?Sv>%Q*qb=}wXy}oke ztP=s%+qXiIKR)8Ex{rgO^YIVFyNbR4&%OBf?!D6A4#Dak?kDek zeL-u_?a=pJ`Rm9B^=|<{e)WgDq&rV}M!5n;nH_(1>fFv3mR~=zI^zCcJNH~o7kb~2 zmcQDPJ|i6kp8BxnHH`I_Mdkh0c0l91ozs8E&fnlgA70bnTqt|D4x=rtjCN1Y+3er< zoTZ6ft^uqY4v;E5$ z>vu|?8|yB8FM<(%97A2FExw#;mF^te)%?JF-AhXt;MNbHXb`{eVvt8pu=@AQXo|i_ z0jM?`&&^*c{qsoOSoVj%Lu|ssRm=a`CeaW6v)s9|cHuL$HhVQW%VV7XqHk_>j6)x= zT_wMcR65sBeTLPJK8HB17pC}-7+X8%@7neYVf>lB=4=I+GAfp)XRdF#);a&+tM-L2 z9(r;>_+IONc7F0sNGita?}_h&6FuM7p#5j+e8;VCgWR;|#`f24??AW$T-?IB_iHi8 zSGxB{{`fr%^mlh+kf#S+ z%29LF)n?s>`n&v%i9%u zkDR|Sn%&yadIH3WKbm@EciC^G-ReE!%PiT%LLD<0;9(5#G3iv8&MSzHXQF(=#Jo+eYvm?&Gm-tc;#*_{Bch}G+`HGg$?MaVp|S6x6Tpebvs=vH)ZZlnR_(%=g5CeY2?V0P zet>TM$%v9G(lT4GOzpZdCZ2Qpj!U7LJL$IJ+sWC=Wpmfk^_!Lttqv-OtYc}k+-$09 zNiPUVn>Gtbc+5uC`No?WxVJEwPSp^599*H@>VfhKbZ2{;B+4>KdOX(8rp=NvHPvaf zVuQj9U~Igx8Bpa&(NhidSCsFFuGjOs*5BZTMlP?WF%zMTw?R)zYUrf&EOF`J__&fe zfPIMdE%Px%?sGNA^t9a&M|V_JGp|aqDEYoAot(@Dk2<3}nuTh!uKGl>mI_;KRcqGP ziQ@9ttEKdJ)pBP(2!3@+S`Zl^-q;gnd4mt zI%?@wx`(0tM+mE60HOcdBK5#gZICY7^9dMXE8g>!F{1l9WGs(z+y2kNxb=4+Fh%R~`rqtvpQcr(Z?_DaRQcM_1ks zSjd31ym#<$k){}s1C|%S@%|1tQ6y&iQ3K(#IOH7qqnwxXyz&d)wY*<(V8F_YuKwwA znh(dUoHH-_r@|k7{)0`G5$`ZO>{klGS?1WyhB@(YBFYUIXf`dHzHK!%W*!m+Y@I1@ zQB-?y1*(6rJ6FTjpoOt&SEMJTsK}CAB;#{V_H);=Ati+@%C$t+HWx?>-%S{E>8CmKExe1FbjMKVmDfjR?ZNN6T1!<2jnyZ$~4M>JaTIbDjOXUKprTZ1t3X4Gl6htT&FiafA z;Wsf)Ls|rKbpv=pIx2qinL%Wi;|0!d%K;OFY-^BJ9yM3ebX~t=ft6=Ix-yC!7hrDG z8&A`_0PnTH&WG;(gErt?mcE>`r}vwGch^$o@*Dpgzw_wvNp}9|bBBL)!{o&-aD9Cg ze@!j_U~;S|4a$`_4j;uq!WTgGl>xB7yRBpD&0Bt7_1e*zb2oosa;*Fr9CP@1N5=zS z{_s%c+qH+EI{GURu(iFUox2O(#C^{$PriTE(evW_RdEo3;m5IiX%C3N;;U?6AN7pI zPA>P1l^=ttlWz`}OUZ2B_5>bWo9x>I|nWBIDuJ9h29d3o|TH{H9!!d5!5h_CGSa*G}z|fnA}S&sV*+8w_hd zuG;lHTFJE8$Ii93k;GygL~RRCw011txI)49NfplZhwj#%Cnk@90Ioc9E`BejirnF#evZ$K#tPpYu4uX4w(}YZ!Kz zHIRZ$;Z69+DfrQ#C5!inc=N7Sx_uynQwRsWR(5K6s;xYPZP=&Cc~;wjQ(Oc`AN;)4 zBN{=hcO#DdMy8To-?15#Xp=p{#Rd?t4eaQ`$HkEabmaR618gzjLN9_lY<6;qoQ2s% zvn3ObOZ;c#5ZaY2K1(ptZJvdM6YXIE)W+I=&3q`nUi*j14PqR4}Yp43=BsE)0zQoWFeP z$jaNeMqDN5lf7r39n=cIDC8}jSQUNIY!v6T@`8DeDJW5|o-Ps8@j5C1qTpfUoV+NC zRxHgI^xM%?R41J3ld0leln>U~7j&>#1 zA9dA}SaX$atcCh{`vu1)YbTGCtqm1Zu2|x7R}{2t5c7cdK{ zHlfQ(0a6+CfFjB)<+$=1dfhQY0+B7jjp!O%%M!sZ=L%nBfNI)ljZ;qL@{}k?JBiHM zOCcv26$NZr39>1%VggknH3FA!^QeT&@OC3FXqv#SBmPEBNT9$+jgYn{e)EUtk9>UB z5pMM%ffa&Nv^+bCzqOUu3~v#IuvV5>&B%kaaSZ1T+@;3~t!Zpnc8r}!HNCb)535oG`+y&C2Cv!v za->VBa6~;-6v_qlNM^G@TFb|56K%k5KrMB$OQK9DWmau}{L4WKv zR;^71g>;eL9h%Cym2Todr5OnbmPKI4|1j)@udEMa2gy( z$e^TU345^S}12PUAxrbxy5W-T{*3RPmJh3w16SX>Rum&cj>} zouPjXnj0`z3@^LZoMZVD%C?sc-EEN?0>YkZIj~S|5Up1w;N6Hf2$3prj&~06hn!GH z?gimIvtxCnmz-7!5vSEcty3#b3e{5PrHGIsE$;po7bM>fA$Wf9AEfo_9l^P8pvGCN zFSSEXch~p}tlbcbbtRGvjc#Z(drR_+0aZ7qW!GUPTAfs>SAf)-C$zfCm~K$d>$i|) zv*nHlO29S(dg8^hO-LNxIqhh1bwl-j>GKEb9P+s}nUeNP_>P{kNez)q zzS{|nU#m&wjiQkggV&Uo-7JfXg6~e^ZYeId^|_kDydCvb#r7xe&zg>W*lVpwkh@F| z!lcPQODnK_%5L6ia!?ZIMCXJd6H`F*^UkzFGEslDaScU2#G9f{+NA+?t$JIk+DU~_ z(pSLym1%2@D~-!<>6)QUI|E1nUKh*D9@2j*4V0o(Q_8nhl0QJ|8fJXsQFVi)&Q0H7 zhSX4b`?PdG)Mc%{rBLd&891zT|53?T;i#JLh|!_P)bYO-XejxXQ=KPP)T}9=H4+U5 zm!J6NRi;@0Rm4 zBKw0dQ{evO>WQ^ZAv7QhdDU>~BF`ud?N2I3L5mV7l=3Q2_6_m@Tk`3KY%Bg3gnV_q zQWs4J{ffrtm>!kZfX$i+T989mveRt33CYIq)M*jZjB*K!3a&6Mm79)Hw^_K|*4I-X z#fqu4%L(=B>IIZ37Cl6zyawrSzgB<^w-Sx zoixcU)Oh+grn-;sij(e&x_$QG?u{*5jpp_#BSmTd$?`H)X=fw4I;-^=_GFyTOh%Je z*+PMHa=h-ybhFskrTC`AtLg27)DWlp4KovS)yqXWsn+s(?&0nu=St01HG0mtH^w7| z!K*h*UG#{Lij&QnK37)sVvW`_KPTjT#+Re*E%; z5KZd_pKLca$D}Pa&S1Aj!_F5R6o#iduV`-?G@5I=tZUrLE_U^9O8P27Vba)qwHS~0 zHL6RQux(gX5odz3kON;)5b5jl%?oC`F=)s9lKO=jp$Sp-a*=eSKgMIKpw_kW59=Rq z-G1<%jobeFk_IUOqn3ZzU#%pysH%md2~)z2QMsEbLDi>^3gCGyPg<s7}0^w!qy=6G3>L!m@kNs#q^{u3Ua>VA*vQ>KZ7bN z8~H(=8{ce4^H9a;Sh=5=omQT#<-y^NG9*ANb52>qf|z5?hJjRzs_91C4)6+Z>7{kM zrRNfrH@GV$M6!j%w%j&u1n{V`Qc&TRM7saca4uwNSp_Bfz_lvi;_(F}Fuks=qS5 z+}~W5+#VWy#NDNKh>I1MH+);)iTw;JY{<0#tYlBe?vi7-ixc7%?QN>ENP0{#l3i3u z+mo!mY2qGwqbq_ic2>$SK5_RA737zyIFMhVpF8+vv05$sdnvi6WLP&IEWdNJ^Kjj* zV)#tMr<f2+ooIi1Q+L^w8&v;Gdfzln?k;mCtAy0ymx?59jcJ#%`y2J~kb{Ctu|)NaNY_U7hU67$ z@iMPAXr_{Adwp>Fw#Wg^9#tyawP5#Hy8Gi_S?B7btJ2L^1f4{h?9k9)$6h9(v9e?3 zn^{`r=1YppruDd5Z<{v z;S^G$tP%9-8ZCcNo#v_V!ZH#n+SDmQ)w-LFJVVIvO`@{h(9GT%f4D`dyE$XAS)8KOKpdv%&@@Chij5dYVLE?ER$hI#SrM z-1*ZR|KX$7zgZPxpvGLNW&qwjl8~zvW~ymqP#S1&F$_-cXmlyc**o34jdlF)yl93; zTr2@rO`)HIE2y_y;PT*n#ubzlW3{fI8*pJ(qgqFmrfYW$RkIpXOrJSeWKzYE$C=oy zpftbUl3o?~kT7l8Ev3pl82wyq%o=i>rz9uG%0*tPX z5uhrZpB?KX0n`=;eNj&E1(VS_+v=RWUCi>@4iv>YtUyY?P$x&Itih4+3nW4eIqy30 zbvqBFvih3A$k=aR{P^b&pZnyBRS|GnK-#Wlv`1o!qG>(NC(2Szq4`MeX*ZhQY&w>v zv4qtlb_?NjqZ9^*EIXh!)LgrlZseVcPN%*{Ht zv@0Ar+rG9?FLU{jL?-dHUvcx0DxIlC;3cX+t6NR-GM=a%xQ{yF$sR3Cpj@)4EQEVs)0nxIAic`9=lReJt87 zr^Qj246#wSd!N;YR?k0BTi1JoJrQV z8xXCeHmI2VP|+X*G5h^AoO7X&=(fu9!;+ zUwtKgq^M8WpYpdjkxF5hyqUUOi=J^r;WDK>GFOrONs*Ye!ZIQ<<(U*ksJ;oBRO!n0MArFQ&kYkzV#X_!J@4$J^DQm;VFz=pd(L!X6M8BZlein>D(y4dV>>6t_*WwG8TEBx z0ck6btA$c?TAXv5)gDKuWKsG{x%UhjJ!c=I6KqnoM+K)ZvV6ujW3oM3J|X?6?`C}(GiB(Q~)>T`(}O{rIG z6o}-5BL%TS(mmd)>^077*NA@FAMU(D5V|q_SiEj6F@rOt(ylnhX@;_23X~f{fpl7I zWTsjl&0>eR&)Bl&J1o6Q#|KQOaf-l4tlH%I;$CjI8kR`7v+%o| zLjxLV4Q(a7CT!tb`6#{2@tC@jYQLy=i-bg<1WZ)>UG5M6{HNwKo9u5~l2S(Ywmp*D z=H+!#ZlKWRszY_El|SvXI@~HgZ&(Gn*#=EDxh|v8?Bl1SED{Wiv^ZQxmtd`TJ3d#+ zb?%Z-$$MovnX~L(P0&zH>X(cGn$(Qw{{G2KRPjqB%7s)OyB|(OjdnChtj6*B>(phe zNW=kg2QdPCy%g1LzbeQZkSGmgJ6GQ2v7I$*cDKv7WEbp7Ef_I^AUaA|!u@XGFiSRT z?OHS4P*m@@YO&cMq7b-1B#e#^se)F}l?T47JkqR?)18vdOGZ))>gu!{5RK3N@jw39 z{|~?0f60&scwJ5oGsh2ZV^D%|+**MBfJSx8T%+-8oV>$BT)I}*@HXd*3Tq@kljIz| zje{4kUeq|~Rgl}@aFZ7G0bhH2Jk%d$T!$kII-GQCJ<4r;Q4;~c+qNPs7xnLRajQcj z_?k94a-Ix695fLqru;gc>y|k;pwJpo;NZy@6BjL*DfoGqA`Gk{Vjx<;e9V?prdgW9 zsR(CFN}dJ|>vq{6?GAFn$KgG{urAyob1M%&i-N6*_!H;Hi2c-|Y~U&KAvI3jfd-dQG$> zmQPRG=sw;qN95#IzDm&bdV~H==WVe*1QP8 zRwIh&0HPUf)IP*@7;%Fr>ZGa$S)SX`Z3i9K6qSa*E6qT+weo;k1ivR?$oZ5@Je-#+ zri6?K{e>lF&J}Bh$_6Dd5rflj2;)=3#7A#)da+}f=j^eg&?0cn`L+~}h=RE3y(ccT zL_hqG=p|9)K1TyZHbOvHaDqPhbt5fny(ZUbB^{@1aKd30XRhP@F~i_fa-vG=GK|~u zO~qf#be|Djrv+pao15!Y;xnwgLrS6P*PWn|CaR(cGU0j!Z4FZOB^EU1K?z7rUbPfa z14CcqOE#-=b5Vb#fNL>aP3L+{lCf;HJ7^0SZcTHAJPYJQljl99tg%hb6$C@o#!Nt!NSCZ>^!`Dv@C()XbJ1QJ(yhwRsCU~y((DO%r|Hi|s z0tgfj_1Kgv*+|%J8zf(ibeZZvwf#bLjjA~X1{HHbvP6Nj<0f1LPO&lBeKfjG5F%QX zGsDC-Zp&k$t12T~eOFKfKC=j!>(xpY@#TZmacly@fVmM-4cq_HeV5kja-Fj7xDOGI z6A|*NlYLB96d#of(wt#zpkJp!=ICib0f?R-p$-_|jEofs3X8NF*3BU8Ma(AyU86aS z#mxc%3Mw^V?t<9tKv=&HtiU#Q1+pf|S2o>!=)>OI{;>1{xB5soD?TsU0fT}4BmaQw z`y2RVxGghb`J(V%n$?*%aPsKq&-hcw8EE99W$s0l{1GUuh^;Ag)MSU-y z3DP`Er3i@zTxu7g^-x~aPZfC`A~pj$C@W39nwyGFW1C6sZY6RcYOGVZq~1t5$^obg zlHUqY=p*y@OuD^U8#j2$G>2HdghcY_hxFY8pX2Tg9{x1WgBZ2BLc zZaviXn|jt(N|lM2pmvoNa$T}WG?h03pvX7+ZJN^LNmi|KNy94W&ufiND#OS0; zqJbJE7tE_*0X4XmRK(skxH-|97ZHcD1$-F>oK73vP;i z<&WPF{_(=+R!7z^w}_nI$^t%Hz}gNI{0{4k5;boGjv^MqAh@8_PN&ciI!qaqV}8e) zXwZ~CY;rmJ8lLl)3x+hUq1yo$s3pw8B2MrrPJ~ZqTU;lLPRiJHgw6#lI8rrzBu6~c)I8y)m zvD2FRfN(+CN}9UNv4-S}n}1Tv_H-5}6aVv;-rJW*-Amxb*;(~9)4BVfJGY28R}}R0 zhPB?pI_q`ex-V^ey1V*p@my$bva-6Od%rP7kUG`9UHBp0^T7NEe|W!Z|E8xudrAAw zg;GN$@3Lj_VAXEruX^@fr=Bszb6x5IjVS{I>2p>$mnkVxs~*ls+nh?l)-`4@`{Gkyy{E+2;~3>@S@| zs1z8g*60(rhfC@!r`k;C1Lde$HvdKKXYm1Ja*TAIa;`DAcJ7?3h}ip2DFWJ3#LAZV zWqO0u@Tc$4^B{=et;+T$v@x6@AF zr7wk&$IK+()cyIXo|bg!O}Ska+S%;vbn>ceU-^yzlDpB|md<}k#LJ!bcg&x(IO9b7 zOZD4j<&h=jjB#F-mz0x5zpj2=PrR=rKX7-63zZ+v3<}pJ%GG3J>FLO{_==uxzWUWB z`x`G+6_hkAg-9{8)dvv}SqfM{31| z_pg~2mr74QxOC(4eAm-<&0_dx;xp~fRAfG1B`jUJ^sV9Euk_y#8}Hl}ucgMVx-Rq# z9^IQd7k-XhpW9yeagR1(wpEqTrPlKuyYJXrzW3Pk7xq1Ntgcczq*Qc94oN$-Z_a17 z75-HGV%NdYq30B^^(V*NM^D;4!oowJ2(K0|gJo?ZH%9rdHw=?|K7ZzW+Mx&3j-f5@ zb-YfyMncPUhtOYr|4U0o>{w~dVr;SHktdZ0jox=p+)b8FT|HK;)m`(>_~TbqGz-hs z$*tn!PHpuQrN#GEzufwbuU$B`@sa4t=y97$+xzI*jvn>F@nwdrXeG821XH9(z5840 zt^smB6q~8X^V>UaZirvJd7JR{O#ShJ^kP;0?Qyip>^M+<``xF=lGf9)T>RZfw^8J+ z)m5L1Z;QWtbXhnqrk9>QEAFqJO)niiI~jfwPp8aqzkU8_l{-5V*__#FACv}BWb>vp z>n`GXeoNPwVB@)@`P|HAR%1_&N#hq;?SR%abn8(3Vn?uV^3BqV9ZLVoQ}}6Z&%kjz zR88NEp9)o@mhmFpQW|3yjpGC4d3N^~2UnlB+lf!&-|C9l7lmEy8J4=$R{jZ3ZFcDS zXL5t=qVN|tZ$qltn2mxL{30Ire%T%u_TwA3lp=Yo{Wv4DvlyC=Pw1A8&7`Ir&vbL0 zN1H}+mCvs{FKI|~GLW*qXZsLcKrhvk;}<8NRr}LRRc}5z@ksieXWo3Y{Tn-qT|7M@ z)Xg74PnjnKKK^gQxtqZjd3Re{ITt_FQOrK-*ws068aZy6>htkeLAjKvK6z|ORX?IX zh*P>-!OsSBe<<@ERoYKZrYFi>JdqQ^O=AP(WZenjOJj_j-?5qB$$#yJo=vk=t0N5r zU@4k&HfEdkYD+AOOjSDUC_p3^X;APu#WrUY83bm}s6hmhP7&Mvrktx}maEMVeB{*H(mJ842jC%u)|!rheoY!T z%QlQKk9jS~>dCOt73IKnB05n$EHWaWClw5^;8Z9*F6%v{y|UdZAgD9fReV5ivCY1C zR(ipw59?D_FVWfu4X&$7GfX7;x=uKFMi{>$uUtx8&6I$M96S)&u%KDlSRMW1R&$;R3e-!sRJ4$8FG& zJFMFr{vizEBJB$N$LlB! zu#59*GH{*)qz6a~)T4Ve;p<#4{NjD_|M>_2=>2O>H&hec*#QZB&D2+;;{?pd&v6%yPlNCq5_7+~qo z_iCezzW36-E|GZ?0j32s>tGRTyDj)Ka7O7o8a7Ei_Fdv6zi=<8C|Kks3 zfAjm(|FA0E%gS1aFgwCOMAKAtwzF;{A>od?BY#cY9a6L}G3yktO)QkEs57MVA%0IC zD`a4^;@S9im#)PZ_ee=_g9VqRLyo<2uIU3gt&sF(;pTcLE88o9yI_{RA@(KG_GWh5 z-U^4z>w}^Bopmn8FjD^)lDV15$)J!2UK{JGdi4irHFvwX;+=uaBbQ zv~EO?MC(7xGrJEG*vvMQQHDA0iGbP$ze9ROdx+ZM%_GY895K*l{^v1tPQFaq)7|#l zKc4@e@`uvDtP21C`_IiHMhKo9{D z?$X5d;1J%0uNB2~l{r5Sz`M9eOmtWbugYTeU0)O*l{rjkIB|bA1BjJyaP&A|l=DaN z(Uo`Mn0rRTUMb|jyFLpajd~GFkvsW?Ggoc;?K?Qadk7A=C`D_Xwl*95icr8tkU+|F zPBWVb_DD#n8c|*CIl6nJu6YM0j^c>jO5d3G#f<9 zmC0=&+=Q+1F5(4>PqJO)aaoMG!rF$Qh8yB^mbL^t92AXqCJTa{4QejS3v$j{8hk1W zD`7Ag02y45WLudK^h2lxm2~?ARCVcEFlubg@uEG7f=q%xk^A%O#NYQJ&)8T zcb4Rv`QeZEz3{`S)m!DpW!$T9+eYGSrb1hTknhgRxLb9d)=VZuCx|!%=xl9Qr5k)E^2w`Kc1fpl8H7Y3nK~fm75G4t@I>S^- z4@d#imc4to32w_Lq46H|?!7aJZMO8olQM6PM|IlCqi)y9NYb#L7c3AQW|&V!`_Pn9 z9x}|dHNjNc7`CNj0!ZM{_`%KtLxgIclw6gK>(-2lnlHB2a1rtz5y&h95jiB}GaE|; zG8MJQqtyLC2==Rt+&#pyXWrq|0NnAy%qLbw^rg_qIO%X}j0XADP3 z>u%KVBBVOAlME-rLNSKjQvX=4AADa0=+< z$OrM%A*U77s}P4R-X0;AFcYV;IP>*1};vi%AGDSRqgg^f_Gp;95?js^fdPd4? zM9(h$Bt?4*%~!_s45kV8$_>yk<=$u_3Rlzm$-nYU>T z7OY+()N5Ob8-8p4dr6MBh#!NV+j-rl7E7(HGcm+A721-QT(;B|Ql+IuOwQ~T-_g|7zv6JB& zh|ijEn;aMnvCkagkgFajpUUl2LC)ujBgT4-^s0PnH%7vxGMkLrT2>I_iqDnTk?|U; zm}^)&Qkc?&{6tH|fOo_urno^;AgKydtFk3VCK%X;-eF*f%`4FYB^orQo<#MuXGe-8 z%sQW_YlU@A#I)V0&n&{uzhCBEF<+WwI+2m{YMm6&?>gl<^`QVNJwJMr*#naWg$a5RXu--@1(_yh|B#SOFok7jHX;@#-&5R_D z#5gEeqwWdk7SG6JwwSuCA*LXnUaco87-ZxcyTgUF7d0iRIG;ryHrN}N zAE5;xJymrx{TMlEu=!|u*mOc}`w$S!g0#L2Fen3CBO3ZF?@E&d^3u>i=d@#ADTNrQ zn_z!%FIDS}JP80wmplWsQL$`eRbq#;}CO6x2vH3TfNT4hoQ& zVc?^HP}xT3B>F-$i|tIa`snxA+MK&vsUIY-MoD@|CGHtouN6rZIkijzWt3I|Tt(;G z>X34p-Tdws;_@e7dSO+5c_~eAAS$<_SvQad6B!T8;MEnIRE#`>0k}46V z%P{MP7;IMyAuhi@l0To@p@eB!ZoQyQWYGy1;D%VtvFUtwH9y|0U9w+`SDv>&_sO5E zKP#W6>mv3kM`_`z^0`*Jh8mp$b<3qp2}!lmQnbs{FlJ$0JQ&dj=Gj7_3jJ$zlnAI| ziIO$?*#vCaiUzKVv5a1(0K=OvNh*O9GsO)8h#!EcZ-Xeqdk7mY(ND&pgLcLOmT&*5 zQAx|l`m(GP*WE4>OSB&>DRn075UI06%qbunO>J{S8H~=1wrbx{YBF`uh=$AD@lOrh zNxCs)ZD0^-KT#tw0UA@lreWgThQTv5v1Q7jyQOArMJ17m>j`v}D62YYV0vVYQCfoV z^>`!re(hrRq}2kMUI}L-=fh;qmO9fR3~jrUg71^q=KLR8iSpsGkBw8eZ2Ik|puq!3 z;~qewePnH&wwaA)k+@unJ^TDA#us4ILzRIxcy$^(`wGZGqjuU=@D(yP1{WmMlcu5? z&M~a09IBEfD)rO#l9UiziN&cK2nuR^1E_7@NpyL_mZeF27UeoHbXd>@BBaQy7>oe70M&x=@Zdkto&*)6Pe8 zB(#idi2)PLHv+LBXAQVKA$jmg0=nwbJOK5WM1VU=LSDk2%m8xIsp}yr8(OBAr~*#A)w*k#`J-WL$x=d3mKw8?BRj-R$;n|NM6i|Lf!5KYYos-J`17iVCfD1R%Nk;kiJm z4h3@b1P_$$3OQ)d3=!gyJZvIjL7egM)&$dr6(1``q}To&cc%Qv-S~Bd@0>SXIXUp`c&O^ zlDLuFNh}-bp4B+%{i<&Q7%f=|A+BoNlIy`VBm}gFh~E`)X(cnn!)XsEwQ4<#B^Fk) zT7=$(MS1`Oz*K!#f{uGuj7`gn5~ha-)mF8yTH4^rafwVBjgGCidq(U4aR(ev|2$Eq z;^^R*3n}Oa^lAeoY1@GUR3iBq~Vn82DQGrgxvdBvAmj zl1Tt+iS-e)y$ZnXRasf@@}>vf%5}_FGbf*LH!@uSj_!hC*g$`2YyjX;N}Ur#)v`gR z1H574+E^}fP)%qPu%uuTY@>OCG0XstL<`N<;BrW*Y_!iU;M;VEVwFy@w34w7A`L zJ~e+ix%&WYc?+h`3c!O-E+MWOI!oJsLOZv@vmDYULB+%{ml}=i7GAOs8X?j?;EZcp zbY_?NDbUODq9@LT;4QHHe5vFw(s+SkaZP*j^qdTPB_6>T$s^=;&vjk*aP8q#uV zqq84gH)Kky^~g(l7knv12g_6ea8H0%dWzP$nN@pJ?*wuZ%5v%=)RGFds$!OC6-=Ll zigsyR0AE7$-Ln4_fJLBZZ4 z0XskBgsYSytMCu;yyjFYUZSJ)+x3kZSa?FpLfJ{mPgt5GUXO%=5q{#-RV2CRb!rGb&a!EQJAnmvNCvE@Api^tIs74%I-Nz%llFiK?BW?v zy&Bdw3pb%0moWiEIENAFs^&fWpcOfW^ryf3trq>kRi9eW6l4p{gWjS-J1ey4kGi7=2gZVMemjqXuj+mT`#MQ(?#&CYW|ri8msu zTq0Cy7@5sHR5+y>)y@)(Yh8AW6nC7{0^L3Zp2^cp(`b>&X-5IOK)PdxaoO%Hq;T8z}F zh$16igsD1V?Ni6#Y{FAUl($V#i}D@#26EoKr6C= zunkImwRiVR#F(@8YRY2_e{gGrFcR!ZU^9rVI2 z!w5csVq%G$07&#Tiw;@DeW$@-L>$-MMPV!ItB{zs zI0Tk{DO@xqPPdRA$*A9HR*4vjS!1SJe?;fqVgY|8m3VTk?Udj&&kTCbew9Y|DL^Xr z@Enw98}zi7sA*OtWwxu>=c5P$X0}KT?&Vk(;dQe11I7qN*kWr=NBcPD!5)>E+8TvT z92AwU4vnTQa#>g$XmS4ZpRe80cG*`i3HmZA1!c06Slz+QKvz^Y(qN8^x7JnbGjO6- zb~xA>x*k>x`znCD!#b>k=(v?R2D1z#HV?uJC1Pr=5C!zs=}WMQQZcjLQK3^FnlEFs zNRoB>8tnEl6B@`05qZIWfUt|$f|A2d1%eo!_CnHbEq0Q!g3$s6_5_tvTO>QFg~r{9 zu>?gUY01fy@@}MB3Q5`wEJB@P3gf03bI;O~v2;I~f(|!p)CY2mad^<8yF@%WBkc?- zydfb%hi!umB|USB6_4&KzqI0|t;|+72qggGmoi)6|L)LTyIO~-W{N_pHN6Wsg0CQx zD-lNOF6h`?LeAL4qhg|jsc;2aNJ7|I$CtM(NPlR!b>wTCzS+F0&)ChxWJ45cMWL4v zJ~&?&Vk#=}-x4w&xW%QyP;OI`!z*%L(#IQISO@G)X=_(>I^0U7AG2D4Aoe&|gn=O0xw@v7*(G_0`OVfy>cKDQM_kOy(rLq|`&&B9tB> z$%TlThRD1rkZu;kh&9Y;FsDgHFr{ie4KqFnRuY6JcQrt-g%yJ_$6;9eljK?z}$^kY!2NQz(G_Bm zH3B@1GNxP(D^xGT7=tv%Gar}XCfNLLAt*wr6Gc+JXOKOs0&iE@Ka`@d$mtSo@@^df zxk@~b0ZB&=VT-OvQ}MlrXf2fvNu*KDmX^%#A)|?Cdq15W^o&Td(uHa-NDk0@GVzjpelK+fzDwSi9s)x zX(8Q6>>BFK(#wGbura%0xqC;zJX!L)4`2AG>&T~KM?AE>fhab1M)ZC1=`fbJ_lRe@ zx3K{|u$8b!_36Fvuvw}#Rw`+kEkInJp~2U#V4fxNiRsB6t2V@%l+PjP)0fytfI~nq znP_4%X0F=;RaZNq=?#kn(z|58)1FsQDSd;5)IrSTt>mE5Lg!a3%ONsc=mJXjk{g^j zXoE2brMR0}c4G^fRCpsNEhNLCGc=l^Ewd*Irr z)4T_oQu@b|8HRL7Q^-svVFD?bG}TnAW_}sM5dJMGy4Z77(pb|!>QT`{&)dxmLl|fZ zg6Lw;vkgrvYPAPjckAxnt;AT-b?ud9-QM24y9?4T?(Ne$W5s`97N@xtFb_oxq3%;P51;j0)j0-~>32LN_@K3fx81GOOSg3Jobg{Wh4D z7|>E>0YL!9NhS5Cpe;0i1UOMc33v|=usC2^3Kj5>;Pi)zmQ?%qz*9{FRTw}0?}FO~ zBH#!aWsMsvDVIZAwZJU7W=0B9?KLia(?|5;zd!KJALYH6T4sl)d6FbFGDPq=y(9(} z#oe1Kgwv8P2LO8wkf%|FB(z#RsL27KBSR$sK9o=62iO#lgdfA%o@T%eQtK7-dR#4m zWIde-@ER`|t>Ui$Vm;vz0UL>c=nnX7fM5~MwVx=V`fcd!28DsWM2{{B39RSP!~q~T zApuJ=kf%8W<4WpzInl)cBlkPBtDptcsiU9VqpqX2B z$z_3BS-n`TB`C`%u@4d71G2V3NRt7daTNlY(A0iEyDSm_zqFi2++U(BC4jE`hF3*R z`}cd7jcg6yt$+L;#siUTYGyP3`h8MqLJI0j6%2~E^FH8)+e1Rx)f;pXRj5m1((q+#r$ z-@>Lqx#Eon1&u3k0_z2k56FNorE&|vAk@-R%q%@pMgjs<2%L4?D5r`;C~N`0)DvNh zu!#yd1IZE1TVHU3gn(B+1s^{$bO;5gHu}O4HzO?DhFJx?NpO17fJ;v=%g8~hfo8NK z4Ld+rftxlOyCEgooylmwP1$ulQi9su8BLKvM8C`$aQiCd|0yNM6!If55+EOg2$FvP zhc}hfEgYOF;0>rOjW=37YROd0|N600f0gHa=(>IWCN@-dP{)Ler z>EziZ&)tvU7YD)R1UXbSmG9Dnb&k#ua3Cj;NN5@xu$i?|95l*|L+_Da0e=NTPVkGp ztCX9;{Yk;4J|t-wT)_RJo&{^Gd72Vb9V2`|Tu*?VfN3un()$^hPjH>)6|4uZp%*1Io_#xD^LW3KNOEN8Vh@h9}NBi!2BoDvi28HWc2l`i%0X?MJz@wjaCb}S} z4wYFgyVpbnKo8xk`u&j_UZZd+FL7yy;)2(y{j~^445WblTvSpkbA$fZoS()5pzRqJ z^!$?ZLmi?GN|UfbVQ)C}5fN&3&}r1#or4BCv>+*2i&U_Drxj}2GEoW1<&+C85gPUm zQiyw!rL>}KFM?VpP>z9MNh$@Zg7?!SL&Uj6?Db%a7g&wh_k!}-4{m*!sTKPnIR+qW za5e^fbdyTL8;9Z0g>$4?Fn(;v2;mhdC(RZw(R&S$a0Yb!(EFgwHSY)3V=TG$IZCpS zY*j(FA!~q+ftykbYM221Pb6-qc;H0_ksV4ZKa{q^`VJrfo1pZx3h6)18sBl`>YvRE z$>=iO*HVwNa8mo3hzLptY8kN5u#EDFCkx4UA)?gi4%u6+z6{(x2!14dP9Q3H_vazw^b+(2XkO=DxwRW= zmY{#Y@f?Iw0MLF`l&7`2M~%QZ{`;6uD?0BsDo%gGFfDp;^dL?(DW@S&b;`1x+hsu7 zF;CmNL4yG4!_W_^%=op?$%2*-I$x{M0|F2I8Stv+=|kc=X{3a-qaWx9Cmo!9Wj}3m zN`SHpsw4@k+kijR@>vJ`cu2_4@)DeN2Bf58lDZGP{jIXLLLAg4R8GKGYPJB`5#$x7 zl~6vA9qQ#^ryWkQsha!ag-iK)&-};r;|`w=&C=J;dpRK zzvEcY^Mxd2xJOE;7Zd?}BMH0in~9gEXi3C}9S(7U@d zKOrq0{sI|NX}181?mP&a3kC3ghyW()oott8blaZ%`m>uqv0mqc)~fNr24s*yu|w;E zK}p}Xq}coGX>k8ks3B;2M|*Sf5b6dGh)%#rQJqqS^jecq9!-ns&1vw1nJ91vtkpDJ ze+%aw2c&Lb0;D-XE+8i^YLNgK+GPdrS0EJ7(gYd@T-6cCCc%=GM-c_dgc`8P)39sR zG-#oNGKw#m*@*_|r8>ZZnt2Jr{ZJKxn8I#YQudIR0N2O|o-8OAhTm&b`v(_Yb(PbR6EO|gs&TtjTV@Lv@V^kh4*TMpqA*Q9rARj3&>Hd=& zsd^{;M$rNTr~`mT$Uv_YqFw|;+meWB)f$kBW1UMd}3J)Sot&eL5^Lc|G%VME`R7`O% zf(xX%!jNHyM0^b-aX>CI1gSQp6}JuE9Zr82d`et9{UK$^8eC;G$kiNo zU*=Fm1oZ}fuNEO_DdGPUB51;~AcD(EY*((w2-jHy_?^mi7YIt)*O>!JL5MDkAX%%0 z32jcTX%u2+LO(@JlfOW5Gyc>DI);{+!>u*>(E-SeX%1?C4P)n40R3iI zDFRs@!%~^s2g&09hdXdwH>&SLhydA3JOT=MTn-mt>u^1w?Jeo8 zdKy%SR=fg(RD?jJmN^9WVoZft4h)FaD5|A|mNNCAjx7l~gz#)dtj$WSuEi)%sM7^w30C!@r-AOYe&^dG6j4U~+ z6ICEQezY7vXsQgFuQXLgL(0ttRR4m4ATL&?-GgIUnJp#sHDkUULX0X|%j#@_UWy@% zRLe4pnoB*6P$n9)fS%Db8pMJBLxMWcI$Bd{ngl^I$*Y+b8f2)N`}3i$*WdZU;&l-k zRUpFtS1pjxV!By+KU2SCT$ZE=Ds>ix+Yo1iQ|!^Q{YzZRNiWq?#2QFW z*fbZg7Xo4UYu5!SZC%PKX_5rNT^-!N`g3??*~Z*ZaW{gAUcV7cJpA?svrhZL-31lW zAOI()wc?f58v+3Zw-j+Nxmlx7R|SdbUoto0lBHrxq!b+6k#Z3j_Wy|~AnIQBxGoGO zdf7+7?t$IWlwEK+s<RoGNpwU zV1a=8P?}ImJ-lXuN`Nm-kY&4#GA*T`mQ-FxXqjOn;74e|g#lRiDFGYtA1I+47SFZMv%Vtv1iN^bIue%kckFgRj;Y3=eo+`}I;ou=f1_e+W7=#$}88|NbrX z`DzcX`~Sv2hJwEK1AF6@Ki5?p9?N_B@3f!c!HrWSbl^b7npM2nN|eJc2w1|5u{u~( zC-K}+9Vyyr7$TkU!Y_1HoR^ewsLSfQl^lMY#RKyAH=zH7s~pNvR3so?5GtYnWd-S$ zz0BTkKvyFb5^8A{nhFCLG!2{Oh@Q&j0gLx2nmRk=CUGV&Zd}Z*m$T2=&(-#j~<#w5Xx7Sbp({^hh=Yy^h2|l z?kJKuAqCC4(P@}4wXz{EbhT#lmFibRf7)?J-WzAMo7Wz>(Of-DyJ8qL#sJNnxLz&F_F}oRV8&KJ!#9L>@W?iEd3!H?@!7MB@4ut{43Kp};@Zx0=!GA# zZO3O~(}BzjeVbcm+03K{nSSc*;KJysfkD{()IS1H=37r*4m?1MutaLGZ}Yy{0wjC#M&Z=Dj`0ImRpZxRA2Dmf{Sl;)Xo<06q*&kuU6CkT+ zh;v)tcuLIdJOVp#U--$+N$pDzt{MPr_33>V_x!3E-j(GtJAWevE*p>715edldE+rV ze9`t&)zk+z9&QMp{vmf|4?xXic0N!Rc;bzP!8h!ucD|4qtO%yAB+3qJ*y>_~|1H=l zbPgysAayUc2{;fysr-GA!#6GBjxd$2yZ(SSc+V?<`%LKpsJV2cO3tI*EOR_&_Orx0BA*z?JS&5tHu34HS&xGFQbc{41Cf~x|-#kYDV zkL=ocg>FCtU+e@beX$e%*i(Dw#cu+*`ksXZTq+{q%O`_>yYr8B;K=hMOGx&>7CWGT zKVVKIqT+?qz~kfGyuJQ-#nv|#2jR=4 z@rhsj+5XpGeEnb7PZKhHLH(4fx4v!yVDvWYQ(~Ka|F`TgS2Hx*0y~3;>O;k+!O&hK zehR}XUFa4iJyt)%2k;FM%`8nWt`vHNyi2A1TCMe2^NfJ=m zmE>3Sxg;et}(giTuy^~@h?pnRiAE%S8|dU@KC ztyJ6Q%v*;v;B?r`WPMnHtzhk`d9@)(%%!I7bCYebo_hfmm%(0=z|K!h3v`L{7%{k@ z>@zhG16Tbug$?ltTr;%0*6W2|3-jK{*aP!&<4? zZLq%$R{*9R2WowZ8Ym_6Nf-L2a(w)%QbP2iP4-i%mw=$uIb?V{J{o(dtf-36D$GqA z-YWrf3;PKCK&>T$1GYM(DSv8wgzogU2QonGl0mpaIfYJZ81_}p1|YdEt>jwig7as*UC|a{YG5`;F;lN~hd4MZ`?_fWNNJAeYhS6C9Ckdor z0+pp%D!?~^aWv@rhi*nJD+a0*P!^C*(2G0`IuCTZPKd&l91Ejt0(_rq&X%=dl!zBb zK|qyzykMPJcwYoBW@(q|Xs-rL$HG_%27f^2)-c&Ht)Vl+potXCyR&Q2@4b5Qw{WHQ zkTvbC^8zG#NE>|1wW`@>MHhwFqS;SKy)_z4nXE~IQ%QZtP{;@mN|>lXFrQ1T)ShK$AcaZ?M;_%8ip7( za33Aa-BB`@RZENT29hz&MCmmQ2F1a!83|x1=yd=dk99&R9PSE8N^LGV^~z+PX$)I_ z@E{k8cRhzVvx}_usel@r8}b1v6P5=p^(UDXwHWl~83cxF9?|ntG-F}aY^jg-V7gxX zImBZ#7Mn21aqBH6VT zW;lzi#t|KynIc(i z)Igx=&b{_HwZeAI=rg76$N9R(PDNPLw2BCrpz`mXxZQm6d!bD3cp>8QDLmHR&Am3uz;r38h{x-_?}n zxqYILX;)fVPoXmSFd4ldHWoEj;Z!BFr>ckTr#8q&r*ofdWk((=G6ox56R!M$L_Ttr zd{~M0lr`y}*RLQ$1p;-AtTM&v=1u*dLd25TsKoZMjhnbbf`jYFYls42R^?}hB7w@L zaz3BHXYzwLudxj02gpdN-Tf%R))~s#QX);6=up0UCD-pK`5@i!qCyeOkRQ3N%#hdl zKKq`lA2we-we_pLzy0U?u9H2jPZO`R7V)-fg%U&L3&Kr;jmwd7q$}Vnu}M6yJ|sPZ ze8Uvif`Srx(DNaFp0-7{GcIHNUXwda#(u~L{i=AvtgH}&II4hlM6!}z{Xn9J`{-_A zmKZRq9J!~8kPXt5>p1;wd-Nh&?`M3-Nsll!sB+?ftzYV)#zMPDn-wPyaO`=3-_;@Z z7sx9NFh!&@`8}r)!3jAy*2XU2C3GG0PHw)EoiBzyW;O^?hZN+alhS2-9TjaclHsU_ z1k5BXU>YVo#l5-d)(+0)x)&d3jTiaPU_msOeQuwRusR9zH?55fi~ z6%65Y^eoXU&~cg>dXLrFm7t%M+Qooe(9ZC(&YQvmQmC%q&yuTqgj*Ok7TUXklKL1m z4KPZ3STd_gFbDwVB9uzmX3rtT$cXISF|Z>2QBZL6NoL}Jc=(-GF7X)vA-eUqpF zhk=X}^a`FE#0kITigo1b&x-?kXC@Sn}9#E!hM07J5}o=FD@_YL=0h z1Sn4DgX_3o9=P_BdH$C-oQKLmKOrTLCS?ONWlb?J@##JBgh5(CIsH;opdf4zgK}CB z3EtT?yrouWooN@Wd%HTzIF*kXndZAEoB-kg@ZC9NOVjJPnaWBY94(>Vph`GD$3_PA zEq*>*s^QrC#S*FI74nfnpZs%TxJD`E&WN+G34_N)7?^N6D+yDcg2ub4JOgwM*@$UG zj!*_dn$QoM$?d0Xx6K#CXwNBLP@(P}nmZdJ35iChCcZc%D6fgmU`TQnyvB$?Gg4VZIY2j`8)+6!{2 zO~}gtb{#4pgs>O%5A7r5^LW-Kt2tZML+}q%WSEW=Y=i7-fL14TM=?aa#Q@fT&b&gP z+rsE{VZ@404RbQdG^+eY!0&B)}QSdYgJBx7$ zasx2@wob!@;`63IyE^ub#ZQhd(;&}bto^Rd@`Pj8UNlF(`@OW7$88CAz58Qz%Wi)w zHM8Rx$EWt%m2C@FiSkp65vi6v?F48b@=Q}fu$xs+Q(L{aGp(NvTluV8No^5<>^n7gQspf8GV~f&Q{nT>- z{w>BCBP_@`gCiEDf|mGga+h#;_$_znFC;%AoMiY_fK_#L+!(^gA{pkDsw!f8KT%1X zXF?m8*LbddJd?i*wcx*GEDS&%8D;il{btG^KNFd^RW4PDluOJUP0R}o_b~nP!L5Go(+xLC-U&;-^AOXZn4I6V zbvW*gqIr|DiO8=Fbrqxn1n2hA&v2R24NX<#Zxrs^!X!Cbeyve1v+e`?Rl!258Ot`- z#Tu;ih1Ao`i|XyJDz>NiGW{*aCxr3aY{txvzSJ$3pZ>?sfAZJMoLNI=#TEL#ah~rZ zxOZ#RD$cL)GdLHN^ebu$e*^B?89BeS+)#va6iKcm?y{JT{`v~AZ67dEeC zp|q+xA9*j{IQ%$~R1|%96EE~p?J)n#UJOnNfPjBGGD7q)sMLip|dzCSHhlk+U%?v7JKp9kh_XK?jVyjxS7v9)XBN?T^I4!Iy(6_ z_BqARGH&7Ll!=-N<+*Tvw7g$(-~hH8VtKQ1M54H-p}NHEVau8f0&O_W=;|3JP+3{o zyhDZIlBCNHBkP=z3)Xk;5<`rGb3h$6Ax8}4L?IraRzicCSr<#lo{m&2&a|OvDp8=W zNwsXMgx<(`sRF0w3%+}ihGiCHOElx@bcJ2ACH3Xc&n<4x`=_R5>SBQw1J?rM*c2Az zpc9BUDmf~zGe1tZpzY!)eHH|^fmH_02a+CCOL>HH(dS-Wt2oU&1&Q$~yoYImoZD7b zb=uhA#-zZ(0;llHGSk6?5#!~vXdzii49oagykGRv=Lw?mU80Cxh?xh?k)s@yuG2p-vz9Pm1tVMZ)X9>S}ssRAkZO~DltMi@EPe7W4_!Aa|R z#*Vk-K)j3RP0i*r;%ZR}%7EBOv<%!lMdI>U_d^D8 zIKNWdP3R}|EnB4Dxcg>;Xs> zW#y4CsCP?a^x)0#8GGD^CPe-%oT0%t`k&2mHA!eIdxlL@Gm{Td1w@4H&VXK8s^#`JKU|^z}m{#1#L1ocB+%WHV zx=9!d6={IgTS36K3EUy`D9a3S(K;sEd(HKy#8-JG_Ui|#(L%a>u=OE-HPt@ss+1_F z-9aZ)!AiE|7Fem`;9#zQ!l$Gyj;RR`fxp6cR%ZEm*4Bz#<5jE@n|NFage4?e@SLtj z>wxP)=im!+DxU+?0$SG_i8GUfLhj9N9G}Z~*s@GgyX!ui^a;_4-gCPVNfhs9 z?}-ujjMp?)E3g2nib4W`49vL6Lh$DY@Oyj*rvPJoGr5^9tMpC_dtkz?@G2Q#BWWg8 z$9A%fmCU=6Uzyz4)7S|J1~g5yScv`}9-t)B1~L)nR-WD_^bn`TQG23}EobNsmT-{B z(?_XI@2YE>{4IiDr(4x0mC(0JO>)5)T1j#j7}LlGj^KS_C34IZR<0p`-!CA@V4H?! z$hppWX1J5HNi`Y9;N)vs%T~Sjr-vWO`_sAWWX-k`EoRx(WA|mrXLqlxlvjA1MsXe8 zXrSEchg4by&&)hxo`^C{EX<~G^2}#qF8+>?APbyzO=UFiCF#hMD(msKkYp4mb%aq& zF@Bj%MW@H{5t0-|m`6jx3MC@>xU60PuY{%pF~FWMx5+0+?}$E!S%GxQ8>J&iHjl9b zq8=c$MQ4Ga&@1^C;x_x$fo~w0D%=hGZHYQU3M3|J=77ZTqo#G@z^xm&&CPpp*#)Ob zx0&E6Gqtt}`uYL)UeO8cgAx5;l!dPQc4&qN`NnZp&{eX0vouh^aOV+XATVlCZx&Oe zRRUmOW~f}D)o2@se2f42lbV0c>-pt%I)!aU>?WocT2v_a!Lr)~X*D4&i24Os6_m2| zQ*GpU4Nq2aw4YO_nA}P-kELw8>n(N`pXGL%#nSQ0ksMa|1-Y6U*zGo;TxFBQd$Cp3 zfKP|2(JV796>$MsXz?lP!vcJlbVO3|jzo{L ziftf0&5^QdA0ckF*@t&i)VyUgeeVb?k*ae75_4=K;#K^KQu%)cHCV&M$>(B{$4j^W+==!XIMlkcG`3Q}5kbJ$~@LN{*T8UrAjM z@G;bQkd^WWd73`mM7U$zYM4uR$#@Z02zwLAl5Sclr1FI}ynCf6JWlas#P6K%oY=ss z7WMo@@FcgbL8hEV1CQ-~|1aQ?duYKjV%+DwBthx|y|Z>DIsS4lE1c$}T?_ZI3n4RZ1n-|669nY#pq@mEyu7l59aRVGyc{NM4V@$4v{vy{;JU zE(NR$*HmZ=Inj;8ED5ryeJO+zG|Z}wlISb39LX$bhep2z1!0Vh>gIBbDsOc}@ky0& zHxOiSv&*}Rdsy{B)0ONNo@H1uqR%C7<=f}T-aAWYMRfva5LR*A2I`&^jBT?kNsm;;19%6PVT5<6VKW#HT@)&5 z7TFn7vi^mW1p(tJj>%H=t0B=PlPYy|$ed$e!O;WJY(zdp@G{_op{5QQHPYtLgG9BG zt(ch+R(0@XLXjk|7@CLz3>T-U0#hx+?m`{y;~B!^=duI13=`A20Q3wFIzn}f{E`ZN zB+}2&LCP|iEy6Ysj6GkPP3l*OD)YRcq(mWJx|Ws%%ACzQCcuSOX~d6Fbn9>;%4M;7 z>|ek8)gJR-l;|=YR$3t&CS~1>WvL=~>R3cI^Wgt03WA(jVo>#BY@>{OF*#d~0)ng< zHb)?DwuXv$2}A?bN4q&opA}`H0nQd~>?P$Wrc6L_Yz50DAXkTBC!%7mOV1=&0Qqi` zyjf<4E()FtrV}=Du%FRGgm^72w@%;?#_Ton3M5R`T!P{Cuz?LhZ4$r^SOBus=T-_? zgzOcNkHi4}1rt-U87Ai;mA4W~iEcWY_sgyGZ~fPwyS}k}V;H1ka}<|11^93PC!H0A z047R1%`%;9#B?qt&?x-%RAuwf`l?gMSpKXSup$`7OUV)4x0vvr51vvU>7Bu3+&A?x;%rd9< z=C4y(VHMvgjd(GVl5}QOAc4{?QAo3!2{c1WVf9~V88DtPRmXZUfbX3gz`paFt3UY1 ze>;LLKOX8}b+vr|vc|GzG&XhMw#5+4BQ zfO4E45{wr^%@H$;Nx4u{#w8)!EMpXrP2!zO>ShA|cy>|`zNMU{m$Fqdirvjcc`OKf zdxR9rd9gJ(q=p1`1t|>!6tH_h&qLf!Wg8@{zupjFF%0nX_~9rNF-XW8c2d|n3PV}l z>~Y+DZK~mKkLT4rv3#3Yn(V}nJie58o! z0{Q*XY?jL>DWk-!*u=om&+$+?aucBL2*@j9csqk~y;xSKLgLD>cWo)lX_L*ElLk42 zti29ewFT__AQ9o{2PpyRT(AgYGK|}?b;0yLM#kS6-b6Dv*;K&FT$VWjvyO^8SWRW| zqF&Cnqaog_D{x{1+|AXOIM^GAqS=HjdpY~M0MIP^cB)Sm#uYP6Sfqyao?5b%ScB{I zFdsOX40SS%X70EY{rZ)kJpY4LWzpsP2U&x)5dm(NQ`1q%8~|0ZbdQ+uiaCuYnOzI% zHXTRnk%;Zkb6Kh?+F^UAJ#5z5I0Y2~=s7k_dZ92vp&puol8!mMLrMDwL!i0V;MiK2 z9}DZlg(5n}JlGHW=TLSxRI&<0$QW)dP_Q7P*iI2Rf=#!yDbV7DOPY4Hgy6p z9SNO?D-Vi!Uk-ltlcS%r|FwL}*--*;-$e(^UtLEr2I#TCR>{0s^{*6jFYB8_ia&Q{XTF}8~R6U%8v+N)Ti!&he1-N7!Ukzow=e)XuJEE(UXSqmpyaA_RnJB5-O7u{T zoH?7*lN0*&9Q6)^u`yoBQq1g7DV1f$2pG%4g)9|s*j4!D^ABGB&NsCC#jq?rAVV6R1LNwM zP=<+s5LBbsc{p4cm)cB4<*X<+LuSkR5P0Ou1r}^mHY0X0+4n)|QVBVuVvd^2MlsAq zgQ@{do1@T}OVl?jlwJpSvfo`RO2^@S|#pb>enqbpGsES`du z%eg{Sl5@Q+O7uRngz2JKD~<_(n#zY9kei3I9OTVdl0;@u2|U*cy+L68vN<8(#8%5( zNN+qY17`g(01l$S3bAIa6ocqe0@df_IjVFP!*cjFunLPFCcK%+@7#MJ@5mpp<;VEG zrUv@?i8m?YR_Z>k>940a3;IuWN;=Oq)}>^a$aCze!~ed!YL8MVh)=r4xef>pc*MQ zo^lZH@w-Yh?;6?110SQ~oOtb6m4i8CsTP~h6h3rs15S80^Zzpcp*5MPR2Ql%WD;-e zTG-c8!x$#8MdG!QwodUzLl=-rI~%pYFISoellaxM(UOt;2H56Y5|6diXHepC&umAExEGz?C>opBhxh zM;b~Mahm^%DEkoPJgV5jO;?`WRCZ)D_k-lhgdktO2jMQw}B7duv{=&F<;+_B1cAY)ACd%2~M~i~BhCAgdSH5`m#AmS?YGMBSucb_$Su)9<#HT_%(-COq6ldhA z=fj(QU6l&O^c{+ai%S=Sn+qNM1^M~7Bi%O;yK>iyd&eSQjt1^t!Trv5;QSd|OHIme z^1Lz>z(Wnzoh>CTaXP`)Fcn1tYL8!1!kca7>`xMGWCLExwXz4Q&xn)N@4dm740a9v zc;{lI1h2S9nwEnV?@~9hzPbbPnSvL}TTI82MqZt+ENV|eDd{HVG9{%k@qFZ@< zNSgJJ#T&c0QsxKj?>KaK#RPLPKBeCCqm2QwmD^F)T*JPMo|pS$+*Prj-YwmJLTahv z>ge*V{5JtjtM6X%$LzdP_X2-J99pDrU>5TFOW!Qe4Ghs*`dI+J zu@LjbYn1j)^E~k;d62J9G!&FhN0LR?pNl1NKGpBk_ zd(l6a!t>5v^fIG8zl;vESBbu+k|E95sd7v}!#m;(S>6@?RQ?-c{R|7$d*mg4+2M+<>vwxe*Esb@^@No zAFR1d927eSp}CVdEFKu#^DP>l$fueM0hFKIv!i>q^l8J5rv{~aw(MyFdN{i;mSsjO z=#RfD{q5&p{MRzuv&W-hi`3YwJh%d-?nA5q-C?SWD~B#XCf6=k&N2jpg^U9&(UsI3 zl0GaP5N2ssQb~0#FskOlu)<1eBzi)hP`qp902Q047MXUTm3zsH)sQUwwvEeyps!auDwl46h4DF=7z5D9YU7mr{@F?Dq4L49rF=4 zA)6v2k{&Vw#9k%9JlbF@JfH{dCG}_ML_8rWu%hw%b2Gg zkzzhAXNV39rUGJ6B*3gtPy)7HP8P1CTD+8VLsEj#Df*0bK*gwRSnq0}BPfnY;Q}nu z$&#(H%=3NjKwk0j>w+>%XPeWU!wThf8p9+cFzXQvXXl#vbSayy8m!q-S`I_TB^MwH zli&{?g@3?9T53%*CU82z%cfw4LZ5=-5C#d2^|X1GR^=SKig5#GouZKCs2SEK9hRfA zF?@7JA=)^4uU^q{!%;aWu+C1j(JN)Bu;un5i1D+#83^mg8vRohD%PFci+Wu;qCNlE^T67iC!|1oDlg(x>3G)%HsrRk)rD8C6B}j zx0O@o$S%5ek(M+p`x%-%TS{enBW7#ZmRfYGy}QcgB4(&J)cq1{ZyXJTd0U#8ePW{A zcfY*JSAAyI`6b@NHNMVO-*)+9t330uyW~Xd-ADJMw8I8a$edw@8Ys6;mv2s#oaMSw zz{EIOzbm=`)4F|h_do@$K4%^sTRkw_0s|Ry%%WYae^`Bto=9&nL2WeArQBX%OF+ZQ zVxiO*8XD+ON9#RrID5!ZMH*$M!xOb=q-4vB>H?!4qPt;^ebzn?Rw&?EU-W%>I*d6mZy8+uac#wL{GanzRpoU+spi(c|&`@+v zx_0|xhxwa6`j_kUuO;jK`b(`Thb@*W0vt~W>?LWuhn&3>wpo}3AMoH7eA4u;gPz7S z@lq1l5c0i51^_q0)sEgKcIR_|NdtWX-I0ZD6Tq7V z)o*&=pv58tS(-lgL7!>hgP3pbxiBQ~9cTbd z6zZ6FyhfdueCH_!ezk81U^{6O#Sm*`Znt9GE85+$j> ze&>^Db~s$-i{Z1DPyuF0$(c=bz$9W(Iaw7eCtE5SA|I94JS|OaKk~7!_7LeY;fdH%g&mnOpRHATGAYTUsZ^4W{?Hlya)GWBxsMB9_W~Xy|a??xCTM z_-OrP(fRGr{=Ax)f5<5R0wzZv?~|#i$nRllTWje)Ff^}}ni4-Hs~#y=OX|2TU*Bug zlg@hK|xwj8!4 zvmcoH{@1@Se_eibnOi#VEZDI%b(7606XC9EQVU6OJ;{^@^sX44BwTNQxm; zA+UR!%4xcahTR;-WJh|Kw*_Q22L%~iv9hpOBiL=rkgvf+)+FIBHR4WKFh85vwaMBo zE^xJpR`EC>X}X~uzj=d_6p(m`jm|m_OQ#5Bdlh+9l8N&?15l7CMu#@Sl^5+YC5&TIW!H;@GPh9ELUtm z&}*m*DQp2XrP8UPjm_1H_!+|J3(XATsr1o3s28cnA^5y)Bg?{gipyY4HO2!Wz>iFG z(dj@xuuBM=+k+|S3zPW%dZdmJ!+msM8s#YN5<)Hth@I3ZH1O9YdMF8~eIg)c6blO5 z5+OfYMGF;HF4>`^Hqj>n>uE?)rEQ(M&B)7NaKG;-rk3rd_s-~=pi!X{RV ziTs$D=#<)n?7Rq>VId$Mj7IHVCYDSVsC-*X$l!3^?-X>guA#^g)OLVj1bRf~q2-J$;l|7G5J+W%* zbt3205~HWDd{A#K|C=JZ^xE!v^T0yzyMtY13EuFO0uKGY z!Fg04cv~s`GI(%f-7CG;;=a;F*M`AUDA3=#tJifTaA78}@cQjW;`lvV7m)c2+d({inf&)0-1N+i`9lVqI94^krb9`^3i? z^3)x_UpQV~@|d65{TOxnX>=LY2M<{Sd#(lU>P%#Y{*%3EeBv`0a@pLTuBd(7Q+2#z zhca&$ig&2jg2fLfGRisn!=LwDHr*8hfBq*E!~%PJ{=+QHOkIhH9QhAg9 z<5M+`-uz;F^_Am~1|FaWo625nxKH`8sO{kJk+5`4dA;;I`L&s$ntLx&3)V-`U-i@n zVf1GHk%k0!Wp7^zzVLWo*-n^9`rDz*;Gx!o?%v=7q2On=S0ZISRn?EZ%FXY)@3k3p z?er_bBc-+ND19qc5hQ2m&(Ouk`Wr~szftqm^{;>1T6}PC#{G2wmvTS_7JC@0n>$-9;-ucdvz{$t|Lh zob`>A2g;QSzx%Zf{6|u%2;W41l)v3Pqb92U=!l2T#9FQ$>+;1<@M8cI(^5>Q$=?n2 z`+Gi10d8~nj=q@h>W;W?wFu)iKkiuMdOV)Hl1${ylS;jED=LnOmz>nuTR_23wV~Dc zsJwnVTZx3oeHL}9{SWjd?gBaGImh&Edo&Z-IOF^!TjvSDpoD^&hx_ z*FpQ7__(>kF+=R$eQft$U%H$z!8px_+`vp9_}wif;7dGnAYD@BfUtDRjna4RQ03ow zsElbF)7o=cwSXIe&mVN42{u%L29?G3Zf^L4wy}u}K$dLWv|E{<0RKvy<7Y%_(RgV1 zAlJ90r?vOIDsE@0)Ow>yx%kjT)#H67)Pn0JYs%C*NqxTUr`tKBW4k{xQbCT*$xm|E z#=3ka37TA}#|K+w2{h?^HTOcpc#OZwYTm>o7X z#`yVj=SKJCxo)gP+->m9wuH+cR?BViV`Iv|I~~~o*D!0D2>9mEEZy^@qX)ps9WcGW zA|*YYQ4dVcrNVb5xZTCW;BnKu{5y)gWa_V{&h$VGXPIjZZ|aQG%Aj1dOTDXlLb|Pd zggF^^0Y=)K?UFN8^l$+O2n#dxn6lnuJ{Cj!_mkGMMe?RyarqKAQEX9%P`8bnmoNEU zwzI_SEpznj6KZ5|>Cj&!*dfCUb8GhE}PUl9+D zm-x7szv-Fc;CIdqMD;uVtSFu85EF)v?)^p^mn0p>6-6Exj_v_>K-_9>qtnrKD7~4E z&T@Hn5lhK|p_Otjw2&79K*L&Ag}Q8j5-}k{0EQbQb;4UTN2Q3M>SvFut@d>&MN*W5 zP5}IwcRG+G0fa}CzCck3+PA$@_^4G1n`t#$Lo!ya#_Xfb9ATAn`<(x@_@|@S9=j2u zfT{N`*!j3MyBDyvUK2&EUpT2G%xOpG6LhXcRw{_@|ds z#Xj=wp@nUIPYoqIsWIiUa>_K@T;YZQ0Ct~;qak#T$$79B2bdyib?en$TX_Zu92@1^gdJVLg9;Vk!4z5b$(V*lVr zMR&zp#yGT?ohvnMwR{w)9{st z*!tZD^yKD|yQ<3XK1Ba4{}K8>f)$0RznVyt7hQdM!)tOI^=s!7_-DrekE^kdC_LXg zD$fu3I;Ma6SeBymy-wDcct^ZI<%zk#Gy$>SR#rT8IOpa8T zGIMepenxC_UY$L}1C#mS`|4bAO^j<#&iUKlzbclX;&_C)da*Y|6#FRZbEkv8yzMwi zX5!Tam*t1XBX^HK6PogXS#JHP0;wnF{M-lu%_(+<__V&c3XHOgVoL6q@cOMlW5w$XsbLpucg<@&B8t zcY$xB%=iDNp+LDdnGR(rq0KX$q?2B0sTf#RGf$@NG`)m`qP6RS4FLjp*_N(bUC%Ky zout#!i;V%R%c7|%6h%3$)zx#(?;INo7QArivaajTv%9UqMR(8cNeGDU>i)k0_VxSc zrAbJpN#=RxxqL65_cyt#Val)nm1$OgZA}SXMAhjF>G%OI;DMG}^JTb${!<0444^Q! z>4y%`WorU(fh4?TdRWgrcO5eEe^dHk$`AT3-t}TX`2`hM_Kn1QA3in8H+G;Ko)cL+ zxtB8^^!{TE&%qd;L&>%VW^iu^)X&htJ*DHSIL=P>W?w!r(+E~hneF>moXLYb0;?$4 zpQ8);_rv(rr~Z$hyh|y|4Xd8JU!gY)j#|#HUenMT;JzAeb?gfaIrNEhgLL9#0iSoM z6MFd3e%{ZXU0r^`J`f(Q=@*bP0ln-%fy9mtII#nS&^31j@2t6t z-iXRSgyN|ecJ;2E@ zw&^onI5m>>*QXy=^wpWBzK^&>=Q-8#$Bq;9|9lS!@3~cSy!VkEPUhMiS+MK;RI>ep zs(y4~ThRI5eXyqjmqC%fW_PiA5BLrE!FjqvcmCf|(tL()*FU{4J5EDa>nEU_6J8Vl zr#C#gQx7r`J)+{3e+0ilsv!m*ImN{L_K$U-$3glXD_b4^W^C)V?6+_AbS4D=xMaBK z*(&Gb7-#{AbDkzcH}JjGfNO2AYB*+$+Z)h;eeH0K>zUCqS7_#5YLKLE;GJeMW}X9+ z^Hsc)8U@}>Ednu8hw$2>hvjb2kfq7bEI~r1%6L1X{CW6wN+28M*-w3y7u^KwaJ5s_{s|bp(xv=wt-W zjx|3f#<^P`<@y=D&7Ne7avIRjG597XIMCyhp#*+jv?n?EV6cm_B5-ZGQmy#B9RLjV z#!G+~n7!|D9Ovpr4)@NPH{blKqUWlH!K|bF339-mM=3w%l747z=QE)J*Ym9LzEaoo zA*J$Fyj_?bhHva`V4oRqOU z6Dc$E=J`KM&E|lOsl0-oiNKGJ?u>4~_Kx-QSN<{f!($W%%iAzTB%|pK%%SnN$RZHn=`uWV@=Si}9HDS8R-vrOh@a zK^?#8{BRw^;Qf#WT_Ny(fb3NQ&y5{i4-+8L<@c{gi=lra8HS;iVffekyiT|2NePTiy$Pq+Oh2b zn5&^ibFOhh7bZ71+V#p5G!&Kcguu+&fJW(SW*cV1hv*u%P)=81v%V%4hTk9!;?OKP zWTq?tub#7Oz#p>G4*rlrK0)=;?Fy*;JTKD?9Lr0qM@x$H9{x>ckMxi3m_xC-EBJaF zu=gHo2=}AEkTY$)@hyF{N!(b!;|jj~8Sn_(cKGvZzJI07c|mu-(~)(*H~MZLb0H@@ zR+jtPmFR}S6P7)9onFUxTi)jnhQ1o!M_q^iWK>5pU|bw_e*VkaR^FWg{c_*d0a^x`}{2VAV4u3kNpWfxi7We4sPp%MfaCS$Cazp zOO~tDX)5}U*?8mJqr3L&@uQW{A2%oB)ZM_%nHXl|C)zH*_{71v{1{4>pM_QTngv+H zU{PNv|1b`Cj(5jlI)1JKrsRp~M`X*i`|iE!M>NdHTta{0F=Ya#WLR^rv+?(Y{SwT` zt2@t)UGMy0zH1;p`7Zqz*ZJlGWv`MSyF543xT8gexwvMqX3L)u%*FqME*v~I9vz<^ zm&d2uF&N3@75Sdrm+#=d+u)}Yo50Cy3#F#xlJW!eBUFNk+ZZPAT&E}Rz0PR)`)HDm zTi&B<^zVUPrp(WD#u8B@|IaPZvZJS)9G~Bt*}DC9hd-Dj#pXO^dqcfH0ghgR{_}=2 zu=>{1;Nz%l++i7Lgz;8$$Cu8e^V9BGD!vL<-mLo0C^z3XJ{5oUuD&wZU77N~83!Np zaouztGkgh*`0Ga>_-dHTOLcbq9PGYrc>~QI=*`aQg7OC3jU07nU+h+|+}Zc!WVAE# zWmLGb8-CfIfnB*wGw-Zf6&G6_#j*QWM~ze7`wQMLsevF0Y^)qM^>>`b zvFTy(xW4M$E1S+%mN`;8tFMklb8UI=K#95wvwa){m@N(Er>XhoQg*JuQ+Z;~#@6Wq z7^?>dTNRj-Qa%0S&ngSj(NtDH`DC7`;Y~|UZ`C^{=Rf^%WFz!Y~CJM8;W6{ zDz`MPo*Vq(vA?VTa;@_A4tmj)C$}CzWP3xw!RpZYyWtWq_UC+N5gBo)aR=1)u)_|@ zraS+W_D_$`>Qg3C9rYm>?e1d+b3TJX{mU!S4$C*X0Q$soiB7<%PH-1e+vlU^+oL)N zqdw37SW}L2)>xSu(}`j4-Lp9XT%P-VqxkFYbl-KMp z_M=xT|Dcpom*q!yH9mUaVQ_67tjE#t|WlW-k{*TRd#aFWUcsI<;lhCa&g!4?N zKH;u=&t0*cUfX9m-EMC-!tz30p7WIMxCf$qzp_Bbj;urHcFgL3xp`b3s-w)*T%$Vo zp4y?iF`_hl23>0D;lNB>qYOQ?<^a9d!I*4c$TyuTclQFs&IL0scqTs#I>`5*cF=*; z=)Ec%`72=_#?7pS)w2`GV1x3E^h0Tp#p-)-N z-gdRDB)6a-m!-I^9g$|zy4Dc4TwPT>eRM_{>QM_M3=2rK*-^uiHg z7+f$@130vn?YU$TWCt}y2m&6V=p>5E?5y zA>23bQ_mo!G<63haUlov|J@*8)R6l4|M%kS*RI93Cf~5$IwsAa0D>WX8tU{C%`fp& zh7hEg^WBQ9M=b3LC@MFsR*OL^(X4Iqh1hCohTBR}Ll6NfP!uCcw&V0(DPwG_ls3JN zlUI=>nO-Cb2EUwUtY1W>aSb9DKr1LvMp*+*Z}S{U2p5?*l}D)zZOYjut`s4AJ?tZ* zR%frUR7@(&S^*RZPzqEMWKQ?-IRB_a&@DAs8o5fVdOfmp|K+Kl>Ql3y-L z%R#){#3S0UAVtw$fK?2Vd86F50?{^(Si~v>W~fRt;wN~5#bHMDsT9*{xX5Qt^~d$= zzkTa=Ye0Jonw$NUN-UO$g*=f4*|4bxPBaj=Zo!-Fs{=w&nwAh3i9{N0P50e;Pp7d$ z5kD5*0LV=0rG^1Wvub+xH?lgNl!a%h%nq#{YALTaIybb}J zjuS@*?-)%C526E?{qVIdv$46a z_dCEwIM7Hwzne?$bDRNO-36}kYRm8THO{WR47jzyoU<__BzTRMQImU~Kfzr);5d;Y z-|e%*kq5-9q0>20fU>oGlr-KQio{0&$Q3`}7`4O>e3Jzy23$EKAj(dg&tEIq8!993 zxi(rD1WsP+sGXzS+yMxd?M83_912I(Uo-EefNGZn_Fe4qD__?;sPR-dZStGYV1XHc zf55hDxlWyCLdnWkT#lBHzP3Md^X?|njvD<2SafSHy);Wd-g13%&mWnFZJyiroojH_E3?P0=3Lm<__hA-%JIyv8gE@t z1lXqvP}W|CO$=b|yfx8)5=0W6aI5`2+A*qz2a%Y<+Re{;tKn5|<45c4Wq}C1kL~m)`f&-aL_pd(%*^AslfQ;c z$`|IxQ$#xqRWrl=;Xz88rRT}V@N5vQWhIXcdh4FO#k@-$F(*2^?d#AflvIjQa&(U} z9s*QqJ-z~VGLYl#+XL9yj~sRkCVNRKS4Y=?c?YK14ZDN%U%{Rd6u|evZWp^|NibMB za76-%I1)FPDn)Bjyi!LA=P9cdQ&#Wn&Dij!nn*r;3;ei&NCB?7q}2FdF&@J|M6cq! zLNJ3JxVl4u_sS?~w^8Wa?^ZvTo4oQjNWynb#FpoR&V^Afb0DKdAd;_dOa1Vt0jfWsgJjlWU2^aW%lu`^B z^Lw)4#Hw#LZNdcwgQ(L+_AvlFgITSVy5NB9$ufm)PqpkI;^JZ+^o^@qDQi1DcO{cq zbGz>~Ds4zjI-Md)%|&TYyj@K9DObdFD@}p4r`Z4@3qZ{gx-=~?I5QMZ)e^y{WKu*a ztQ^dRk^$&nu+pT7$VX^=7NE7IgA5@B4FzQ$OlldTK?Cd1a;TsUIu{m!&QQ2HA;Q;* zoHorsrsOBu48Y>Sb2i;aKyDxW4=Y%@6_kUOhDA!cFI`~ZpBl&APk!6A{%gkzsRQ5! zvXgY*hyng0HC3|dq?JHYB?A%_S1GBr1HPPD7K`NJ=jpPM3X9XAM?7_+u4LD_!t0WEe{Ietg%Mmn-WD;49JQ27S6SI0EN3ui(BKakd4|^(@=K)=N|Ag| z^4@rJ!mNt>jxuF+HVC{}6M2fIEahC7S~UFSpRj(&vOJs6x>^R_zou@{ATQ@$XqL z(HYyDHS{1YAD8WDh58Wdo2uL7lc129d%YLzrQhX!SCM)B#I44Fdtgiv1eInWpeDP4 zAV73VX?)Nb>3W)I_JO*T;2459tJTm}(9301(HRDgg3FR2NLX}XDTe!-TOn9sQy*l7 z`wVLeWCuDRxM^TzPWQn(sb+VjxtJ$Ffzhf4R&)T4{#A%N7q#WrUj?xg?R z6XaF1MVY{%5gR{Jhb#N2)>`)dhjmo2UR^}9K(MaH8$V^ztHkocte8BHkD6gVyI%O_ z>2eBSK;fw})7T`GwnKhp;z4o&=c+aV;++3Ef`m``pDnO#9m`2p6ZYfpk=wYa|J{~xW8r{MRvrO2L-!}t!HQAI`HgBY|={ZC-nc3YvWL)Wz zb6j|jv4e>^-L3wbNx5QTQ2gy*+B5I>-)d;$k|Zb|UNn;)_Gc^vQklwX*;#Gwpldu2 z3@JELP^|3nlts$mvW8!?Rd&oIq(IO{zPs+ud)Bw5=>jyC~C05}?4q=-V)Gw6ZJ zKHaXhBvQo^A-^{`W22MP$f%&bI)$Zj8Et;8g|YJPZpzoL+iw`}1pxB=ea*b7dAAp5 zSJwDf=wQYV4wNfh%!1Y>di;8QmuC%Z8$*3)QcUt$!bh0fsF&IsTeCxw%_8f#d~rxc zzM)k#_VyM_5oN~Qd0|jtmI^~Y)RAHGkTCC;?`ArHBs|98&&AJLI^F`tzLt%KA z95yi#ryA*hMxrA-Amt)hm30J~XB3kYEIIUQQ%VuO=seET!WjEyyi{eH48YsjK z;oWO=PT8<YXUWw4kP`l3WHpfseYXp_79sx7|#>vd3K1tzMc=5}&MULz-?+EB$- z0$B{(ur@%+6=gC_(HT&RkZu)NAEB0$pMv=Uq|YS^qz&Y8N~>g`R7Jmcf;Vb%z%u!dvBElA-*YuM%@p0W~GOx!|0Fsk%3 zxY@8A0jq}3kYy2^!t6?Oqm&K6G$OrM7BfG%{M{W-y|MT9g;W?XHk*#Hc8Xu1{vUCo zXQ+>y>C*AxLfcX~lE;W0w7Y??+pPp@L+3r&U&63WE3Dt#B@i9nX_Cwi@t`c1l`r!X z3eWGpPj|OXU9{9mBK1Awv8m1N-Nu3 zOV9Oon8RgG+It8G*r6Pu$vSC{vkrd0r3!OZA>NW*F=Q)hS999M>&%Wu$Q!L$bq|xI zNnJoEIq&#E$tvCb@`x$u+^ocDTGubhW^RaldxE^UeM`+$ts<$@eP(X>1K_B+-+atQ-w!nrFyNN646zaUk$h?_T z-(LT(c-`$y!V(||6%tK`SrFw$a3yI_RAG{;u%-z<;K-Q)Ng%w*ZK1p9WnwlrLh5SV zqG6gHE|u?47Mbr?c|Sd6z#61OJu1{?!5+rQyVM+6a|+7WiA1PM5-PYZ=A@+77iw}s zNJ0_SurkP7Bx`!rDv529iX|xX09J2A3p6sPF)*i7mWoYVHP#qHXuFlDWPE-d)&v*@ zYz%RCmIB~+vs48 z`7DQyRIBcd;wftc2b?ad2Gl^^O4D(#My$`DH?kA@d$OxT!pvG#>6Xsm)^4f3wbK2y z?zyTaPq5fq&L7hKz*RH%>_De@?n#=OVgtN0FH-C*EncHjJ1q;&C3TV05~+{7F60Yu zcDnkF)xt~ba%?pBaR|4Mk$bz#yU7BbUtGCP`62o4?(CLIssyR^jFdOJifLySiSzf| zYfX~A>HOLo`flaL$rw50u(|Txh4l8kvR;CK)F#~VD*29rE*0Kr9pL-0ZX`?RWh3NY z)(nU0DP5<9xonA(tIc@7eJsYHlTbir;7SoQ_HA#Q3=w4lgrmW?~K~!0_i#dFrYt6yiW!=x8buiJn z$Z~#;ew1xmznKkI8^gW)J$d}V5L<4i7ch59QkCo%Cj1J|3X-f$=)B$4{X)%DMb>U` zoyY-!bat^DeN;4nB;m1P-sRg2HQSqhhnnaSowLN7Z^~dfz^YBn<}8eKVs#vu^4~Nq zT{W96xwMz)h5Y33(<4vSJJ-kAMz!I`0w#;m&^x+6QO%|0+9qW3%muhM4|1)Qi_zkq zwOauC9Iog?XI3|2-q_XzqN@Cnr_$w!@1j5LIEs=*x?X+# zNI$BVmv(t|{^QX>9er6B&ZkzKdy!fA{uEh<3Yaol++^auE9!sie-p=kbgOAIv{Q;d zK$BB_%E0B}~<9RC0=1vPA5qNhyD;#0SAfTZbNfrdZ$TzLw)-cp_erl3cuBcO43>k}&O+5mm zhmx~y2QLUgg|yk3jk3Gj=*&jpZVI=ZXrfj%2ASjHBw3CIWaE7skm%?6&MZAQvuc%+ zA=%VrWPpwV$s|$+CJ3rHK=a2XrjpEeSyY>vgEsSaCM)d@k`gH1au?Eutke>bqQy*sdb<;)0Dsk8sH4q{@=JWK? zs+87^eFUy5Rhk26fxHMU;RW3?Mik7RB$T;w7DzMNDk?*yWE-ZX=`3}E>vvHl3~9!#JV@7Q z&)7O-(Ls^W(9`YY&{)3WZ>8%5?L5hGO`eABbk=Jp^6pJ!J{Z4!t!=Aj7_e+0StEx@ z^OJ>`ZraCQMjvx$klW(d@j!*Ea3v0~co5X0JZpeB7l#>7s zvg*=RLsi(9&Z|A-6`nq|jjV5XrUfu&8HUM?=3u-?*Y24P^TH|?mT|}z)%k+otHV&F z((trWVbF-YRDACYlm%rhW6_X6TZt9i|3XRGN|V?T5m^*e&vA71!Vn$G6%14Rbj8F+ z6pCss2AOj;0mrvpUNE+T=Z*Bj!0-b@!g@jD9&AFYSAAPk1`<{uloJ9q=Zr~Sru&jd zs>>K6sgfGdQJ`q=Dw1}(v~6sq4oh9&g^)|uQe{^k{5I=>#D}-%w#7ZYjQ0qH3qsNn z9q;ms2Xxk{b#zS?K9#eG5Blf?U+-!C(FFGPQg+WOJ9?s5v;NCx78O?x*v?SR4Sb2h zH>m!u3aph&GiSJZu||$|k#EY)aw%WJ42b*G z)`n*J{F_rIhNIXtwyM4j632dwy*cWo$Q9Q_*tn{dJh;F0;HgD!dZEN!?BUE#W~h!7 zx3=1(g#wY}tVsl)&v;kuRNCv&0>w1;agF+wb*~BT4iFy{8&z_ zy>MY)bWI^@wGI_}ab*|ftzojjz27@!k%Zj5oBgX?& zQTrN!YwRs3C;?4KmB5a{`K~v~gxCx8o_SQx0G6}$gX}uSXsh0b ziYU5D-8|qn3-dY)0PcL-R;yL{q%4xvb2_F~ca9p>^KtmlN)x%TQ7UJH0UkZrODa%% zY6|TRh8^rlSXk9n%$&NCEu=HDb)GJU_SPAraw)ZrC#yC@tEOc^Y$df};55G@TvS?D z$zfISLjh5rr$%>(ws~e<6^H1vs*n*-I+bR!ca*NR%I<@5^L+NN-lM*BmBK@#cna^NMk z8UxGNw?vvQQP`O-BweI60!`Xzmv2~Lw9*{2P=w04K4MF`vz^WHHy4?ERmcL)xmznzy%Ws7!Jax-~ zrU-r=A~Ze?IBN+4LyV4)T34~sv|XeLCFMecQV`%+lb>1w@TBR&dM;Y;MJv%6q|(6K zg)$SePl?(^LbIM{>0ZP@h)ly#PQjNE_Cc)j4naG{`Y<1(*$nMMWjQrtVA3UUQgf-9 z(WA|Pz?$2t$ zf{-3JTTCqAQf@^mJ*1T^20~*;C`~oQXpiqA_$<@3O;!T$tP!oyaydZJ z1>|A6fk^LystpW0VdXSzsMh#gj=k*ykr zQoF$0PSNlsOQ;IA+L)#jc-}{hiwn4MW+_JyyjvD`esJ|~zx>g+zqvivF5of@URLe` zHlr|QXivFJEi#Ze*(jMWC>V;G5bA{gB28=#jEX$42-k2FoUS!I#vG57zx^wz8uOEu zFw#jrmqB(KrjCm6<6s)#NKD3-ul!;evYc6c*Dt?9;X87+rp* zP~&q7Jq)RkLQ^(eBjsHbVixfPNzv)kg+l$uT$3TVb%{)_5O9DFS_$k{a~O_*wiO99 zPny!A;+iH6@UaEpTtm}$kc+Yyoqoy+pd#7XrKA~_Qsc753MFRBwXNEUd_hdx1Y2ja zm%G04?$>{kdGH_TV}1#b3AllLw4h^wpUM-&v{DV%fR32HP2M08jM)H`XVTt1+AiPk0wYq=(DT_Q$( zY1V~$4$uNi*$gXuga}N7g~0qGNMtP^}V!#4q! zG(cB{U5HrbU?e6I~XC54t#N1 zFlAU5F?T$n3(B;XsL+Y)_xm)tSRom~n&?xl>FYB}No!10mtuSo7!tg&0r})5{6dOo z)dAm-bBTsDpU7(f>JQZw9;7Ls5l>5!)m4ux4OCi*h+lB#v?5}I!demWW0q^Cic$1E zPVR&Ghm)~O6|9gv$!YSrH0Hr*NJrf=aLTmA6oBj~I-B9uW>l8-N%GhK^=+3S)vqwL zDT9XLtjk1<4Z!e~WPpPDc$vmASxLF|_N`V4O3_#)k5|?@eR7iw)sZ-vRHQ`;z34%S zmKJjAN~MY>iAvc-ErJ2k3M?@K`fmXjF)Ys~!u9A)nvl~8z1XgQiuG$sTAB!trU z0sntdcDgStS<`ZWFfNK#jgL_mDoqt~3HA|8vlVKh6GSICi`8klkd~NfL91IV+SG-@ zlr?Aw^Hvtd-$<2^!4z#I4cvn;DZ$r(@QFz0yC{NdwNAlyZtOq*O~?#gx^>P3p?O=> z^Z+nifHtzGtAcMK>BZJavo>|Lk3iOh0dg{!G%F_LR=YqD?pm~**BpiJU98D(P$XnruwYtI83;mg_!6&qU^y4PhcQEV61o<^%2pnkp@0@m zFlvPrh;^6_KK(H{)#}W&~g~J5h1oj|8PRNF-Cer<+$f3m?Hq597;=c#39{ZQ; ztN-iP>84?k=0O`pDN`c`0K8pO0=!$L3_P?`x{r_|7^V#cel?L%D=uFJ3y2)!Nt%NK znbVAqAVRsUY{lua2osM7{O?sN0ZlQjkdVYOfSv}QJmICDN|%vfm3zI`GrsnxH8WO~ zG1l`CW;5t;)XJ({xtlSh$uP9i0kdg^psQe1G_)v=Tf>nYeX>xG}wuVm&4y9ja2u z3&9!4@KqqmV~ItzcsZVcI#{Dxb_5u<{K{&Zv;-<)2-+n&HiNM?`R3|D3&=4#tGZlV z5F~WHL)HZ(<%LL~&IdmU)^~^=(o>?n2}%w?5ZEfG^H7^ymU&okNnR)~BnbspFk&Uu zPa04LYdr+)RXe9+r)X`t0!=$c8oX90O}4>@GKz&Xs|-E>fx+_r2Cd~E)(!_ zGL%xr%F;ALgIa)+#b9DvTP4(OPLjA$EE9km9!1iaKs*T(?R_VHngqVKQ1BY|lqPh1q z?toN*h{-fQ{jX}AI}ke~;ov(|+(MTRG#6!83!Z+z(dh1)aQA2b40YcHmi%mQ#2=U? zpYDAw+Ks!WNN-O6Zt;<#pGR@spwy){KSbIXv9o9TVeb)ll_FlI;ySWV9BH5$mA48y z-L+HI(mYhdYs|TWY+oXu@lqcS~qsOuCzL8$;hOXw&yJmBK?4EHsts$#g zjQIO5vJF=ld|7p$9G;!>tTU}|V_jYRm|vfW?9REzjx9!R>|Q6mg*=}N(u5*%4`VrW zs#+ck(`6Lb`nX3BmGSJEI#+d7>*2shDBC=e-DxS(m2R=2%Wri6F7kp*jb~K^dL~$? z0wm>1ek}jw@Yhm6_V^{&hm756=^r+Ql2n~>cn5umDO6TdJE z)7lC5nJ>83M*b1|XzPVm_OQP?!89^=lD(epgXSB^K|Tx#s#)$!N)v7Rlly5d(K=Wy zY(J|cavWYMhW0ZMsfg{`+u%f2`w;qmx|n>|lZHcG&odm&Vy9lm1^rZSL!g z+wmy(y3;oI@n^bOMwpx!S6>z#74OcUaliU-R`qX8*Q#5xD+2}Z{I{+|%+0yG&Hq>B zVU&HC4L9cJvfcLvJadJK(r&fko?_iw+%?mFFmvCpl3yZUR9LyQK2hH-7mX-K)?8HH z635l@y3(2B;~nb0b=k-5Zv^KLg=<{@p{(90nz&`ADsl4xRA!_tCm5~(bm-;Y7wgO#JcC$>wl4~7q{pu^rwE%fV*1R*y^j3-Ky`P zbmyTxWzEXt)1Pk|VF$K-uQXaeH4*VX@UuZ){J>P$1|T)yck3Em4peKYEf+jbR;ZI=)m*rJY^s)ijEa>9=Ff(6#QpLCP)-Dp z@&~fbGfu?-Q28{SunpdEK2EvIyomqt`D;vIY^q>YLAAo(UG+;jE|+-f_!gZoZ)^Ea zSA8T`y>NE`F^TTe%)pz<^g6YS`Zlqr=DZ{4=t13tyIgSSH~^o{%>VQ)DpaR9jF!*U zIe*+-}Ou%Itn|oU!(4Ok(~n@nT^jlD0XiA zP@?f+;Z;;p?x2Hja@W7z89S9Z*?hYXJDa{aT2lSC`GMDq$##nVqic>y8u@`xDR~#(PJithaFsIf2$h4` zMA%5*Xz9m}Lb45bgtwnu5#i6F=&7QZP#ZTRF!#ES2`qCjJ|+NiWL1Yb=Dv<<4xgq@ zUUNgb*kktblfPn?i5T(ZE7Jw=khFh_?PSt^|9R0_Ry`UE zf2=wOp$6_r@QBgZ3U}hr@M!b3!h2t-?QI%#jV4dEj*7{`onHz$O@r9~5leTBCAppM z>WYB9{cwVPgyNF+Zu3qCcfgZ(%?i9rra9@}*3?G61&=>4 z);Novdmbwjz@dKYWGWfkSw@{qnnfcB$&TSr-WN}NQ~T7_-)+6s!J1|tq+neU2q4l` zd#ywU5b(+nNaGB0C8q}v%Mwasq6ufOJf((FA12hLlE=3MaB_G#;#M*M_IUs-CJ|x} zd&wSQ5n9N)*TWy#uH1uHs3i!pk}`61?M}#iq9*7sTTq6do@Um->{~Kc(aRH|-dcGnpxeSS)-HwA{N)%lm6%Qi(~34$F`UI8qH;#2Qxs#li%Np(rFWjM#I)1e-QV86u_&#dPf) zcn5~Hi~JJSXfJci5nZ?(rIFc+s-TQP90K;ZZ1rojQ;lMdzfeD-nPE z`J5}6rT<8TCPc)&Z}f(G*f)fr1FLh27`8>`x>ve>G-*zv0sbz24L3;zdxep=M|^X| z;vCp3pP}y{ucw~>-29c^`rG8ZynP@&@|0m6Rg>`fzR}(EziwJS3j{+o!i2zyDO?u zTcukI&=ioA&{wk!iJS*IZC9kMF}*NjP?L`dt1Z^>8TrK?syUaU`l*NUT}rO7kx!zT zGM)+^;y%QKNJ+4q&Q4Vp+89rVgRhi-QaQ^}C;a+3<3vheFHQO4@3FwN@aQ8en1_&J zlr4>$d{10s;@#E_WX1XgbcTa#9CB=Kq{geq>hupPgvW@lz53+IyEEC>Z(WguqG9y> zbb+V>2+yuik(sPmxJnuQQM^E#%s*yZi`Vi!Tws>A*o&7IuOvTIOG#fl`YylA{AZm4 zfEYUR+!jk?+uL*X!uXWXq!-Yq;w-0J0!89ioLJU!cH|KGJ*G&%Sz+FESAet(O_xIO z>!H5Z7w==k@0%@kOpTm{pFkT*59IKlKOIBuM}JkkYdBw-hl`nE3bWbRuQJ6p5Kayn zsp+yk0B}ORbnrvUFlT2w%47K*ZOYR9sa}c!UhT_fpbLn3-yd1*U*G8r--CEJdDjL= z7j`R|XyoaFBe*O7r0%ZayM&ys<$<3ZmD@x7#Mud94uEvccM4TNB8=Rn=!LO7d{j=_ z#Fx3V5GEa~u^n`zh%)90tz8U^dBk-@tqHQh9%*hfAOjP!E%! z&Dj@^EFQdHiFf1t@(wzm3HI{1e6TP80=&kj>-6rr+(#59%>YWf&;QQ85HJ1TTRpNA zuN0VEp&$2G_lQAn(_^KH{hw^$t18Dab~G5w=Y>9gPG{oHifPnD5Dm`uhcQw8vaD)JO4 z1S`kNry07=*yB0zF>}Gpj4Qz!Syv!uxj!F*;V~7-!^5*|(%fHRQ@F5vJivsR(pnfH ztt+sD)nBqCoOTYn>=1W8hlQSLZ;PRhO?x(?>vlWu0U1+nq=$_k74tSl7N059bsqHW zK|f}pZ0=RKN*HyPn#;BnJXcqopMS;QnSb&a*2_S#GYhL96ANeu4J5-aDx34DNOPVZ zOyaRz=)Yu1FgewK3{hPqip0LEDguPO`BbU$t|Dyzd*Am(%`nz|M% zVv_hH<4V>nv@0vntFA7{3zo(si~Vd3UTZW|rHSlnbFGQzHbXXObK!7BwzO3CQg<{j zHrIz|bH)`*!y@1!z`;6UqJzm3#wVHOft)mVYgu-`x7yfq#%6=QUI80 zaglBg6RqnGOWo#r6nvSs&gKjik=D*;hJn(nzDX*|_mh0hrI(t=BNLeYo83w-QNKF> z>Y(-S-`+OXGOP>`{y0GRPzj|EB{^KJOrdJyGLkIC>rgjI!45-o4qzEKlE91|OEqy` zn5)RO18{I9h6VZ>$7Ac}tt+H%3{?adsw=T?rNZe9V`vq_Lnq*QDrB#f**9589?-A> z2u@9x!fH1Q^uWloW75eNm0&N)h>J;WOi}qr7WE0ujY%-#atOBK7^X@Uv)Ha=j&h?t z(6*2S9nNWhwPql!?Bg5hq^_nE=zN_lh0 zxZR30hM=Sr@jP%f*`Toj3{QlNen<>D!ejR`SkE(9~Sn`?3 zX}|IMSijl99gPFGfV%AeSh-fPmafa&iMVUuTdfWMSBpVe-8KzMleSAB2`_cp{Iksf9sudXI@<~6 znaR@!>=(J@SO6tA4Jc)J!wp^qYzjbS#jsdmJZUFKqt2KLLd-cf?9v|BW2V zbRu}-yu<7T>VQ)AApJLg*}fJK;dnp(zw8^s-?%S;I?6t%L|~P1ATALcz-9YdZ?I*x z?QbcM-Sb^r5A`1w5ZAC{H|%*xowI{>MK=~RCbFKNhAdUkMTsq6L*-rdyO#5O0`Clk zL0t1m6&*kNp)1e0=}JLl=aJCk`0M=_07}vRL4{+q{NcScHG$e}h%=W7-=Pg%hM@4ob^nA-ma&&EuL#TKwa;>g0T)O?=nCGj; zp=FJ)ObjH3yHS}=Ocp)tTC48QireefI*eR=ZA0XlEJrc^F-nBHm4=GDN98Bu`!yr0NaIm~?Q;Ve+XL9J&4j~_X2YD5Ldksjr zt)MVk`-Jr?J#U*5IKi{-oo-AwV1UCb$y2|-&b{3^r4HSRkzQtQ;L=uYYIlHsi0lsq zAUmBMpN<}$^6rh2j(q^qxgPJGnqTv?L*@@A-cd%N5L+*)VajUTW=iXxkg5OBuly_r zW^~Z|13+q|v2w%)a)0Jewn_T8PlN_9kBvet6f|)46T`)j1m)un+O8nLt6K2PV;#Z1 z4YM}~>d2r)&ieWUtU>0?0OwgN4=_JR-TTgQpV3bjZ8-;`Cz|QBjYnd5tk5>oShb0Z z{UDm+9v5-%aw6GFE!BBUHjfF`7ojq`n|cS;ZR#O4@9As$O?WQMc-AS(!!MSgL)=3M zSUGXv(I7kooW*d#g+|I`V>VEH7d^{G*3w^Eu5u?U0nJhg?tq6WcL9dMJooFr|847c zPik+C<_zn!^&{_*LAQ#X4)+W&IL}j-Mp_tXX*YE<$t-fM?JyS{X#W5W;OA(zSeoOO z>P6-|sSEw~P5$#u83(rTbx&A}7fcKJeI2@_PU^SyxAtM^nZ%a2;{i~1Ou@!^nBf9C zJYTLHzu1VH59sT;Ep^Q9DbvNN%Ch~IQ+Wq0SI`?rdq!9;L_{rw{qxo5#N2gi)`ly_JMKC{l?F`c z#)gK24XE!RolplYQGg$DIP2@OeA|sL*jjak-2GTBcYR+2I<%$N^5QvDr!6k;QRdW` zO^D?K(=RJHQ1yN05ZBMV3>{NQWlL0nr&OpY*w(-fR#irg`WJO|G+#vHb5#5l$ju`K zH_+#RjC_+}H^|8GkfAwWiN9kfpGZQl)Yu?33z&lyzyD?FYYKD-RQfP^=8X_r{QBTu zJol_TaC`R#?&zQos?%viZE8`DZ0$gh1xv>`8Av4{m33Pyj)_{xT!}m-k1L>W(Fj(^ zv&|4|xlF7q;g-=_s98s-5iPGEc|@#(rGjXNRE=18Y&GdKKi9)S{UFdjt+Y1-?Uq?H zrg=&Z%Rbn0X_~vt5pvyV4`_NKz9_)nH95hR7)s}`#fUxwp+1S5l`9K}b?xLb*|!{U z0%oz0mBOk)YNi6%Q;O*Txs(s~a8vmTgdiCB`MV9UT368$R5HV0o<2?zi_jRX|52LL zdadK^B7e95Yax2Ef`nCm8DzYe>_pn&gSFRt{x|u|zudY|2_j7#ZC1d_RM;gX7c;8T z0$5CS5pAWU{(v=b(&MhhqSj5Hz@#=x;~X{vcfk-NHLGYQ(g29pX-GApxNDrq;6piE zGvorqaln9|5JQdRQN%8z??=o+Nn{jnjs&%Aj)qB1Phkb9xY$yAvkz?G^I!wZ<6#Yt zU=wCkLuxfTU)OAn(gh+}ZTpUQn{~t6MDdu<8Z-)mev2XQGOJ?bmzgGcwZ}RFMs2G z?q8=Zb=3aeud82@^CLC3+^G)=dW1_{(&G5(^zp%az(C908ByYmJ7RK4fGIiquzL%h zM~-y%m2sIxxh7Xru#ocRH{}o!vI4Jkaw8Cgo`v0+vvKQc^O~`HxsFw zT5X$1ZPadEDD9#BcTY3J5C-H@Fk0%i+F+gHA?b-c5sh*S1M-p?HWZrk)%X9gCA7*GE@&^MH#Y^ok%(a}zSidroYF;^W7$5$4 z1--|TYukXxp->{ENlw51VYfIFGh%P>j`Dwuf}!>STMqpp zyDv{)L1l|qo0*kVQ#Zf*T5)&IU4X~GN}Ez^I6Ju}x^ zfI6*zQn}H6El)kh&9uIQSJ9=#;gP#(elD#BRS$G;s2oy;b~M(_RQrBWcPAc6`F{Tg z_vo&yzqN(cXqi;Kx`#b60T$%j0HB*@hw#cFEM7Dv*48KT>!vMp=nD_+e6Pu{y`qR) zk8MFR>OJ)cpjxay%K4)GzgK zM^|3z#xQ}OsaM7`zN5vQ&zmxTdSr3S+VQ5OZyxjlUC5geS)T+LF)(Om_3LeCEMN9! zWlfr`8=fDY=1)QYJ@mwT929q*HO%MGnYMsC8@tn~UB%FSn3>C~MAtS|X4&?_9=~JX zZi;Dxt?tFQmn5lk+c$gaSI>H+nxtN54I%H#rG`Kmo3ZIKo@u=k??`VB@Plb!gTvB* z2D1XAJ!P$1gEQS2noXdy!ui1Z5LVN(>;BHwBvpp?X6(9i=+Z1UT8woYW}n)=@#&sh z<8K--!XSD2$lJ}Hz`a%88r0Ds&L~DGXB2oHU};BnbC%CNEBMcglMQd98b%zBz>Zsb z{1f%@PcF+l)*ruXd*)YkG{q84L2pF4p(vQ)?_S137cOIAy0JEMR6J*!FhKtm{pnPH zr|UR$-wbgyO8ph!LV3D_w51V9Pj$F8tK9T-#7Z{$0 zzM$sTd^1x)?c;sl?2GyUDWx zC!&Q%2d~iO+1~*h<;+iyO0$k%JeBm(L#^v49!Ed8-a&tM*NuDz1fjOI z_3A9Q6K1+0%w3e3&{}!Ub$>Sf=<3TJfJMpBlLxM-V5QSp(V5c#p3%QHR?5$l0nhxZ zHf|WiaKjzF#eq*K+aAN5G}C<_#jFwQe!_QT@sV`Cd)3F>6$+eyb@Qcp8M|#pi~kgP zz57h(Uzeu5b+bEx>J>@DLjXp5Hk{{%vdDc6fRJ-lICJGE#Ocsb3qa4rd+Cxi5a+vq ze7m#KHIKKN!_NnY9n+@97BD_cdF?eU=+yzQc7p^`n>Q8I+06iI!yvGyLLgRWL8v|M z1U4F7H30CpKe5(lgDYJbVN<*JR|l&QAki)ZUoN&%m*6-^ ztC%b>cZxX$snD04oj{cJR}OfGxMWkW^+}&nhpOXI<&&BpExF zJPpUHGQZ$}5abjY%#29>Ksb0|;04Jd&3lGUa>J}<2t zelGMG5YwSIVyr;&S8#kd&SeLXq2UWctsQ5oUQVpN=hkpmP@Qo^>FqXgcsZ1gYGDCw z(8H`0H};T)m>Q>@K{GoG1xKTKEEwC;>Rfq7qyp#$8Nkz0B$)0Qi3f-tEh|1!QforRLHe19N=O#5}>iEfyF^C1y7qy z$5{BqXx_cnaf&0r10=sYbn z9-+qjLa|>UbOn!q4<}LK7)#+$=J%W4`e*YqHya%$&}j^m`j43I2T#N#N8Pz%Bt5r= z#=bo?X08tCU?Lq!f0UlHoJVK2i{s!DT^B325~`tK4xOp81-AbnwQkQiw$3wW>F`Wj zz>KV^k1oylS0#`B*VszfBGzUW0|FOTLfb_C)xj6Pv-z7|ns*e^;l_TVfgv7ewo|cX zu$UUB@PP`$HnjcpZ10Xp6I1Cfc(5aK?W}snbLfkrYiZwH`GDTJb|~;#tYcGH;mirsVjZJ0r^Q6)dWD9oc2A6mzghS0RFj8f3@xx*T?^O zvwN3dB%n899lPZ{UnC>9f_qmvbF*((UTx7`Gy$ve3q;Q){mIsQ22>RvIh!NWYfwp~ z(wb8R+Z=RbU+ebFQ95u9`gYFJ?TjXRqSVma@Uz876kxNy3)f~ttaI4|o%qa_cM@?& zB7JFIEToUth0#z}HUByx5fiXG&I*e!4bvD@C%$|ZxPF+SI z8}>M}P*rXQTn8YvvlUuCinJ=}^h3%|^wmPH3?YV<4;G-Vl93It!TCGa%>-bS4Pom_ z%GPDL16)3QYw>;Az_qo7YE~VBeTT2YP(yEGX1;+Q<-bBZG6%pnI%|FfbupR14XZ{U z(BMW`{C3QXFk)-8%;6SWJ@n=rQL~~0Fo&luZ=l1!%4vV3$eU9v=kSG3y*BUp<1YWL z`4L5NrYZkGcioAYJN**u+LYKh{g%eL7YD$#%#5s_Mcu_e?QP3H3U&`)VPJ|QQsXDS zoXR^Mx4fF_%?R1{WYO{^%QYCIo@gTV4xs$sxr|q3oG#(#s5Wt8-8p)KojdWs)phon zuKSd3`XcOA&vVRJwgp2+UR*6V)CORiy6^Nbvo(p?O03LV7n!=y@y4N#H=y1xY_CK~UEP=1eXtn> zGkP`ycB!pWqxF?Ce%(25<~uepG}w!_NaiNR@Y>5qYRs*}IBBLeM_Er@aWf11_x;7?I=$2ey@V{L~n{5hRRI#Sh( zZ^PJ};^#KOJ_iP726W|@P|XUKotlh&%*=b%Y}8(-KLVYII>8^bGVKGp6wo_{BH^jV z#l@KdSGNWbr#!W(h5qDsq0XONPL;)@?Yjbur9Y>*yX?*H4KH5HeDPNIY0zwn|28f{ z;Q%aaFDYy@GtDl>))f%8r6HFN%guPP8$z;+v7Yc6Vyyge`gh85WS}g99!gq z@b0TVd%t~XK=EPO#NMV(qjl3RMCe?45fl~6U(~V)hvhUCFQoa+_VI&~ReVq$G+OM|?$?ey zm2~@`-n!X<;OzLnoAiH=5{y4SymfbzJfZ=34!ju@0~#m`0Dg}jZ}C4qrLvG`5C4z7 z_`kOwk9_Ni1bggR4m3Crjfhc8Al)(cj0R%;nm9is!pA;-#az=~v^4*jA724?(%I}@ zh=6N^WhmaLEP_(Wc3HUHq++|z?lMXmHBPZ>b_z1jL*^pn>G(v{LM~L}IK`-0!U*v? zaR7d>L$i!z5)hTa2}&C2#aUz@xKA`foZo5Gh}udeK{0|bK{Sdz#`rcx(1QN3SAlwf z0-elA34V_~9{3Uwo#S?rdqxu{B#Q|spId@gG*$%SznX{jLaw746*4{jG zXdY-)jRvGA0BND({e&h?;y8X{Q^7za3XjE z5%2Hy$LKNf_BhBgkF#r_*fhLim*YgpHH9yLqrrQByz$Vbl1{_^_@7uuLjHCUXV(Cx zCeC>4J@Nh@Uj=~M(e8^6CWgju{ddm%Na!R9)UY$uMPRA{HtfKU*s$60uIit?4&b%9 z*9+eX0x@hT3^-_@zBx9S#YW({wVvy8JdJ$QN9P7&?;1FHZsaQLeNVuKJ#^x=fh$2^ zwZR|r&gp?)?z#v_<-!PH!@k*l)%>C9y7|mN{*^8Fqp>SnKJ5c)7?>yKoxnJ&c3t0H zHjuNs+V%WJh<*%kSGIu10!VG<>e=8Qwu}R2%CQ;V9E2|{R7S4u1dC|oYaCY#s>~-H=jKBCgL4BLC}k!O%mk=Og}BG^uN9p%<;O^E@UA^(5&pQy>+jcW z8GkB%z(cg3*>SZhB-SolWX?#17^Fq8q^6pgA`M;cG|2 za|L^V{`LO-`MaPw&mDCgdLO{aaJ#wP4q=JzuX*MDAOcl^4Kl1Kr6iaBaCpkFOZ{s?Z^vUjC{p z1#oZE=sL{HWxx${Hk*7SSHb`EH4c`=xr4S;kOGceE6W;$SPBo8P< zBhyexX&Qm40Ic*@M~pRkxEznuSHs!LOxq3xDr8}>psT_9&2dzL6`lNZ@QIS^Nk%PA#YsJq7JJGX4 z1qfo4b4r#n9R}7N0NsX^ug*21Ct#!4t&e@mt-l0fCwZW+y)07;lG%8dw|R^OYZ8 zWhD(}5{&UNsgefJSg{+d92!mR|8cOn#41c`qDG7}YOpHA?#YCd*k|K(kY%4h+5uqd zvBM;4gb9*|_s022cx;U4jUd7U&}GEl!1&|+Vv+I3l`Ed|0|gc)`vgDKo+ob{ z>wY&sF?90>Xf!rZ5s}z0FT$Ws3F~A9q7(~2Dgyak8ttG_;z9P8APZ(VGx(u#I*;~S_c9Yt=|oVI8h5aDQ&T` z7(j1Y9nALex`e{Wwks!JyuNLt=Es{>$s#VRnxazjEJR`if#atUg~n0H!MlrP4f3v6 zkMo1=RD>wuHjx4&wOiJS59Bkss*=ATZ5gxF;1IPd7};K%E%eir)g~}l;(c7XERPkl zy>c;-)h?kh_QQ@Qz$yyi@oU1XXs^(T{7Y;maDq0nKE=U}rm#J-&7N(0j}rK4{?1&G zL8K5%(smRvI7^+OE13kI;2d@<#G~vK%X+%xd71O3ph3)((hW8#jt=4o zNFEjm;X9TwXDPbhYJU@x%L$duJ-CdL8h|mNm;329s;604oRua)dR;;qXKhz_6$o^4 z7Ne=-RoyJ1zO_KHmHqY1U0KV?U)*f0coc#*hIWjjLC4cCBBL6+dNeW09;jIon(5e% zNYYB67>O5DBdq5O(9Ms!{5LNJ!XDcWfrjhm)1VX4KBEC)OZ;1KWsiY623|n%9W?B- zU^4;&Jouc}4wt+TyNfsC1#qFkO{)V2N$dfiU{_=Ju?u(cyZ_8Mnz*Sb_J6pIwiJ0X ztRzDh8cz%0nrT1uaxh0!J*Dq83n^+3~%bp7EudI!h>% zixzL^&7vcV4kslq&bOoAu(*ydm+1%PV}mw@hx~H4D3xPzbrsq;0o4bw)JpFqNjz|# zexG{fce}`F3hp_b?-&;&CSs!FDLzkgRthE29@FUP3ibVmJ;(Xaa6j|9z7g+SPxCqC zyXUgy-!T*PCkAqkYWHcI`A2hS*!Rhv7o1&{Eqs3q9dDNF=~Jr1;%R?AcLo)rv?bi8 zmBnQ+`EO>bsCKf)_#8)Nm>n6`tm)ZtLT(mqW%$TDY%qf^AoRq=@Gljr{o!W1zk{oL zUhv*6J{k@s)mr2%78R9F)hX3VGfGP+W6DWaCHGW#bq&&^UCCkt&1g3^6uD&kSkY!7 zl1}aI-*akR;z9GxX4asnSSTo!yV31WqY6&&PP;t6BPB*Kx%e@DMma{&Xw(F%?qB)8_bQb=F zP2i3Zo=4b?bxua9-~xP^x4M+v4T9lp5F6GOa}$bkB&iO{k}Fe6E`Q)SaPr7TECM6p zLDH7GRSp1otCwu$nP!PK*YX=J1{t4a$%MuhJ+*lYoA3bzBoww*Oc(Aa)klZonW&<> zjaEwE2#1d&VVY=^B4skon*%eP5|d%9^(K`IQeQ__old1_wq9u-%Vxa9sb7&lbsK#g z*)np(e!R#Xhg%wj6Ig8L$D|`VyJQUjhQFURg)e!&JBx zA6F4Qi?b>%ihSP$Le^&c+FhAS?=)`KrbK!K3D7D!xFW0uPRr}+NK zuv8LK7;k{^1eCA-KHqPgKl0xpmyn~up66e{5~3(DDk zdw(a-bbzT+ZqOtE`B6|PSibzAs+5i45}7Ceo^>Eu38EY&psq3APLb(C3Ra}~WJ-^V zdKrdbQs|;mY8LZrxGAQ$4HGgI=4j*Xb-EEEMCI8=v(a?PEP95K-ES>d1!KIr(Jk}M zL4t)mcwN+prb4&pvrG=ER6zs^m_a8)n|PT!WnHGm^H2k%T46XNJrt(X=9!f!pwKFL zyY-8E%M4Dc9g|N!H2E(r z#)s0W)RFFFMWQP>l(#rXM~WnQ7i_?Xq#NIS`)MfgiHC2sw??{6kQ4GP3u&%kkrL1f z`qM=Yt_L)<3UYZQKbZC`E7FU+bw$NEThm01WfNN1Gl;5F!Zv{Fvko&e;fzVnRa!%x zRXh@Bk_5~9>QdxK!NF&w$y!*2Z^L7R4n1fj8wkljm9pSL8&m8)U9akoP%_mAs9%7L z`b9j+vm&_=Cs=vC!f5S5x{cQy*{DHG+{WQLA*55{_bM$4qiFS{0$N;<|9IIXNM@DY zfwo5SwyaUq)^E{~wimTMO14NsCC2!2Dn5 z&T`8Ge7FWFkq>yz_-Jinj|(4X+WQ`TGm_LcPA$RC2a5iSZ>7|g_!vFsR#U{qltHYm zFiYN(G*|b6{$2cXie5Rz_Z5@%21_d{9iz3aRJN;8+@2F+%Zk&5YBn!)k3M);Qkh^b zg+!6QG_NlQRkU|<-RjpfTa%y&;gG@xvyXGmy}mtsoB6ixIh;oTK4`c+4B`V5cag5$ zlwtuUW^Icy*IYoSCC~L&paKVVCZg=B#*ub*xMQ4_R#j4OWHb%+rI-(VN9PYmzm!h0 zYa)-V=Ow=A1QSZ{C{=&cF%*fkz#_PBM~h;~DNh!}qO6hcQ(ocnh@_UQZ99?pSdb&! z&Eq{SQq$PA)~6@#OWgODTOIRcaBoy>W^4C?P2nhAh`eM zae{umhvN;BJX*}o@;E80meCVTBil%Mq$6yik840Z_R$>Dqd*NRDoBKa)X)Y_%@BhyGp6BLj?!g9M-X~3p3!?%~}(_ zL$Em{XPRR&bq=8pD&+MK8ZWciK>x;6(Gg;(=y)smnj*MDRn{QYWT*S*X z^R}$maxq)?sE{r3S~97Wc5&uGr$1O2;D&(_8u&h^lQEDsnA#VVHbrY)&2&BaxXMq7 zbT5-bjUB11r>uE{D35!w&PX#MzsP{MiP)Law@;XD-p6p{l-%E5L5a<%M>IZ)8svs#%OgZfiZ%oJ z0~L(lAUHLYUdWI=#oUtg`_SdB`M>mp6mg-g3_Y)X9zTt zOvKaetdnPq^@7yIXNW14O#yAKU6T*|xB0*Q^WC+}*Z$|t)=bD%$Ju3qJZgV9iH7T_ z5>v3=$}U=my}2GLs&Xscs*Ka9Iv?BSxFk*Tupl84;kPtXJOJBPFqN{UOe#rYiHNKk zu%ID_-M#jR->tJjG!>^7hm1R#4U4FRY+_L}6IjLxW4ZDU?zzr5heA+7zYlcX3QEG{ zI^zPaE|h>F~9z83K5BaFEpk2dg+i}8b26L7YLK8NE!pg(x zC5GY0bbQOHEj{J(w6utp8i6M&GL%ROE}16E1;ZrU!y#g&S8NtEjC2{B_#PcOm`}R( zOviS_n8=W>NKR4~hZz4TSorBV8@SZOb6`FFc=HlCnT=ERteZ~R8@HGC-o<6*+scKn znSZ6XKUYh~s|ImGbyf<3t(tjT@SZmJ(>kd1w+r0n8Ir4;5!s!3YMd*wr!1DF>`*Dq z6Hf~U8`~ut`%9B@xA@9b2kFuaPSWcyV)hBB;i)*TOUW8TSoH2-eW%6278utg)nT2m zE3|ftxt3iqlH=@_IqP1Ozi&CENG81{E8lC~T`ZSDGnUamS4v5`k-YqR5~|xFTgf{G zu{M`y-I9?fk$%$wvEKQxe=>V{(uP#3SMZt0$rh4)jGk01go&0p=K%TkdSNfiRub#v zU51dFI%afe78r(!XbE;n`MB=Hntwu>)CSk?!vEO!&+PwPWxv&|C|<~AISmb6A(L+aoK}f1HW{{3Nl;L(jw&bR zCWljaAnXT4NgH6b!+I1o3X(?P;@G#Auvl&??XkA>YgFfGmX*_D^^SNtsWjAQY*3b> z0kU!p7wUl6Ox$A)&XvWSq3 z>5`SSyIQIRh{?-Y>IEvlc}cC-uAwo2)>+LAu^0J=+1NI~eGjD}lI6NqQnG9FE7z5@ z&m6Dju~>oykyBa4VyJ%GFH*}@GFK(!hDjP@u{xwDDXmz*;Oq#Mj64c)Dhn5tG*5AN zViBa=eu=zxB{O%=(i6s8%``aBY~~|2<5`ORL>V-dFGRW8_LP3(#Cc{h%m7Igx**sJ z>$rfeu1sFT(RJA&DCc^UL;t%(bgM6HTq+Z$OM2@-#l3U29Dzjgb#!k{HjVp$|Bj-`M~A7>$wHg0(Ny7 zm+g_M*ZW8FOt_oRwMp%e1b<#;BD#H5MM9~2vGOlO4*eoS)!U@orBwc))YB=Qp;&qt zQe;iT;_WiqjFs~oyLinW&R3_iWs1Ga-A<(>H}vx)p$23dm|jko5DSSdr9zn6LnrTX zzD@1h4?KmVq}pTPwi`G}T7VnW+SDrLJ&5N{;t@41zDvx`S%YV)D55lPk-HR~WG zu}66haXGB;wm^ec^`)^w;ppYCQ!( zn|`SZ`$^hWf$gKs9sRg4TUEk(ZVq6Air-a^a;Zka*HyBbnki`+T{22h)BFte$D(X1 zrN3MR1lQ^;>`P`0m$5g1;{8ogx#Vr3v@{+fO=^D&g;Wc6aA$Ly$3f-W9~WTg`836+ z>pKqa4|oTMfkPb4V8mjQnqWM{R9YC6UXH-hq>vD33|fXnD06Be%*U>Q1Fz zA)@v>n^-c3y^GGLwf0#_N4)K48F~?;4G$8I!P_vu7(4l7u7UFCynGTVN&OpLS&~)P zBt?SES{fw*|IwKr<9f5!cc5mg9vnTrI48iEyJ%}N_g*s-&v$R`y1I4awQp`+sEgAe z&syVRDFLZUL63bIW_hRL@3mj1u+~6#0uhWtaejtSNs{3Dukm;>w!EYIc$+cKI7Q10 z)}{b5Me2hH8R9!|m8MO08#qScpr`^X4O=yfImw8reQzNRHMUm;NpU=u={uOHk%rB+ zMl0VTSU9^zv#S{|A-K^uMGI-<;b|l4&%z85^|KmDKm($GXZgcc6H4GE|B}*$0!?#g z!A)9ZY@j(NL~brG+WLLLsDugi66uQpAh-2q!4z<){wZHK$cwksatN z%o;!Vp(%~eueBp^B}&=Cp7z_QUZ$7_r(Xg#aznC0nJR;-G0qq&C}nk;?|*$V^|#A8__48D z+yl!ZD)8Dg0voT0MntJTf5IJ>_I|IGH^xQPR;iSOz$}Ccp#};#$`b}t2o=T4_FyPL zX?QMV*Od2h{(iMYrUg}Nk2ea|R@JW2#1;hJ#rP==L|;`5&%|?KyM_RO60OzEbFi6A z;EV#T;voT?8f=&-rxco%k*|O=#u?+Y3Gk2)^2T`oBCJtl1%9fkOX9@S0@A_PsO}Uh zPRth+B;_wK37{tj&nc+n6}VWlO^nHELHRT$ikn%X%~my>+BwrsD$&66^SW(m1$Lv(^z+ zma1e4&-Qe2MoquY2CN_t>SX;uQX(TAESG?Eg0GZk0JGzOd;pnWNWiMMKpm4^`AK!A zW|c$pf?0GacBjcB-bP!6(L3slMY@F?gW_uhSd<6)t@^DXq#*%oAJ3#>!hTlLmI`i3 zN@fX<-P^7_oJ|yq!~*Pd0CJFAR1S^PRiUJ@@ugIJ3%3X>#aKg&zGP8&iE5)XNme2! zLMD-6iB1t0_Gk-Fbp7K8T=1pn=&R^;@!uh-GTEZU3>f9ew*#sw_M~Gkdq6UZk@m!Wlgh=~>uvYYIV{z^T zG8w`*U~Eg;7bSv{#xnSA_$-Q4 zBS-oOGozJtwP~Z)jTR-y$(-&i1%p&c=DFY8LNiSpJn^;Y;<}#T;>-vpSR42&LAdx^R5yxPHka&*^>RZzXEWfT9Q{ba)68$1;S+LN3wY zA}^GLP52HzWDYYLnOUU9=@R@j93J9()`}FJMgu1rONOCf5tdN$kSyO$`{N2HCVw-K z7(9OKBq>;jxbifug6xP@)Ly?<->+(1Yw_(66|{T&u+$wf@ftP`fxlD}$NNq3s;c-` z*`u7UkCpukNkx&>hS*a3{4}Hv9%_`Qw7p@SPwF}2_>8iRpXD#Iyj7NCAVzuv)XkuhGUaB@6}bRnqFqe7w#r_RzF z7wQF3lg2EhLL963`;85hPbG;=F#J9S{}c%`53lj{8T;(D{xM^G5 zeOW?6Ioa!X+aWDULoE|a;^bDr!Afy20w$1Xjt?Ytz~U;(3|zo>#Niet{toCe2=pzW z91_***Tl=HSQvaj0hgeki6?joIvFx_gf?=4hlA^lu2h;Wx~N~HdDwpamtA-2z6<{1 z)+uYwE5rh!N6}G>0nBKOizqslloOEMu~gA3ZSi((nIduae!&RoPJ$w}52_s3X442R zIGVz~dySXSTx5at6WDaJ8IL?1oNbvX3 z97e#|)3^oW5*}FcoLv(Ki!Ud~>FBL*_2_~x;z%y1iAyHA1P59`WeH@zXb+M)MpzDc za~hvLML}UZ6$){pU89NTbc$jXH^v!FK@rQ@0*JvKYbQiWWkKV^H$sp8NEJw3>$z8_d~ zVfAy>JIA5sy7Rpi>c`^!vQ+--(o@z?#q+cisxCkO_2})WyR_q<6YVXQa%N}FR5w#P z^Fk#z%j^xj8iMV9gS`3WrWVEZ5ZXh?qh|y|BgwqUeubXWUsg|f`nN?2xl(D;`9D&% zGsQ)njf4x|9%v#%k)zI)*~xr*DCNoI>jytF97pw$f!5~MmJ;^t>DkhCjH~+KmU4p+ zHOr$Pz;-SCHvk;0-|p)DlQg-izsmK)s+B@CV@*kcrH}_uZsIZLoN2W}&DZ7}sX;yU zZC90d)waIHRJ!%+l}|W}DyX$;W5xlnTsp(F zE24^<46lpB*>9H3s+G2W@?PNtQ(J(4&b%Z%*G`@?JjSkmFQShY*G{!v=xwg862-l* z`n{}aO@G#|Vfit}BRKLW%I6@~Ixb#Utuj$ApJ&dGDXHzYJSn;&)G0jkNVg<6G`h>g zbpGXupFStHkk*3;Mz>Oywwm6&-#hah_7=+AwLX7C5T~#H82a>PQncl`Z{snOl z6OP;4Q)g#g zbSNjOKy)?RlGbvoB9mXbGgHhS6Mo{gxxpmnYD$a4TT}4cfBy47XI{89q1<+|DaChC zpFeFmPwFRZr|_E>&Ng2E-3s++@wc9$zbM@7uCx?F`m6p_-~8zPHjW)UR8(O-qi)!l zno_NFt4aN-!|Bm(mKutVhw4_i3U5RcGo!ODTcjD&1{V|Q$e#_BayGry`ZS}?=XXW7 z^&jo8;qRWU+IzIKqT3)3J|~^xJ{h?*X?ZwzKEG4ye5aYBZUoVrzIm>6|14)3_HF;m zKV7Z;*=?Q*m!~_#Ur}oG6&JX#oR^iy-M&t~BHa1Hp3s=Lf-F1uKK)%?=3wo4W;|ok z@VC)<^sCg5lPd=v&zqM1UD#CW8_Z1GeQo&f{egSuD5!{@n%0Rw`aEgH{*3ZVm&?uu zRviw}k#*)d_1Emv#lEwuV5tx@z2&n-_Qf6B{}z0#;-hZe2SXs-zGL6>CedA>b#mww6+xzrVHf0!=;}lx@_mkC#2VHP%WT~eY19& z`X!b6`d2e6x;ewSsMBmXm9?t|LevJxF`qhojJ&$`Tlcoan)h#wKy#CR-gR;_i=Ke{ zS6n!iI4R}+i8VP-N_qQBS?5vmx!NhX(?3`1EurfN_X{REx2F`?9l4Y@U4ei${5`@J zm4?nctTkA;EY0Ji3_NI}8*>}Nb8KnPJZ{ac!ZmH=502K{688MKtu zaOzOu3gP>J^cha&Zt5t>>(Fkf6infeQzYR*xV2 z{Av%Ub3-xc!3-tz7TqT^u)s%6GPjwqQ#MiHn-K+jRm{3dnMIhHRQY&Bu)0w{IYdEn zaFa4iw34I_as&Oox8SI5S&>QrJ#8mY3Y5 zGnaH|8Ab|VC0jGVbVZSw`n5153(^e#uzHzy26*%r z+VY-?&Kl&QG14S4VUGB2QHOQ*HMRtaL2#11JD8ghPeUG(u{N3<|Zc~i5x zoJuC4jO%|H@|A5#!i|={wKmrOjSuT(Z-%l$jAmlhSqhl4C)CkEAe1e?iIIkWOjTxW zov4#A&{wGRHKyiCsi}BU-DULZo4v=xS-wqN?rzH;?G@=2DH&U`&?LjmHK;kO05d{+ z?xwGrYUs#vPVUP1&&ih;m|x1`Cg?~SGeM)PL0_g*AlEp^##*LsJAaLgr7h?Q^c4ItU8%E9>6pPUmrDUGA*O%YrpEvR9@%WwmCCMh%da z;Z>tVx;ZPr&0}!NCXwTPV}(=n7#g6@<+edN<-m}9Pq%?j4DwCP53{=Yiw480Q z!MJiVd781N>&e10b0o(SK%grwF^sb_9b6_C8B!*{S+0MkVa=uH$3d3Y>5&R}In#`# zONO38dZca-)mZ0UUy?=46~BPgDj2xesLLzzFR!ORD$*OqF*85O6sl>nZ*FLMI`ISM z)@mTsaSn+GJ??pT@8Bk-7Dx%~lv0}ul{;MOdAB#+Tg>rZVmGU%QRBVLbxgIw>dWFNxL_`?eoqFuDyeNn5AHM-tyc5HG{MNRnQug zAMm-5mu?fL5mZYW@JD)bcBLqme5w(xX3`Z}C;)Zw2$gb=pL4T&dm#X1q0b@h=TNyiR*I;8A(N!0l!S6>F18F~ zoj09r#RqxWc}#Qx#i)mK)`+eUG(Jk!sFgH zVT9s?Sqe1wpiyihf5YKvN!9mM<2H3aN4DicOZ)hO0cxdacY+)>$g6Z3+xu$J81di0 zU5*rKtXv$SU_Uz`Rl)|ZQDUcp zhj+V!d+Dv_S?ZV|LdsCfF2KM7ru{Qek!w56pk%~$DS4N;mrOzpR9~y>dE5&-MUZ$- zDNE>3U|=Z?8xT-8;zObVzB<@U%~B{&(J%rjxuP3^=Lc7ae#;kLwW(`nO-`^2uh-w( zTmAn3>#G^R`WgK{z8abSp+2*H%MI6kYkwB3Fx7D4MRXx_Ubud7gQ{Hr@|OeMDf@p< z-`L*$@Rn=%a9-&(Wgz?4rE_S{S`e+&WNbdG%#>_c!(A`m#&i!5kLLcy{Qq2_i%O!t zJ8{%p)9~31TvkXQ@4DgMdl0lnAnoYlewX&7;~y<2UFw|lF~7oh<|5mWa)T~Zl<|)F zcF35%(c3Amyu6_u|Kr({B(TrS%AD<_l0RcE80il7pY56v?nk-bPXI@u^PZx@Gn=k+ zHRbos*v@P+44;@mH3Q7|c$?*s-~HU&{ZkIqDHA8V$ob-vp9h<^Tz6KuyH6Xg5H$m) z?_KHUZ%snIID6nQcKveq49XO?&lKG6z%xx>K+&FU+q(w}u6Yjw!0vDE){gF7YFP?Apv@j#)=~n$m6i5g$lgM19rzii0&SAj%z13f@46%L=ZI9CdxMyLL9%%~xLO z=ynA!4!l>hrKd@m8K^?tDgS=0r!}kF*D&WgY~DJnyn?>lAUTH)AY;ErO(-K zUOCI&fdJOZcb@N`DnHcRKFb}Yza;~{Vs;Y5)_*&?{GDISTgp(^yDKDRg{h6bV475x z*%A+I8as37(Z!xam8si*e{DYiI{F zi%AW2S_)%ZkeR4%{;EO#p_cB(hO_HnE5cV<%tgIt)`gZo4|B-Mk25>mUx$JnEtan7 z3{aP!rJS$@VV^LOjp#||Q$X&+6s?w;ux&@yDPnrcl9F_=tL~MM+_5H-X#?PFLOOjP$rQTKDfDP^ z13lO9*X_VD;N8xyhAl-sr5&MeeX+P}uEjjp)4Kf-&?Y+3FMNo5Hmjk;M>OcilD_MY}~gG&7+(HPiOu$F?jW6mua;5k-80~ zr`}(g{rsryWv-PznIumt(xhUm?rQ2f@QZnmgTL$qBCN^Ze;BK|OVFw-^EA#02 z`Ry-MwsG&IamuetZP01d%;`ty0%~o_DT{TlL;+Mbx{8|V@DHi-`bzcAO7=2#3>K(u zs;g~Kv5f6u)C1*7^#NNtSKYy6sM-IYy>}09qdNEfN3vp+kYLStY>yQu(Hf2Hk?q9T zF>HlWm>G?ovEszBVn9h#K#B-XptLro+pv3?8869W$BD5KsS7DVMgfOg>NIUb_l4Lo zsY~h3j>%yM&fceWFf`B$wQ;BuEyNKL?so=|=s4sLb7P{|7BadJAM$Iu_qTkX5mKbi*bwcpTdFKUH?3PUA@V18f;~M~X4D z7k|(6gzxD&2~GN+^YLlsU->QmW^H9`3UX>Yzbjg3Y~4RJ!#rImzHW?k<)z`c*{Q** zf|cK4J6m7c(%7(}2`0nm?Sf~7lj8+X73ri{@Fy>e>Unq zG%2A&-ssg7+@YX^0)hdB>*%wIx2mUmmM|;eDXao%nO;Z^RDA2z^UCk$p8CbbY_jXD z296lWs3UcYtZ&9P*N^yYUhhvzxQo&_#gt&>hku825%X=VTfthG}id>{wS*ehiT~C}O9gGn$}B6J_9DqDcgf3QjcOqUGhBUL9sRgL$fzZ ztaY(&)X9w4C$7e-mW^Sgs`s_Lk4_w!aSIpG*dCR$y~e1(FG5el^MDk;ef?V!3+;fN zF{h|cI7QSB>o+p@1=+)z5@Ebu&=?}SlvFP?1}%PyO>*5D8|CXYTdCL*fPrBNr0is- zsz5`A>}uREPlB4DJgmXB%aZ6krAkKsvsBz`clduH_NgzJSe)r|A#Y*k3s5|jg`7^7()v<)c+kcOGKKi@hhVi?;^){kPAIuCBfB#lvV*iL@l} zWtJa9JEaD9_bb)Yxo<^%OUldg^PBt9o%+b<9~ifHg-`m&$EUHob$VaP?JJx&OL^6i!m`=QvAl9;%Yo^ElD)t6b;hf1kC*JeTC>Y} zn~jY|QNWuy2c>tX|o({cdvmVr}=zv2S{sK@)z~Si}9M{6(%0l&nYZ02PsI z*ovCv&sKP@n z=07f7^AYZtbo$=$isHme%h0ZqSI;mzbD_&mhsvmiM_Sl(Td0c8!nehL%$o^!!BRbU zqVL`OvObJYz`waCuGY`(yLxoa{mAKM@xnL5ucWhbr@t4pQ5(F{rOyA#xAZx9;44}{ z?Hr6(zUZxgxB6FnP3d6A?Wenkx152N>)h$5^t|ph4+$W?8wf7odx=^qmZ1{e><@gDzx>6)p1XhyUtCoh# zN56Xbq;IfS1kG(_7qgbxBK3V^6I+6F(QNDZ?Hm4kGFEif6Jri4?Yi&F%xK@brO9Q^ zQL=Sayw&?A$=pq!WP(o@4&!^JVSV}qzL&XrvNn3X#6xZBJ;@v@8e1kZXN^0UwdC8r zYpzL6>lIH+ZRm_vl^6M_Y4vHxdnYet=0bc%sy!o}57&>()V(A^1gG&={BLr<%t*sr zyEM$c_4>v9EP6Y9>fZC=ooLNfzd;RbemX;6)T_Eji9p@)Q5bR)+5kOU zW)fa%hE7+?MFZ16z7ForJYO5hyvEOxAe~(Kv#K){?7D+^c+2U)@Rq@+^kqFPWb5dG zLFOcXm>H{Zcb|mytKO1*Us{fC@!(ThGt5+-S!wh><}+qi9dP5BRbQ^^fha)&q6A+~ zh{~%XdukGb1OqYO_j+2G*Lm&beC_3>=f=?Tp2~_{|1|a3+}1~4`n=Tp#GWZMvke{_ zolUFZ6-K6UMP3QqrR?NwZLO2HO`)6CN#u7#aVKQ#Lq9Z1mOgI4iVnr0ita7Q7^~Cn zDLTo#*Z4EkNuT%46rH20$(4TJx^_-x0?hs_|T+)qBo&LkQcRazI z@$(mNRbpCM=RsuyYtb_37oc_8a{fRNWY%tArcqfxr~ydSxd5m4m*G4FlB;lyjo zw$-R1<(a|HQXoh$(LIHpgDL&@1rMiw2BXiQ2leODDgygfP+j|H@e`n|x!n7dxE8PV zK7rC5sKP1jFx+jeK}be*;$l#r>-7ev`E}4+sGz7<x0kXrUI^zjCR-PDe8;L1Otr zFyk3eX22GHF;$y1&Ph}WT8no=6SdzjiPLBe=Yr7teV_~n;Uj%XYKIQ1Ga!g(oP?Z4 zJh?hn3c0O-K5-0!7TD2B_Yd|^X|22`Dcu06NYCIyT}p&rj`qu~v`Dq#ymUY#RG?Mt zEB6X-RF zm%@SuG`kwglxQ)OODDuJB;_@ZdEu$ujwD1hV9CE$sa<*wLK^e6Ly6HPo-SoLHUTBj zlS+tmLncB&o?! zSN_+Bmu|#$XZ+*8#n*fGyuzGsgx)afc@Gp%LFDbxVOAUF&b{u`&uww)r|y{x_r~(( zprCD_f}rJkBP=}9>x1Jz_r`TS6_2buGkO>b*lMFY$3+0)-y z_p@+s<#AsHdHg-51b>cMjTbZDSXTzcE&Pj?>r!5I0Q54zmdO2U%KfI zyy0o!i%id-XOn|}^%3Csa-RyunK06TU zE&6@5q39q7D(QFc7H3y>DN|&+N}V=-#-fqihuD>cVGW6{x)>#r&UXOp6~zC zNB>*6`_)T1J-*c+IO9LRacy!}@pmF1u{OgWK)c@KqdO?2Fn{`&kkLPO>T@kOg?r<9 zb7Bwr-lhq9+5-)XbVmDNWaQ3@U3Z@uof98l`a)&Oz^KuF`R=91ayw6l$ca(t zTR=9qQ**=W`O`+P`=R%J4eUej^AMjHfa=tFPA(WW|fu?`ogwNc6s$IK=#RW31mxH+F6+qbmxFwXd<0srJaUOy%XJ^6JJu zk3f{>=mE&tfq(PGI-Y~foqpUY4lv^0nx0pf-`D-5d7A!%sJ~nEzH&P*%m>Z=_|)jo z(sgcf>JCst-1K5G^RjW8d3^wK?mB2H-Q{$nvyVIVuYUeK*;~8(Ju+7GUS6!|mk`i_ zP{lBwp1Mk#d?!@E?%l?GbyXe*?vAP@c&~HGOzZFdKna)1(z|d`JbFF1=QX&v*G}%> z4@C4C=0Lc6q$_^}lLH$$_1)W(saux*KlaV}-fVj*f}ZxzSvzxkRhj!5gm~PT#%!arU9}{F=1d zrM~faB3xCL$kXQ@ynpTx#4?x-BbvZ0LaUEmrJp-iS@P!Y$L4N-w)=Bp?+b}UFPnH! zG;S!m=gs8m*GlQHZGlW}ot%o~)ur<81Vsk8W80s7-Do-fF5I#e4CHFES=7nBEye_M z!_QD}WkXARxMB9v4f{Ff=6bkU75I1C=had~2iZ4@*{^;c zO5x?dB^!@#fZLS}O&ZBvOdUP_&Q;p%iPTl zADomb(;1pymDnXN5qF3`BVWg7Axo>SzZSx6|D4Xut~NBVw|w{XuYU2~UBA1SnG3Nj z4K3X#M81>UiJq<14Sq2rYb&bR;(f-c4G`>>MYn!Xf*P@1S`?!@lK{T%jbwT_1;dy| z7d?}3ov6DIMCY` z=``=h?dfW;Mu%X>{zaMtp0p%pXmybSicUyrt%X%Gb|)9$L`TBX)ike4YlD@1_?4(x z%3FhRql)|e&SZxNv?eP^1fdB-_@ZWPMlFG-yYj?pZ&8RWmKG}LwrFXc-q=Ggg!xC! zHTq-h3Q1@yn=g-p+&(=B`nS!(bTChYrHrH=Mq-$ghkn%kmkKM79|)&4IHxG=i`y4$#d@`=c&`NU9i20s)U% z!Y_68!WM{&FRMyGqgR+|tN2cN&doo(WQC_042IRqwRy%ERyk<_JV8ohK_BBt7jN6j z+iNABu)$6vj=p-0@YVA*;zS>jjfpPXrmk#->R&$!Gw+9rQx-XJg0Q%0wB2>_8*PCPb|sw|7l17g(>!g406IGY zoDw{84K5O9`vdSxFU?Jd z;QY|NZo}SvJ>O59hi!qB-huC@-1MT zef{G3O+QC3&g_0Z7;L%Y>@y&#H+M%v0Ce>lM)5~f?~TwYI=AWP-oX3trc5lJeWrvy z;Cy8^Rrc^Y>884Muk=2~pIy`N1V0z}`L+OT>yE(7&a=5Q_Bng}k{(Re}nWCq@2+DJyZMP|SPG7g_=X>zE?O)v2OMic6qW3m0i0;91 zOb_;Y*PZo#nGe9*ripXXI_h{Lb#Bcq&v>WdZQD#_@0zq&9=y>9suG}>M@y9CC#C~8y|NdC^>#n+Sp}+t2;L5C0m1Dxn?Nftcx*0k3-!wT&RdE=PVaddgbcq$ z?R_x_TZ6Z&o~mnD6ZimY?`VTmKb%NQ>LuXqpVHd_`r8^J2}lr3 zoOAx1hOBwM8s7Hu+YYtP-1xlIn$|i@%yI{U-1Mr3eb0dMUh?U81GiMd%1O6?^4{*} z1GzI}|J<}J5d7CeAi(#^Rns7MxEzwf8cdsRDmqZTZ)OkZBA!iFcrRwq^p;yLWKa-f z_4eMFcrUmYWc3mU(Ej%lPyDoEcw5f5zjyKKB2E_nGakmbJ;kg}_Y!B~6&OOY69d}| zU@&nRbbonJh z3VU!C8(Cn?ZZ`D_6DdfyO47aD;oWlq2m_>0wB%$bPK4GZAlT7v^FKF~h=@cBms{0sG29nS%jlKFR@?|1il<;O zRQdwMY%VD2dD8o!euzP_FL)Dltoy(lxH)}xkc|v1W5>);V1K;g-DBT<)qUw=u&Pi+ zK}`k4SW;(I!s3!_t|%0NP~E^kyP}FPi599bJ0svIOzhM9VIqw~Lv|smLiL8N>H^VT zgb6zbWnQy@(~}|fg%V7K6fTsSFq8o0Bh+~=J1Dp!*euk04&Ca22Nb|;2id)JF>7%V z1i}WW!lp~{iv^_>Z0Q$oQ9tZ|=h^t8@<%Uxhc^ul4xZqH`AT55XLY^uCBOm{c!|3qVqafU+7! zO%y7v>nam%$mS6hdl5`%fEf#%6~&Ro5XH0_AIvf*84Imij5>Oh=2ePNkS&gA#v<|C zFck83R^~|& z%|D6G;NdZ(F*g=r#BbEPi^Ng?n5j3%w@)tG`cc86F=^WYJEIVm~L;MT}O@(|3MIf6TL zAL6Bhr1d(+f6SsTpjr_(T(2(@<5X1{ONj>EBMzeV^K_BMRXUFpSlUs3gTx_sVH;OJ zNmAM?Ov*!&T;rO<@4WJ>oSx2$5Mi1VAIyx<;ffCS8le z%L3OQTu%1&2^ai@1Zw(fv^hu&Vnb8e|XsXRn&6z&B< zvZ^Llp%qmA_ym76R&}(C)x?y}6fyX4XaMz&Z~Z~F*h))%Jyj>Vku+F>1i9xh=_{7- z5G*PyP6l_08<}g|Z18I8O#KZJxuIx?zk_8OSPW~R@dK%UG(F6?vmblCE z5^IdsYgfRKd@&PNFAKz#0_8M$56+kR)pmdTnnH0Z9(KqvthM9tt^h=eHXr-o&h_WM zpZ`8{3#C~0<+IHW<^|5fM0t*@r}*6QzC6m93TGpoPdLQn%F3~^ z8b)R)k+X_xnQbXfhP62T$=jZ&rMk2T)Dk+s8Wc`mDpo*JCDo_tc|#KF3NmV#mK=jI zpZ~=rl7PQgcp0L)6l8U4*3CP74r^~d`fpC^YA! zC!DLByNAhz>9MEk?JGbjof#5_{Qk*GPHfd9)hm+(uO*k1ayy-Fzm202S$aA$lI-Nv z!8`+@hi_egsIX7F7BN$mP%3kgGfe&}j7sFq5a{C`K{V$gn|1d(Hd@HCOjRz!Sah1t zmD#F_&wn%Lp3N7T5%MUh$C_;g^frJ0*c#EjHq2FM>^hr7!w3_IcA+4IMVL6pHS4;% zU{~{6%reElZYq@I&fwvL2FF`nMc~f%05wKWZSK%&ydsKa6i}stsB1x0T9t zwvzfqIFBFdb4`phoH&oRU{dVU?uF;Gq_K^7&{GBK-)K!6)8q>GP^7KNFN&f}Tvkfy zU!osJ{qd2eQCD;RsI`NMt|9%keTgz86b8K!Dhs_5wcL^4Pmb2Jyrfz0Pq?+Lfinyl z%H`*Zs+gD}dJh|$DYA~gPkxp{4{|}#&9`+&da0|k%i3v;wN*02Ix(EOhMHG z%s;IkwClQG$wEXi0kb+>RxDVZ+?Zf<%wuicFc^;vkqACU^N=H1w!c`b@&7(oPFZxE>NN^Li*^)G=7?@^M^DsYMG(&aPTDeJNCPx>rZK%m7UChK@B+N@Yuro4ZFvypk- zVY}IOj&3ndagDptEQ5Qi(Ny8lo^a8+Lp^@dn%G1aUnYY>un|JN6os|s&M+rz{oHlI zrF^MWnNPP<)g96xDdhfYoL+ZG^K2OuI`B`)IBqTEXqHa8N0KB%IW@U)r`*+Js|^RW zBwNOS)_XQbWyvpJtGAR-93EMomn@cBWNwze{j~1(GP07xbZ^$nYQM0ubNs6Ew$6yO z3%mGlc6~UocBK;@ux7*Klv@O^?W1>46uRdBsv|arDLDXld}Y7>ZXZbmf2M z3zbq&xT&0N8x*tMO>xGaM|v8m4TtrWM&1ZaL)*85-0_88MnRr-l-2(OC2+VXnt$i8 zvUL;lSnN=C<3TpRvhxR9*jDMzJWWjYh%MuNn8x4pQiJ=bk-lfiWlGQcL${tBzC@U? z3N}WfIA*OP#_4%HjQZAgM_f(${PrR)rFY)$u<1br4UHtIEDot(=dF&DzNkYItJe?f zD^*M;2Ze03#bq~YNjo%YuEa_|@0ibK*E8^Z1|c5;brCfzUyZU@UyQNC1EpM4@#fol zq)`{iz-(WR{a#qdNU`XGZ_E&){FP8Y)o|h>7Eg&9CD!o-J6At?M9k8V$mh|bcOH9B zZn=e{?mxteS!|z+hlwAGW}{R$ClfZ6;R6Hy*FpVnD{1?Mk7WuOB1-?%DKI!l(KyZz zc1T);f=%b+4{qySyJ69@M=r8}r)n4ClTFgou0|PV3~Lmx8QIdxC2Yqk8|^8=po-z8 zw>m!0)ri*Oum#q87DaEh)zQaEWL&xdJ7AeIn(Ws#SyXscmIhQ}wtDEQYTCDy*Huy> z0v7D4j`yE27IS_o=?3{Mw!Jw^A$0$EE~fRye>c8zkg6^olX9gjnvr=QTFu0r)o#wZ zS_egypyLekS`9Y`C1!Iiw9xs5y2Z)Z6cNcEt0Zui^SVHMu||T2%-oUb))MvPq)j4 zeb6nD%>^~jf9bb5AGKY?M1Vp7I|L&=nhMQnp|4(w^6RB>$1xJ-|7PjskY6@eq0PZu z!3&(P24-(V1AELR!4k1`oR#HdKhF{nz4NV+T^bBZMP00IvovaeiWx5BaUFXB}xHl4U%wo>KNOu zETR!tqa&EF`pqXl$Qjvl0V}kb;bLrpr=BFHk1eAdR!zvEKuVntNor35_2hG`2r9^K zo*-&?f(Lo;TD`HEa$x0jl>}9dj}y2)VS!HZ)^)NLW(OQF_lMVPRGBq!ES|I5l?Ua;hI5y~FcTdvwD!ohWV|5J25wDMKKIv#*w_b$@?w zO%!)iajgRIHh@h}i-M_Lfy0S~(q{ro>Uo5}1xQ)~2YL5_H<&WiEvgD_kQ&rMw zn9R2ydURZM7gOBYrRUikqTGEsuQruKwW~E=C*_fRNYoz{~h-iZ&?^OCjY1!<)-Z)L7t->#h2$5rv}YU5Dl(@Vs_ zKr+QIrDNob5~HVO-6^)wIo#sN%A(fN6M8fGx->~eYbhC&g1Xx2MSLwOB`c7m1!Z(Q zMds6u1@hMZYx6ba(;klv>`=a3`cTg2CNGg~tk(c8Z>yyg4y8P3u!f;wLWgsgG!OHd zzQXf?3 zBby{B3Mv{>2`_(!B5n)eDA@s{gaJ3=O+K`T2 z!I-W!kt%DA=UH!Hh`i_#g&b=1U>vGta8x~n=t@$cV6mipQIp7#B`|j=o9^pjBDwvt zt(y-cmk}miqV>o;G0!47g2LrpUCIE=(C11?SQ&v}EnOm`KjwHWSK|6zxpOs4neMP+ z^3e$=Kb`;4hAYqg*Q1xN4W-dVK;Oge@bXOp(WtASN@lR~LJ$ig$f>xI1#Oc_9wJZ* zVH036CWUq5?g5Uh5_OJpS)~{?p3f{CW9YQ77282UZ5W3Pfah%~5T)|DAbLemjU6>#K`nsDkXLM44}%z13Uq!6$S7g~M}WvM=m-(4k0#k71Oo@Nh0nh&UH6bOVcJ;*%7yqW;KiS@8Co6XRD(Ay9e{}sF zpV9}AetojFk9YpbHZFQDCHusB;R8x1PWvzXxs>FCcaFbwAP47vI_aN(vs~Qm$7U!L zKK=UR@qF5T;oGMV#yn}xn;Sm-+E;%6Yu9IN!_@TYjhiO>`y6uz7k~Rm%cj5YN1vJ6 z{A@2I|E#0`)1Tyc-u(SbuJ?Zb=x1*HvyFd|IiH>E6YHPu?-OJC!>14KgL}Uj_I_vL~fr>xqh_l zuWsef?w-$1dtpa^aa4BoV(O1?{J(bGIkVq;{#4FGqo2Kf6RU;#<_l;ThRTugB3#~-K8d3of&ZgoY{)BHI=h0pVq6@T3Q? zFL|NSji6>hm`KN_!EJ*S;D(b(?;qR>{JG$@3h-N3dbAs2+i9-|f52-H78QO98%ghG z@IvT29ljQcoQH2X*Cfntz7oE{%bJh-4H=VeJjSbRsE&`iF2`Jv#4(^MK`Rb!bg}Nx zn_%c99E9u9%vL6N`k?Y>T-mwc@k)n z5Gl3?4Iv@qVI;*`nwBf4Q4Mnno?w^Z6)eAht)UcHxi&i_HkO#S&GI zEVU@a)2wh9f$#$p%+njy1&B*_i_|>PC(}aqUp)g7wovs~&)}5k6Xsty1JdSBpTYT1 z?^$WV6BhvyCq>_yLRkDM1*={p+E8^J4MO)Y_aax0z%zz{WU_~il;Ecz7R--&>Bv&) z2K^Ap_0@ZZF)Xs4D+OWJHV)?-g9WG*k1aQfjTx!~_v7V8sn{-UtK+L>r}!$&QI7iM z#uy%TCZqN2VX1wS81nL?EAZp!FbkhNun2PFVODH%OAp3lBXofjK;wbf$P&W?BZIh( zhpAvIU?A3Q^mpsx8R=EY7nl2Dg{c*&NRED?a1Hj-;@BE^`g1AXPgk*B{K+INwe4no zHBz!G6e%YUp*HBq6w)Jb3d|uAKC$q4A%BSU#Zc7}cow7?Mhd9D9w~LTk%q#^Wn@5{ z6@g0SU!_t;f)cPNvuXy8lwn z{QZBRNJ*C}|HD5f`+fIB=D|u zU+0wGl-bKLb9~Ca=;7Y~-JkRDKYz;j|Hqea{>vZY-#xqRcmH4P`%?B@`tzB4_*35% zjkjF&QqIl)116u!>(AWZUl`NZHF4qXQ?8Gm{?Z%&@xe>#r;PujFBi`A!tL>==lr)f znbR73uj(u3+J5o3lm4v~e{IJf`#%2rncK?gt(kdC_@Lx(-#?%E^9#m{JNT=+G5_%& zJvlG${^+A4E!Y3i@<06a*RGvE_x;)T4`051@~)iUO#ijhuB4Q(3Jg3QXZfjgcpuNgM&rH0z?xQR^_P3{o(bJWOGCu$sJ07 zIjGMsBKurc)?syBrbuz0MiV1P>MbF`QYTxEWET=v)qW-9UQ!@vjsePH7cYu*JglHw zt6f%y@zK%ayQ*^b55l>nUsjh@B^ZmY0xK8nb6nuk<|f871$& z^RZo}iM(_p0N12wS&(f`j>JHjq8xVDD2xAtPzc>1?2nPe45!mBj+jJOP_#-MGu+gC zNzYR3MVOXZgN0lhYc7(A5y4m_yPWS$-1Ly^gOzY1>6evBu!Y=D%Ju4AnvK*@jrfRC zrQE1Qb=T>-dm8O7PExW+V}XHfJNRBXLY(&8Enx+(4v8FDPWm=?u%`~TaK!xl`IL?n zI88ZGBO)15NqPk{8c1?)Gm>t_pJQcIQ}iCQL+q9C6`osJd9<-Kl!F*5cG&6b@a+@0 z98$h<$w+Y&N2uHomIm{f9=hAt6Dw%!RK6p3@xNy6FDSt@umB&LLfxpkxtOA9N=x!Z z=xUZ-hNP;fR8Zp$oQgAudK&d?2$gl$?1^Y)en*(Am*v}p4Qh)bpYyC1B`V-W)FNJ# zB{}Awk>b*Z8vPYtTfSV))lxLehI46Dm5bSIs+s0o=&P(xRI88^zxSg9OExe1>7y6u z!QC~$jvlT+#Zyem;GOaz5A9pe%r9JUbb)>v5sgeYA{LfrN9;WAQU>8$WV3t<Ld48~iVK$ee&63ORP;9yY zr3Js-$=A^0e0n}<%;zc>Bc~{#5niz8(Y9Qf{5A^e|1K3MOC=XwEi^NJE=z2e$tm0q zWmB*+4~dnfS}RuUabv=uiPLgxt|2kA4?I74TTb_mi);wl!nq`>x*l`&DJAc)7Q#!r zYpJ(&ev+(OMlx-h&2LYddzZS~oH@ zMytu4FOIKH#l`mev*M^@&?)hl!yzx-_;hzWGg8L&C<7j2usd+3aLZDqB$R6uHFZi~ zKqGnei9C^?-&BN|2kS(Bq24KvxT+q;kMTwy1bp6R>)pDp%UXPDrQfX zR=OgzhuO?6tKxO99vRfxIO{vgG-?Ol{?dErT<8DoQfX_WIlrtESdj>WI88yhR3q91 z-R`R7-IBBj8B#!3j;Xt{|mx3a2&;zS9&kWKO5l`P^7;uEGx0GghXYYWA~Cl@KOXK8iYIiDAeqXtGkUIV?z8Ry;BLz%S-*c3iy1a?tq5 zm7|X4E1Qo%DfKo#wp1UGm+=N2q5NDqZ74$+Z)T%Qq%J=BD$i>cvEHZ;-P*?dx~i3Y zx>-^y4Sogc;;OGr_~=@3%pq24!h)JBq$5@J%YCextyQhq%B<0J25x`f=XkBG^iD&W zr{AF3Yk7H)8Ck=I!;-`aM#QP1Mg7{&lj@)Vmxo&mxt(X&>ckilnpEDp!+Yz5rc|zw zx$brI=xj8>(<0Mer!@*x(viP4kC$7KZ(NieNhLqgPLb`TZ#!A#a2M)#C&x*5eG5IS z-N__b2eLA8X^dWrVsxL-k2ME>VywArNv&QudKK!9|ZMWnD@+N>4FNid@U{P}^%sY^WB2MRvhU(bX=mO~FW&7K(nT95`Z-T_UStS|9?p zM?WgKW&7tBE&uMt6Sg_2rcTaFOJ8JmXg51+`nWmf1v=u!EnUB&MtY+uMlWi^$^#yJ zl2Hy*o68I#nk%d}5V>~NWj(NSC)GR2LICm+Rij1>+NqWnJC!H^@#<%O*I?r17y1HMY4yBc8H~Oy4 z?JF1zAEAF#U8psFL6g=>Zh79RgMkgbnsTGcpP-pgu@Xv({PLQkBHv%o=;VTq@RB>% zQQA7vF&^he@8{{$TH~h9s;}bO?FL=O9tiF{=Kdc}3$@6V0jiz3S2+{-8lQTBG4A2GM5nCz$7##b!cIS}PEn!7N!){_8KgG(X{BmO z`8tG(LzXq+9{F+iipr5|b+_0~-c`-*#;3h^cQogbIWy%S-FxM!vWv)xqS;g_JEk!Y zQA9Rsj@N3_vY=q14RuAI5qsF|Ks%mbJJ_+O%9T=nOi^@Rg7)AHT|SiR;W?`sbaN-- zCwUIpaBi)QcZ}qZ&d5y1F`E#fT#VPw^DFA>R}YoT&oS-tJfE)j*j+>w%lg@)j8ht6 z0xUhq(`w))7ojUBI7y1SEK+$RJ)DcIlx|2G#n(z#1Okd(3y74J?IGO@agdf}HMl+{ z^m!y=km1mibhB#|u710tYB{4duP3!Oq-c|xMriUO_X(qn$xp424;_IkGP_jD)p^Ty zaj?wKD-KEP(n8|1G#lV44O*;Z&V%2W+x(TYcinmskkzr!XvAJ)yhqWLYcz$dl7)px zn@|>|ek?AD`AJ(%x!p6$Zc?_?Um*$9kYDF`nUhm4?S^;^wQs<*C!d`}o*Bem#}qT6 z>O5!N#Hwv-b1~CwFr)*YFa|n|L(*i|RoL%S&hT0FHdpG#al}_C$Y@{@+n?J*+z_Lf za}xd;-HDfz$FwX(VzNfFef{0^P=$g@uch?lntE!5g8XqPx*At-uA}wna$Z=VRe6jB zg@-t8!)mJF>K{<~gJL&_h!{!rzbLMfHpICq)v}xtPH=1%9z&vV^0t~v$0E@iK)8B2 z-K`DJ`rDMsD{DX9~*e|x}N#?g$tsiuV^EtLZHU6SB zARalbH0m63+3tsin}eOlR4%9GJXRX-63-bWx~t{^!`FlOkc(omJ-`sqj9^)w+N7<6 zD^3!{sv~(T9eoZ;+dWD-$oW)1=R}K`k|5SJEFh+hWV4&?^?8fPT)ElNE;afS64B3e zci2V~ z*eBJB+9Kw~I?>zMNh^+nsmh0;z-^;%fQFQ`KGN5L5kI(Edb>P?{Zw$hQW?X{=|F6z zPM|EV<^Ze68&sOkVfiaqzFa4bfdcNxN)gxASR;BJHzP&!Bzoe;dHwB5qI+~!>nJ~o zwsho5bVA!!Z_n3>Y2?u&wW277%enAMe0yt z*eMQ+RRxJc{c?m0qKHc>4|Byhx`%J22hnl`mEGn=A>`!mr79o8mK91^5f1T5 z*Vy%BbaFDop5bQyVc9?==ilzSNED*0*@|VYAK$;wAP>sHB}nX+ z1|0KwpRBerg;Cu}_qp^b_B79P3+ZNE(I}?EXr?N4^)g*nWvR}nF4q&escKrRr|tVS z&Z>tfh9jPufOb0bg6_QL+?Ld+Kig+W} zSBAQrr$t>Eq}7yG6wb!7y^z+r7BmI@W2t&iG^%@9qAw_*ciCG95p)+YGur1`aS-3z zGIc!`` z<}1*eJ3+QdeS;|u@lsrykc)^@Qcxu;54@dsU*xj9-(BRDrM<1RK-H|&j~*fBkqSvP zBbrw?GrGdL=y8`#<+y=LfgY>Th(@^lp?goTIR*tW_3CDI^jmo<{Sv)aDb;MeYF8Uw zylipH5(+<^AESs#OiP;+qDz0WL-TJ{)T>2>qYBPC7L-}_ZM)2mRE_MZW|g5Bq@>1W|^p= z)45u|OUz<<%+ktsM?dS8?cJi5bcpgvbUCXC21#UfFzwKFv(_24oK6O*0M*o+fB3bX zIr=w0zI0^4upD#|)|X^Lk`gLIWa(Urk@6&nh#-q5%tMO_0^18wyIkdxB%+GGTC(%5 z`4I4sCKmQ4_385XgI<+$lHW0OxTMhivvSwKv6V8yaKxx6hnl^t_unqRPEjJgNlnZXhSB#2tl`(7(zYcM+HK%3OtdmNfv>yr8+bN zVaDPR;UXNaA?zr&9ZsEF#0%Vf?*c~Q^u(3TDly4Qgaslgl`djbQp88ygNh?3^pm** zj`udgsa$w1Vq9ZRT3RGJB1Ww|$y?&0#!nSL#OZ={)X@|(>}xSgsdga{98n=O2X(-# zZ`@F?@Ug}c@k!CfarbNf;-^S%$e|Oh&_i)FEml0Vg+_85h%+dAT-m^EQw))kM>t_V zEjP+)UzbrQ*=|QX$tjYxpUSAfY#v zz)N_6l8kv+Gn%`VMGPm`$%=LLW3t+nVg!VVcBQGFZFFR73N=;^*<)3SRD85ex|}Q| ztyiYx2t}6iQJLp+`9V%|RC*}eE)5Mmnpih}eJz)h+!V6lEM#AA(Z;&?KE+{CxrOpRflzEhl2k)-H(VRUe8Zw4 zS*xQ(VqSPef?8YUUzwXRc6?QzxRuA**0@Ha5D(gi~S- z-AoCUg?N;8kHc|CUGkuw-<;(iRI|C1xQ8V;eVegb6YCH~G1fIK!#Ku;=5HI8U9ww> zPib@`6pdWd_-Wk5S80V?YY7`E3hh##&_-1{m~17{MMCFDsz=p%mhk5iL$JR+b7TJkQbsBinx(4<(eIrX|jtXlIyW< ztCeJuz&6b3}TSE6on+RlQc%5sp4E*duf(r^V`)72Qgp) zM#TxaS42)p*J&1q^!4s`o1iL*l4hGV^`SLMYlw4GvJE}SHy~^4TvE?&vuEJSvGO21huHvXC4=He?Hxa8|yTQ^8k1bC33Z{ z)viy9zBBNDXKvY&?E;lMD@Xya%GRZdC-+51+#+Q+r^;IZHBWArCQFFhGa>Ln4(f4%^Y9UbxMv&EFSaQ{f4Usqss?}j+5n__) zQ8Z-famfaynx1Xti4w6{c2dKzo)=@+7!mBE2$|j2i@YVPS+vyZD$XLi;-f^hkZ}acz~72)M|C7Iep4KvcMZjcD0K zgjuES+y>P$%xtI%E6f%9-23jbDtlz z23n2Ry%z@kE%KVWhbvt)-X4`!jR~T!NL|`oZtW{)l=$_BenFO6&eLA?E2sNb^w*5s z68*@oUg;@c1C^SFlbz~r%#Z!FO>pd9s6yxOQsN`Vbi)l{ZS5CjR0~&k-;c&(VbOR0 z{Odi1T+@p$Xv&J>F^=q;3JHJsL92fHiN=n>2F3h#E50uf{>5}B+U0LnuILkTNk2;5 zmfZV}rrkS!vc@shqJA*SFaPA6{(9|Qao5_+XziLetR+Y6YoimY;ka2CsPa$n$+h|~ znI7C%*zGy+E4*fgq*Vpm0{3~Im~7DU-x}v-@2C2?%Zo5 zlHbnWb_;h*DC%$3J-ze#O$|4Qv99)!WaqVaa2UtO$%LZyKRqw`Ta`ieL@gl$9W+`N zYR0`~ve)oboV)R+zt4Z=DdTnXaM_zHkNov#-#(Zbv<=~Ci~Mg=3a7@FOM5%ZCpe*> zTln9vd)M%5k6+u$-P|IcH*UH&yoj`;y!2q_RJ}Tu9H&pWqtTWD9chjUD({^TJ)6&_ z?yI@gcjHW==*y#1M`@(>_1O;X)ZiKIh;el0w6I?tEIB&vU;bisPlKwY%Fg;eP1M#d z8Xuq6ii*GOE4!>WJR5j#X30oak1PyDF7z&zeCixMb!peJ*R0CR;-7YUCuH|<>SPiZ zy#5J){33p0rsBXAbzk4X%hQ9Z-H*O=URfc}&7RYIUkcQG`JDp(e-)oL*3geyy23Xv z%PDn#v2Yf3^TlLIJ5spy-tR4x@xps_zWIACx6MsGP|V%@l`0zhrO?1@k(z5A`&zEY zsm4{KPQI_iQ}V;96Q_*ck*Npj+7^F2KYFdZ=~9YZ`}I5XU;FM@L9M(N8~oa(=`Nw{ zi14m-330V|c|&R-zwifQiP87x^7o~Y(bb_a7h4L6Yie}1p|Ij|^NU}9WBG%%`~vx3 zwZA2EfBPOy97}cvetlYenZL-@*R|AM)}P*`-4UoTj=1~V?xN3J(Lej}KaO@}M$Pi! z`38CRSKJ3mPs!q{IWu8iubmU-V-M@Idi&QF^;F~KhiN!;VnX}bDt5npUVL)TZ0U7} z_yeInX{~qc`@f&vS9iZ!fq(p5etg*6lbG&r{-WMqdo4G1@Am_Ho^?Fgs+E25gmBil zq&wfF7m6PE^EW3dzw*1m<>}_tND=NbBFB_}s7bscdp~~RhVBJzsO2J>ApC%L_3OVM z{4Ofh&eIj6AtcRqsq~82^(EiyPsi$Ruk#kuJ06R@qP|uTI*%`?j%NLb=E94jcSXHp z=BL8ukZm#R~e}155VWM4G!DZZ!%r5Mu=MCLa zxv*;7e&HKrPlflxAGXZi`11q5^ws~Zvb*V>(%CcQthU^@YHw(BzVVah=0Ev&>7$-M z8rSW-)0G+AJWs*Q?L*Ib)}kd9O9!e`(Z-5ft5YW<6}P5p)=t`Ix}Whn)=svK9vQia zyF5K-v~P`EjJ8Ji(P8bG1HwasV{jxDy=RxC|FfrXF7k3mD*ERok&`WfJtKVNkv^fi17u6R6r(S8fAip;*_J@L?uN3`(8=WiLhuF&j4 zLj&G#Y1CJAqDp;|Xh-;-G2*7(b;47m({mGlwrve}lKtY@{qX9)Rca_0xoGb?u5$7%ar$v(b-v15!(ONAPBaK3F_>xo#etPT87UH8 zI5APEU&3A3bHxXLt>MG)SIINHzIO6(h39XgD{|4$H+uf+@!fpUP!+u1RB;(zUldMy zTlK2K&tD5qdb>>iNc98wA$nQ=F8^JzXtv8NS@Hf~;+|@{^wtXbyXHNfkncsoKEL-| zZ2xyXPbjxnw+hdiYx*tHH{kW5f>r4oJ@CrG)vAAmUXQNM*9k8QWBxm8Jn(}<6{{!k zD}_UQTTh(a^}x{HF8hJ*N2{BAR=F3rN2^;aI`E5=@>;dKV)4M=r(Z9%?74X*@7f=( zhjXd|Z6dk#)FnmgIO?WZ;yBRlCcAW6&d(J)xN%b2He;LY6j2!$R3dsQN*42zlw7NP zf$P_CRs~8$Yb|p$g_8y~WxKw$*J0OUH@bmw*9-N$;Zl06@kZMku90L12J#u5v~}x6 zQ*}6qv7?>~$%=6X3puZD9PKs@BcIGsG9B#4&K4~iu=*;HJD@7Igl({iYvqTD6P1(6 zQDs#SZIi0h$?4YO?I>PsprhP?XVR)+=PvZBaTfIy(nTa^=M&xSbDfc#nj6%@K-aBr zt=#m@OP~JZy6G$kN?b8pbwQ!a=URD@HH#>sMSg?|KTh^-egvnTP!h~Wy)olBCaf##V^7vzKzjHTlO_jS-{7NB_Iba)9j2Af*K;* zmHyabi0ni7L5pP)j)S?qbR!F#Ri!vbaarianpgT4^FFv1j$;pgj?U4zRpbW9l9FQ- zAV|L0+=iOj?ONEITELZlGpRLGVG%i9hNFrU(g9*gVSgE|CBhCoNR2pGjt9s9(Klhd zOj0;^2*HVW;~<=QfJCWbW$&6vWf0E1i0Eco4nq@gZGv}`?KGN6`#6-bu4OI}=W5VGQ9>k=0axDXM{q;E z&igRTBe@3rPFujW)Eua9hQ@=na;~E>tT?rD;%wtCQ(Hd{wBm6pj}z-E8Y6l;=ACcJ z4->tqC@hvGBsVs@f9ggZ7;fQRVZa~Ni|D~+e?WwjrOK0ajV6qu)A1#w6;WH?brj@> zHC#sbU^4+QhWHiJBRgciDlCm9tp2iaMQIAd;A|`Hz)CgROb-c5&?I%)&5g5O1?s%7 z9DZmst#MW08~maBnK%BB_pjk~>;HehWU(On|M8Lc)K8XvoA=x;pZ|np z0Z6bwkY?Ffl(Q(#g=+vz01ceM0es3@_$=&GzytOv2W2RH0-tK|lKwQ?1z)uR5U}Pw z_9+B9`xIIUgYZ>Xx_HfennnVs1)+WeAPA;mCC3FijzzG{-*RDZ-tT_{-(?TpNMRiU z-Kp7Sy0nnj480vO&IK_X%$25FRRQo{w{4OAFn=XwyHRrPGj2NMLF~JhW|^MKjq-@asR}mBOLT*_O|${tsOBz^MAaEwjf??t zi(SV{YE}s{XmVeZwbXd`@XE{I{H)*~Gv>(()D!d+6^vkHoa{2JYmL>&VY(DRvuSHC z1V+CS=z zc4-@tx1Ly~ZJI(QCE2ELAlvagPm^5;&OA3M#?fJlK|g_^)3aZ_tRRslib)?fT#IOv zsiq_dlSP!pHE2YLJ4DwxF``(VZQ>%drEm=**~|s!LJ%`1$4_cF*u-}>BhG}ZAk@;P z0>kO*4pwHF$NP4nW)t+9Xi$pnVs5ttEx6Gj>8lp`s99-%+mVe%wkfxR(fHE%9`exT zzqGA2+Sc9fUI`2t}! ztk;wdF@$CHoOqfxS?6e^4ukHzC~g4%3yE-xT$5cex@kEMFf)$olP;2kRN+*HQ1;gi zaKDTlMOBLi9pj`#bW|(TE)0H5szrxgi1P;{kMY}qA20_jqcu(W#7Xx&ec&6tp2B?EtouI@7(-zD~{fzV3sp7E3i%ANX2Z%`vv3bM{v{B$9Lufkz?AxKbL=|bk zPh>~A8b_K2bDjEVv8c>w^!OZA61BV;bDe2aa>78oIQU_3LGhfZ_sKz30XWn2xJ=q9 zU~ju65`@UL3ync%Er=u|>K4I>82MOR!*&6Ms735ExO>qY;r1EBJw&R>?Q;T9(41xJ3bgERskCg~1 zd|6}$bS0||NE1nz+h7qvEL94JZhHc$u`I0C@_Js$>>O7T}g(0Z&4Sfe%8V(2{V|n{8r9ZkH>2Yc)Ckba zlL#(4WBl7tV$2NUd-owQxkYktW+gbA?ZDuQe2SG5HxMc5#*+Y=VB46L_u|$`NYe)RY!3&?Mu#IIWirG51ZmbK zwFyYFYrnyZE%GE$_aPEayDi$BFgtN2jMS4ZC?SMOB5q}VOS`A7$yO?sK&DC9^_Kw4 zlMUk~7rnX-Ged$RY2>gBkP6@ZY;ipvS;h zV`SVnB>77Ws9Rz~i23iE1aQC^*e5U>1D?)7nQ}&oj26#UAV;LqjTT~Y!NR4mc!TB;GKWHGEauucmjLe6xv zqJb0eII2^d<=e`z8qBasxdg!%z}9(_bc25f-i<`7!!`*x%ksR|7i4y(X{Mx)>~vcf z(GIHJMb862grIQEGsjjVbVT1wWg*R!G~r+rdS}DHl(L{if%c8rYE@rW40$6kCEp-G zgQ*4+c47MnI<^+*?Q_ zCM0`ywhM0UoNV#$LO?P%(U&N&!)6h3MJd&`qOFR0f%vLXWZ1Ap9-;o-pr~v`anw#% zcwsPXeD*JgNAmOjk}-VtU=~DA(!e3KMTV@OCYusmr2-bTMuYp!HU{_R2_pt{l?a^l zZDmmN@4=!*=vEHWE0l|p?Fv%!{TsQn2A(Y^`W$79Q?$xP8f+6GJX=I%Gzq>ohJ)aN zAsT%O8|{p8?onwRXbi;Fh^_=QL8v83kDvqXEC$*s0_|kH9Y@P(gBIUO5mpLNOlYM* zz<{x9JBi{-M#iOD94k-u z!zN33mj8WXuzOUw;2t25#y0gjT@Xnb+lEO~As|B1wNQiFYGXpD1T?TJWQz<_prLNM z4GQ8>SOvfrQs+P5iIuhwn)BwHGS+28)Owk~P(rNi4j0n3Mmb-DaPjbdZj1`YkgE-- zXxc|1QzByaiETn;I6)hjg*TAgVmXDkiaRHR1OC`<{1~6Y-ew>k?RYj#NI~8J#~Rnt zp1K8Sm?Ews&JOKXcoBIkF>_T!k}ajVL5EFgghY0pZtU9%l&aJZP`{-R@Unz6W3Mtt zRTbKY!LaW_X4CSBI;pmq+a<{ z<>B}qH1~(UaD7tp>x@aW4njZ!%mRQzc?2XMvKQ1xFue49+Njp3J~Ry)jyjA@V4}=z zfvrm4Zj%SDIxZjG1;3RsEG-H_)+`3YUIygTqqIafSNVKmMj$!u#v#^z50Gj`Mintl zTcK$36fdHq09s<8O_&FdgQBSvil%@|`R}x~6abhr+6v=5*myqezCdispbgY<)y&&q ziGpgTF_xM6oH5xZ`}uL&KzQJFcbvm8TFzfKtvs>@9+KEa^bXgq;RMS^>B@WXb(Tk=yEr`5!fUOolp$ z_c)Q1PHoE?AC)->{xndyz5ul75eO(~g%yn15@VGY#;6KHC-B;I`ih#g%#DUoa7lf= zh}J^R&9T7`E4pSS&K2L? zY-0qMYGR=-CXWN4kS4B96M@SAlmhN?0x=luY8N2qG*X;qxjhiA5wKAcU?FZX!%#s@ z1I-1q0nNQYG;c7c8f{|57$9#y@Z1U`g)LxL%4EEL?5pQ=y`B^l2i!RM4O-1W5^|Gr z9y+K1AMX8=KR)!=AD;W%v>D!z&S6k0j6=eQ-z}%PBMgEeM58dKc@o}?p@R!DC&ev_ z^sGKiVYWpC&dW!Eiu*IB1q>$L46Bw!J`KXmxc`ImR>LGe48;)2qY&A^(VoJ`)Y>%b zEmOnT4^Uis4g~E*XTT#UN1=-CL>_cTLeWP^_k;-d@gRI_4%9S1Dlt;wowODUTzpS6 zpY5hQ00=eFaZqHCEZ37F!jc?QJC)irCZjYai-5`6sszF-pmMsjFd-X)<3kpxGPnkC zEuWc0g|M^;1Ln&6ncWA-Q3PHMr6xEDaEG+b>el&y5 z>yggPA7~DDPuzC#PTTTW#;+}tP;2A^`A_?GyhO=RVP!lH0ab~6CYWPKhNfd>(m|~A zsb1#Uzi{PGPFhGFX8GoOnId!y|)phLFc^kmCdJiIyVK_b-d>9J~r6Em} zCp)=HjF``l$ooMRmuyE7m>n~-+i9QeCHNT%b0r4#;!WfZ}UXJ>jdUU5JfggZchcjj_8tMCVkc z5ts-lIO~)dMqm&~>w}<}q5E(MM@#8i*tSgKoC37DnHQyi>ydFHK=F47$T!c5zj2a} z9d#OW;S^2>K#j8*OHrc^>}^?N0iZ*`{}=8&0tXIYM>+yV7bZ1+_kS6Qauxw<2vX<_ zFscg00h)ONvH%bdsKR{pJU@n$owP#HKo}nm!FWJD3Iqj0fJNYCvWH{nPWSZPz536= zOFy|LGbFIOHimZ7LSv3*F|kaW2RSx{=O_%+vSwIY8P&~~F!Xb8rq@6NMT}`?BY>NW#alqsm-G=g zklboCPqPbQUQS1#DF9T12yBH#AI!1qVB#gqZ0EC+dngw%LZI)=^NdLYpcwq|bCR5M zdjX3LVyrv!|-`&x8 z)rf^N)yl{;BXkIeo^VW&3n}otb}ofyM|4e((8rb2>$+_v)n&37;$c^Z&@d7X_OgDmSbTG#j zx`-MbQrhGT@P#!TA^}Yku3>`Op!%=|&Q}Yop$q9H35x7dWiE7qr0|Vv7zg@*;O?NR zF^UkV125r??dbYD?rYC0me$cDJ)kgku+IJ%qn>9f<+{6G)__w(*^~^E8I?I~N|{s( zVR|sxV!Q-JWdbm}JfK#nkeVl50NSfW7@O28L*Tc13PUYdEJVgx?ZUU2XrP%W0fVtW zAl4WVMb`kkVLUkfE`aRlgbLKU4<{9S4ZRu1t#`p>Ht8Q4~xT`u?skjbhmU7T_lIU_Ti4}Z5dlMGQnt3%aP5}4+W@{!8rycuqYqv9G|1vM^(ZJ9! zd6*W7SCL?jDNtoSCLeWU(NTlCz~Y+-fOH)#g#-nL#zZ}9$V;jL^aSkM0q#vlk&;_T zoS+l$#(Gy6D{GuYnwfWxlR_N~??I~axp!~ZCR=18Guu0qW3Z+V$QmcT3u&>DdG`$1 zezJ#$kkLc9A4WfId?W}BGz?siVS9J*3q`a-Hg~#<1t$fCE|9T)8D_%9lA4W*C2;2% zHeuP0D>10YbV-FGBC4C6Y5BP4HFW7$@7x>9d+pYBN1wETiU9@&zTHjh!qzno6uKHj z>PZ<5Zbdmyk_+kv1g@D|ghIH_4u3&d@oEDuhxshZgKE2zAOPy+Hk78^!805ZM3`0JIZbkQ^akx<(|eH1+$psn1lkYP7ojjnb}}|{ zn~Cf)*#szJTj$+xLj4YvKEr7NWGH8|b}FC#3lAx%pK+0mW^9)z3}f9*Q;Lj;+QaX2 zwqu|pGh!z}A-c}LMd>^!c770l9~UUo>_(B1XrLIxUm;$U*mE0~_q7vOjqI;h9)p7H z7*Y!m29aLjCtYDyM*?W;R?v(d!K*50&1NBm>imdFn!v#Tv;hUQ1T!@`L$&kZDzF}r zVadcK=t3cA3gDuhjEkhP8*(qu5e{o0J`XgX2WwA>%=_ipA#@iAA}5R_IC-7bjAhqf0xC)^gCVdr(#LzrpdPGo#hd{z(R1)MAXpEu!{ZItW5$5ZJAvzF{ zMu2qXXYN1xuKDaE>s6dNn09sGRq(qZ7zSyLgQeaXZ--y*fq@8{iF$}(q&sRsltg}L z1A%8rlvE;t;L|R zO)mhyhg8`p!Bi?ZzUdxe#-DVVavuyG;Cou7UUVkJP6$G-g+aUl$yg#C1Q4Fu*@QUw zKfey~aYpD^0PI4H5i^j7%GL!HHpvGLC`cKYuceT+b!GyH0XLo|F1foM{6@^avEr%e!ce-j_wNq#9SWHLcYxX~4$d(9 z2b1HZRFZ&=gGqOxRzw-jUmXB?1OnlQ5D4YqQozU=>ZFH&1p@m*8g<}80dzp!1z~y^ zSPT?IBAX;wSFu;o3Bx=Gqhp7ZxXxsLr=gv+Eh;JBTNE?WKDuS27=mXFms7{+g5LZ2fIwji(>ts#6|_|EkC85Ncm|DUE<-*)Cmf=0jyj`$5XxAqEmMaSQ8Zo786067ngppjN4AHy zLM<9ebMql%JEl=<%q)YvAoqc~-D0NMjb#Uvn6BjU3vR}4`YP#^I3(j7U=L32wo!Ci zjD&Dc5p96&LC`i7s8-e)I%wToeRBy=j8lAwflj)b4K;|^k5CXmq#QeWn z7!-6yZTvj&b*3TG2tXjTSuhO|=E<5ACOQCh1=SH)BrGDQjM_xrCan6og0%AVJVLc{ z25=ufK(oLjg;CS+{U>GDN1z$YJUy}nkPEKXWS9>eUt(O+V7}h2O$&Pss6PExHM%cN z+aVF4cpWX6X1SL}g-$KF3|)||qySA-C<9uWw+C?^QRgVPG%Yi;ui;@*MD4`c;>2>2 zS-z(z!AuXb4Iq4%NCei85sG`1H#v}A7o#i2log0i&G$w>_v>ze@QVE!Tbu{7py z!n3xF^}z}S9IzqK#dRIhU$RrGq`hH0;7`;+N@H~=*dJVcnWX0(&_|y4-!IBLbhYV| z%{%a8>8djqh)IUXr>kL7iJd^>j{)GBosyf*Ba^=?Jp#+=$n{xFr}sG|$R)B$atCSx;KC333?0|}}OcR#TPNSet*g|FBM zuPa_zg}Pr@>f2Tf>3PD>xICz+5wnvQx-=N30$}sDm;ll{pgrT1VEake651)<2i52> z;kz`l(dp-53XPu`QXCn>r!c5i7bN*XaC#3zmuyz>GRX^2J#F|=J^H!dLx$)!Tiq}n zj79>T?zEU|RiV6tDL_{9+n|&K`6vq*WW7{jFjH5;1i=&qT5nGqKH0@#M>(ur_^9a* zfA`m)?)~*uYynDJGCj@e{oHDhywbGZ2SsQ)*FY2u?tb$}Wsq$fcv1KQI)0o)!&Afu$OBCGi>gh2F3eAFF- z29PqeH^l7N?KC(8y>j-ty~|h9N$S9yQgV(->d=f zuvv?Mbxi^ukw*IaAX`B01p&q`c%dU?8AjvT_0a(Fyg-5NprFf>g#lmzq+d|gyO{t3 z-^sub=<4TrsAD123?{?IiqrzbJ2I6f`XMZC1~m`j7^=_iB1r7JsXEGSk-_6ZT`vcu zNc*GDmgf4PuftFQ7D2eahW_S}e|K*F)Rq~MGzMN(*Sp6d7}9i~Wnj@?s3l$S+taZ< zlCl|_`6O`&tsHB2Br;MAsa7bxwMwG@>0dIT1|pFl>aL7kul6LGQBX9v;_@UMW@-i~&Jpao)? zARZ#ZXNxl>a<*FArgJ)}#ffV&v>%J-D(C3-ku(r_K@*x1$3zf-lO6>C3KGDKT?P;u zAgk-#KL!O>LE3}abSIO4VOSXXaj!Gab?qGpb~+6tJP5>R7|Bc;2osUv5EGqbpaDrY z1d;qKU|psN*al@rJ^v+`9E7V#r-F8XK}LQcqojl3KLsz*=aHR*mB8&(FSw75_Y5z(Q+C^&%tsYMuO@D`3i zV^Mk_x`#vviXmeXW<5ku<1>m*GiDPX>xBTuAPe95KN15_nM_N{JeYbtGe9RyCMgSd zml7Eg1C#K5SdZw2Vs8k%#}l~OV|C!)loSZ%Lf9^ssg4GLsihd&Pqjp z5y(90VS#x8RceHw0BL>#T>3u~1QU9s{~i}RCs}raVPSOvqzExBi)NJKI{F&OJ*+m1 z8R^<=x*gvIO7Wx|21mup6r_pFh=Af#hufgRG#wReek7ACBF2+6Ge4riH8a5%RC>r3 znd$)W#){H~S)x*h0l8b)0&{+lsJ)qNAul@93NhSsPreo%g*=^37b!`;yAbQ^_*8>f z(>kDkoF$C^8YIA!U?iDd&!${h%4m0|XIf1dQ*jK)5=4ok5>G=p#HUsJN+_{gGF1Yo z_E4cc0VmI>_UT58wV|RrT_3;sIYgy{q>b?<7>`)Nf^?2|!bHjENn>5J|GY{lVS!Qu zn|rNSY3Tv^pOFGpLI$beeqj0)s&$s<(?|t*gVhNvQjS5P0PzqFf>C;5dV5kH>t*Ua zkS90H!DhxK5@ZGQ)PDv_M!g4EZIkVg+J~iDx}Q}Fz<_wxOpuY~gr;<@upv`5LjvKU za+{+&SgG)z2?Pw!I$wTDYZU1F$|Urw0|aXdpol~;L<&r%0Fz=9v+DyC@GPX~G*}AZ zs?$?Rnel;`0kP;b%q<%*KrD+q3|Ry^{3Sc}Rddm_kY5Eu3HoqmGV5v<0Y;UM8Av0+ zi$8jQ5;zHI2*hG#kRe>2nk z4Q<<*Z@`C{hmjpz|4W8%ub(K_n(ih~KSPIj(1icyN z9=s_FFnd&inylICh?*Pb6k_beMly2s#O|orWzOLX^<$u%K9jPWbIaql@BpqHKWn zamC0oT>xWTJ8Z?WKwNCg(pZTmBL~x}viz{Vp*)BzJ zVz}_|N6UHREg2KQvkL#f;OrR(d#un>&&DY84*SCfPZY+1Cl;C$%#0L)_bkwP&bshf zV?8@Z`jKUWci1D#W>`$glBLNu_TTgaWV!TE!)|D~oNfJ0ZNX-NPGj~0Lk3T)m)_t1 zo4iN=75>W}7wv~%o`t8qvCG;W@}Vo9TOOG>d3WmMd%sAXT-*EN%~NYHe}C~A@6;y; z_O*4*pZY|*U7nyPAJkTF{*mX7v9`674cdXv?&2c;{)&CLsot7 zw|Q2lUWB9QiyrUF$(qO6QCim{CqDL0yc=8gu6p0Un}6^2cmEcS^1``Z^xk~&g@&ov z#gh$F^vd0LydbX}`dX~vr2W!~x|7e`bKnyFtNn-V9YDxUEixU~1L z_IK5IR_tBgcRx9B>+OfG zE|d4+Fz@?&Usx4hgkz^(wBM5&gVBM*$dzU|3=DNM9Px~o!c*f5m!@81$4>m|rPYa7 zKU)o^y~?r)&w-};v3FltEC0gO9y__L7A7u4?kbv^2nk0aZT$HUWG30luPj-nd7K&C$yx?{Kl7p52^1;0>MpGgGO#`OEGn>1t%C z_s_N7s)`Ed1~cdIUcRvQ!FRkvsiG zJ`ehkKZXwDHl|qhulab!NjX2?{oAl)J&^WRo*?m<^k;}l=!fO zi|i3%Yj~of0;-r}ApeED1MwsFm2f46zTDT&vvYWEbFYzI#jtaw*3tW~d=b5mHu%1= z<9pxCIDuu_Wl^#uSFVdvlUlli76||l))-yyVh2#mRx`(yrNG;4osnqS0$B;V4J_(b zV+V$vta4pB!5p@Z2iDB6zb%#?tBQ==TG$^2@)MlPAO;06v;x8z>D7%EKvYd$&u{Ty zjxAS%ufcvVS+-oO2%p0KJSJQNtZc}|HVbrJNj7+Mb!yLdBYFRHb*Ev-hZ|_FWY;%} zSwYyxN3%#Ug$Yk^ftrkXI%D%&v#l00!tmTDS|zxg;v5$bz4a)#S#w!9SU2Oa89tFs zfSYguf?-i|1vSV)&IFi8)X(NYb46y4T-z5_r5d&_-9#)o23-6$#RdxmkZNqe7Pu|m zqQQ<`qGhBz2q)sQbs^XTv*fiTYgB>srES1ct}ZuIOAqXHcR@k#jzO+dKvOawAZ*nU5Hz|O){#CF1Un3(ydl20b7!&xC&Eib_>-V!Dj7d z>#Sm!-wFs~GGsn$P~LeATa#KoY!yQ-EK&?3CEWeQ1V;M908S^lsQds zhUe6SdVIg!k1L#PqVb7xD_6d3_BHO47sA|T#meyx0&9C4g@miOEzmoPLp?V0h+*`; z3RQuj=5U_g#O)Mz*>;*G!Um4}hR_Uq_rP{iqUj*i49NPomGcQjg5{hoTy{sJXZDXv z|M5wID`PEitROF`*{_19vIn@3%_0bv?2IFsuxW~J%L!W8aWINmniYE1Dg_Pp1#?)l zVNooMZLSMO5XLCm1%HDFS^8{@a!KG3aGqRj8gP(>EyBx|gX}MGq;#HU+{zNQf%Cx$ z*a5JaJ1pX355c139V`B%<=`Kl_-5vvJYjZ#uBa0PW_O?d;`rVU;)3GhIHxA9)ZYTls*a3G>0Qb7Cln2l7Yrfz)uQ4EOW$M9jow`+|Jyzi(X1a=jNY9E|}d5<4>dKC3L3#}oo z!Q<$kjOF(_%Lfxy@8EU65u8Lg)iV>fHV!u5@SE<3`M8QpIo}i1H7}+{PQpAuKxipW zjBwwEeh9U@N{GA8@tV|UuksG7b~UP22#QFXxeNHTF+b~nRQ})Z4KI509vRG7+md^* zz3E^+TGIS~a_!?Fh|4pj)ywTW`I{uuvXpX|CA!y{KRAcTseM0u!tJKc zU2Tz0tB$&-2TwU%Z{9LmbC>eAaMYaXEle(aqgE%S5dwQS4ZUTc+PggFVsySAR`ZN1 zu;@w_zD2j7ywc}LNslWj1)ppo)5GRXLX01)*u{%qbF}4?rI_(AWa+L4{EI^NpfV$g zL)SVng?-g)yA7+_+erpXwT*ZHR$AFqrKv<|EH?Y9>1lN;g!pWau-_K5U#rID4uq^=;p>-%4=H=v~ypy)kdngaiOlKZE6wCe1$Ld)wcwS zh~t@~+$WxIe)N;zx{+0qEK)q=0qK;Tm-xMgwWnD&H%ix!&~|^P zviNoB`Qp20T4*d}4j9g^?%L~>zP?9@(O;`%A8gr9m5n|VmPg2L|Ay+sm~`CXFndZk zr7F9cG#*v%CtnQ&eYBtywP;V8q)MojOSY<*PJTs^?8bB(o_xNR&zA~&l*b)Apm{Eb zauS6oZ7Mf?6|+sjoS?;LZFI$H{xqytk(xP&*g_qgq$@*yRq$5YRkGORgqaOaqu;EwoO-Ban|M+^H@wX6r1qH^ zS7eyz`?y$BA%;EN`rJU83~sTUBwb z(&`{p8ec(pZySPD_O-tw{bcG;vB=HbCq1t`FcWBQa=5h_(liw)NSaf@++ZSjhAwod z$J*c0k+RZ$a(hqx!;OWpv4$vVExk^5f&5CDSlV`0Rcwe>xhn1((8 zVUv>WPN<1;vnh&dlMWmSJ`&U{*&~fx8%=eik@YHuZ6s|P*)Cs@wz=J!VM!KNrE_NXE-9Fdi<<2aX9myFZrwUlC`Dbc_h@NED!}E7I9m{cugRun!&x^d zjjFY;okOsV>;{iXH=!(|UNc{Ll*j~$>SPe&4!_6wt)dng>9vr_79)$Fc5#}zh1*Vg z=(E(KQl~3XDh!C06yAb2Nw8oJ6azC+E~UcRR-F+LW1!jMyU~d(XfkNoEL$(u{N+AApRnHS-G%+`I)03V#1@`do zTdO%dKfTh8ZqzC^gry6$$NJ?z(`NYA*`KzI%V+sF7RorTOAz{nH}3U{jdkUr#9tNL zXN&Y2>MG&C_iyS0)eE&IY>Lu`N>hlw9lU@ZHuf*PQK&o`4gMx+k6sh&{Rd-Mm0vII zq2NFN5dNw1hYqMGGfo4nkaDoFK%VD0hxc{{=tlV z(stSYA4l8oy64AxcrEto2Ylr35Uk!6gom5 zCGy zQPzH_-ONc7fymLq`C`~U?45#`6geh025cRu`Mv1Nf-q z7wDZ+4<-JW6Z^YQ8lOCN?Bl;)`I~k3+&TDqBj}%MKYCEV^Yvcf<`Z(m*(U>Cd}*Pj zFRcA6#6`kLO7Y7Tw;e{N5}i`7_7!7=FdaW<$VuEf83LGDBO@zk`? zJ&61s{af0@riR^7n-ssXl6qmk7^Rse%CN&Qb+b4oO}EhKFh{@ID6|SyQwL9%E+B8G zlwbSuwOfQ?x9Cx155Jh0b8MFj@b@a4n+l+@T`;C% zg|NKjan*NM;iOtcM#ZmJ$u|k&Y;cn|t43&rg;d?1Yn}O0A9VWaQ!hjtINfX-Q3vQ* z^*lZeyS`$*Urml2IEOgw9n}U>i+eZ2e)M9GT*)n0{6T5`(ZLT7t#i_x`hqH4i=y(d zaoD6s#n~Zx=d*^SA9cH(T7fLgaAAJy5&@C zliQ9BaXYvXQrWohhHUH>+*}{uc>GB5Kx}5eIH2{FNc>T|kP@1{!Q)bBSG;pVlAAY* zyOh+zQJwF;VK=uF<$l&EoS)2Uc3uc67Ys)T$E_cIM|$jy*45? z_Bmfg^CoQLD!2ndXd=kcTeJ}~yHl~6OR(S+Xo9hKIxK1ZErw;YRN0sl%vChE*kcsx zxq?l|v3-bYriV1T+3nh>37omeqx@5idb4J65H~C~bf~9<1-;LEax^SRRz3oo z>spb1CiW!3B&)EkS93$VF#6!xeQ z@RvcO$EIfi`q2+o8<^rSFBIyVI3mq7g(UTlAAjZkRNj}KTep*U6dmC%Xt#_uIlt5V zixPX|knf*_c{4`;u}N(gXtW`jELE}4Z;*g9czH(oNvxF;+l(SK8|GOaub}FaDWOL% z#q(-h;hKv!h}X7+X1VcMX>dAE)MOv!Lgw~%qkFtDU)x&z%56ue*5nC0-$1QO?9R7# zs&-SH37+$h&iHS~hfd}MRBb4fQlBkE2TY}i3;s$_8^dC^O?G_2*hwmfn$MWUTz8_x z=+B3BUmpG1_?`913CVn}R{D)m+CDF}cWeG~T;X+zXB$(}pJHjUOymyXkEZp4_*B7O zkx03f@!3{yq+l9fd#sJ{kE+i=Th9^m|M2uSaBWoi{{KKzN^5O1!vF`GLe4OO36F-R zns%$roD5+I50MnRwCZ-Dw9@)?K~(g*ni+;LJQM_@%eq}PG_?3odqLc-yWYE!Vx{$= zx7hV|-MzaDMYp={?k_2=ZP%;+Z>s+1^&%n3I?dWT2}!%;`sa++agm*2!XLtXT^}KE77S% zy4^{A)DrO_*+9!38Y==!b?rJ{l-AgOJhM|9F43y$ZbgP4uh0`DtE_It?7Mm>FgSAq2jXlDM4s{! zU8)c?QwDRO*2$$>29*k=g0#_EPS)dx~E)a`&L~#Y`u6NM|bQmq}@;$@xI)Ss^*4aMMm4?&TX9f1RzG zO;`Ei+%CL(RwYaIDXy8W6nUjFSNtkfeRrypW@~#{w{TwX)a@SKUWAYEuhc7t%KF_V zy2S(1PtE{?n8+5b*_N3OUFA|%2@g8=knWz-wL6PhH%DWX%$18o$co_Of+xuD<(Fo{ zn!MxX2HvxizC3)0NfVghi`$n;?;N_VqGi{OylfH=9^kdJtgk~Ct#v0|cIoY%dL69} zgv z`EH67^5>e*N}N3p@6)-Qv{gzkYuueKD{F!&p<(~}u9PNA#v-j|Gd!B7Ls`tPxB!!! z4wcBXt>F3RAKJY7zPWE3n9}C8Nw(>(vH1>VsXaHsTE$v%gVu-?-8ka^NQRGpwU-il}G%8#CgKS*XNm@)yYhz zi0aE&G+m|(!OXg6_%29qzE14a*kFL|v=@p=uyTm~JZ?(&$+=Y^_@qyfT`IH5zZ!@n zRT18myMUF;baxfdiTvHsF;XdJk)kwuh&=CnZsN)jtk`=9b^_%yrM8nOfsF2$|iTpZE1}g z*+GU(mD8B!jY^0I7=W~;bh;qy3P6ocE6kOIr0EN9>_{(O9p%4k#a*f*#fMkF!ZQ50 zE@DB`auiuzkf$Mpnq*nZND^V~0XLr4UQG9wiZnhjHLsWVWJmCu(^XxhoIXkulIqy!^}3|n+&oHaP51cpxw*quUt z3j;GAic6GkP2{dY-vt6HNmAn6MM1nw=_85d7Wj zwV)l9;H0GMka?MiU!Wl1039AYqXUVLwo-{XNKum{9OV599K2C8^i0l>(RTe4YG8CXMI5?Lz6W5@}93Y;z};m4tfpHg(S5ZHeC1Okf&zdo4TR*eRBUyeD^pXi4# z5Dk)Grs`9A63hnkX84(#$*7A^Ufi`{8h&NL*1*_dA~hA3c}2c2=VV9lL+thnAyLE?@-b*j^Njy2pL|0^@VBX*;;ciNjJXV0~i*%yDv(en5p~PGeBc(D{ z$SZ)%WMGKsW=7ban^c3}-Eiv)+#qzx5ICS>M3T%>f(Uq^Mvz`Gu7I#Urdk*mxw5|G z+;3JlO@7xBR3b}?l|uM@-ko4#6G6<6Bs&|-Nj(yoRHS4V`-n_^t^p&SvXxI86U@}K zYRpg-14En9*=D>HuN2`2O_}ZJNr@7k;&`7nB#BlDk^mB%nn6H3W9H!mQ5bWC5&Zh} zTSP8QbWRMN)}~mS(O^s@PMsn?#hFM*8kS*-nW_xQ#%A7}Gp(4HDh?Ar$om0D2Uo6y zrfRq`vx8_Byn@A``9Z;nPEnQwt_Lqgl%3vAT96>=oa9 zBa)P>Gb&HbG7Az+Pk>>BW@UPU+b$sfwr2`4rYb2yYznFfwa!D>RjG zhlCWHYUDRw$QvFaT4olJ>lO$ z{!+g>;$I>XJ<%_ax@NzmHJez2k)_m?Y*HHZJGFjlrKFvKHHT#LEKlI17*d>^N&!g! zDS;t{Ozi+fMgyNF<|9mg`5Oh z4WP1-#8Xr)aV80lP9Au1yabOMIkR2IeqklctV9$?4CQRDI{EmeS8n~o{%Z$H!8t?e zb9HJx%bLfG$pK_g0HG^@W&}BaN_$#X&N-& zkeq1Zm)a6oa)M9L&D0W=qB0>x2l3_=%!qRdcxCaG6$m0u3DCtYCk7ztNjf~`aEi6g zWG5tcm=W~(utdouI?Lp7F`}Ep{vm~-odTjHMOcBSj0UEU8)OxhH5y(}p~qItnkCuE zkpuyY&ZS&KA)8D?)TI!9sFvugrOBEf{rQ)BSKs6N?ntjcNEClUQxT&Pk@!~;1!Xo6 znre}o_p8%ni?CAG_&WcH-_fCWtBJ_jqASUaIf*0`23D}mEa9~pRT6516qL*}8f}L1 zKMGVWk{EZg(}0c8w$SrZESi#t9u%C(yd+qgDT?oGfJ4+1jGYFhkmpM^7(yA^D1~BMbP?op-^_9p>!}u*H^wNGfLu8xN&?GA$^+8r5T#+Eyc~$a&YCsa! z7>P>cpt<6?PXeQbh$fiH)D263cE$kp*1(DaqlWwxH47Mi)*$&gUZJ-5lM5NU6IMfv zIHg6U5}lDM_3K}qdgZ>=Z(!=XO@mXzs3n>pDxPdi?z6*1GHAqtAq{%4Hk^Q6VTr8Z zWLWNl`2iO4SzhCDLO#QYi8s{aINQyc2+R%h3Moq zU~r~_3Set8wky#U-w1<@9of=P`^Z*W2m*$6f-Q>uv>MnNj*|NNQ7r=7o! zes`D=Z$v$vz2{zBJOxp$E=yCojkxbl_0)# z`@;Hd`Fua{8A*w3(hOYPe}_Pq9h7~EYJ&O`W~z0uT@P$$4J?0E_PA$ zHj%AC&ze@gc0RzrvzDL1#m76&p5cGzo@Zx$=Y6)do>x*P9rM*6vg27Jed77rxw_H< zP`0bsO(w+7Urx7R+^P@W(b8IRW*?|vm53{Q`6{pwtZJE%oHm9E#Ep3nVTvAGMRoz#zo0)t2GcIb~oZkbPxZ&wM{sRg z(`)tnYqlJG{VXGVwD+oY;5PX~DJDNN`(bkk|A;RZ*UL@fA-4LZ1AQ0SDrGKz?SXQ( zU;imB+)W=8K9zh*v8&oq$qv}{Gw08&f9>pC-D*ewOPv`B;WzE4`+uVRQD4~@Nrh?o zY4ZC|PfNp9>{H#7VDS*&#n91e&+2(`rS?aVO-6U!FDWxUHnu7!E{M0D{g$L-NV^!*z!s2uCoe$%ZL-(AY2|e4= zl6JvUHnk-6EIId{OnP2pOS!F6R~**Br=9BAd!mDGrMWQeLpfS~xNMsDuOFy+d-}1_ zOIkTs!i=i&uWBOG5$AP)xkmo&L3AcVaUFxw%tq%ql_j|Z= z;=-m0-$J-WY0ICm&4YZUj4lW7Pj}7d{II4WN5117x2tLDXklS<`ldqPlm~6N};@Te-7pLz#|5WKz$u4^50McW}%F_QmxCoUf(w!~o9j^VY?9&BEyT7S# zoNg_xykM*34_Bo&Pw&MsdVo3osd7e*vQU-$D^KHL?qtRpdVy>0m*#)C*kvj_Fx7PA zro5q_b`Kyji|6F%oquVWjYYccTQ=jhr=`=4o=2tCsXcGMFOHgGxn3XlCHMF*{@d~6 zZ%w$$^RZGkUE&FjnNrE(VNd5&T?uz)O!^VYCTGT~QXzSg)Lmu+^{v567Ox`jI%M^7 z@h3csLj0e)_&+r{JHW>ew`x1@?CkQCkGa>MMQ!25u^QzzG#Q>gl!|*j(zV;I;f6JG zuV?G1cy#OsTSv>rUatI6e%~sSp}3jTFGqY7i7*FDZ($4 z?99PP3C^Fy;b&7TDTv}r77XrK#cXbhG*6Jx?q5t9l9EM{#kx?{{7!wjf*%#SC?o>62*%k zJQT0P(QjZ|ggUdzi;Y<#F*^VSiw?vEZl6klg69p$ejj05{s7rW-ra<}`P)c-VDLlbZ)gGHZ83yG^B-CUIuXsGL$h8SQ!snv5ZE9O!& z`!J-TEfZ?s*K_8E5|&%e4d03g$L1S_UP8O=jYAgq<2uwg}Riakn6{0?y z8SWM3F(yY-6^^39^?ueSzl2ywdgrMnJ_+GIY%s|_47*Q#rHvyymgR5oI@4TC2l z0$DjZi+uqn5gC4Z@>)g)es<=qQX;>Pez)R3K7R1KlVfI{{mVynLw&qD%Y>?M1Tpz+ zsMuFp%ruqPzJFk!0$>AK%i!lU{#;+uVQ#L(a4)A7&Glh6lIA$4UGES}Ol_-?eU)}2 zhJnI={D%LT6#hCqRGD^@R*?4Nqj)Th=+mVpjx4V4<{_>z4)W0GP8()eH<_pVzNqbM z$$E9bmHCA*=;6jSc1~*+*baFtYZ^^ejo2zfU^9p5Z_}$-G0&3AwfT~u>bnuW zBVi+BUxTtFTb5K>Qg+lgP=0h7!fy0CJyeZ6>|mb7I5)~Yz>cKTH5gzebx_x5S6&0M zSk!Bbo=Sl#yrC`^Dr{JagmWfk!8ESU!P0os=SZO~0J72Zhas2z%|4U8IY+WR4p@?0XYpzUUB#W%pVPH9 z;#9BAvuPEQv(c>d+2MQmqWQi+Ow=trP{GC}CDIXyzT;Ly_JC`5W`}&z*6CpX=b6Fk zACLi*8P?wXHPvk0ig)sb@zU~i$6L|oGWqEKv9!Q>vDwWnG@Nf-fO@nFeRuQq z_*r>2r9Mx~Mj<=Os#<4Ta7mL#S2w+hAZ`McI@OsyY^awlK_gKMBr?Cf%vQst(;whe z6tlgD!~g3jVDH`}WV2B^dWy?)p*+{x{Cy%g6N`OvHl7~5>jUCt{~F45$!#?6s(rsf z1%;Ms;z8p(2MaQNxuiY6$&w#i{O#!PR{x^^d)tig^Ch3a8GDuV<-|}i^RCdp?yd32 zb*Ol@v|z~Cw)SI({!%JhH0{HuFdHJCO{<4vO(nxIdFFl&oyGr!)bMbAMd$=uyE8EN zPIC#n$c^0?%DKKnsXD-3us3Jh0uC=abVVycyGPztv$U;brudlgq`ic`V=5c(7wtdb zD$)Hn=UxW2kVsSR;Csa<&^sCbJf|&gIC2bfs$0&FYxWO@cWW>5U24CY)xRmiek`<@ zje8ygoc|TLjMMsSfm=1!mENphe9kr(#&^bMH%At-Q zpYyIVeM!LlgGFRF{n~gt%T1;mgEhxAboheqv zcg{t||H?GwH68@3UG*I~5Lg{({oZ6GH;k_O`iM4LKb!f9P`Jwp#i`^)^D_XE#6$N% zg-=*11om_T$$xg)>$zm6hOky)MMckbNUYGAD3Q84M@Dk-QDneSrQ6N2v7k_y=_2i9 zKjBQ;d&D?HS7u01pt=U#0Yz1_8mi=b>YKZ?9P)o2=cB%{f{2@km7jNI*}}{To4gP|kIGv$%Zqs=d#`V`B9Q4q zT&w z9v&$pgG_P$tw7D3VCNZtgs^Ebwg(MXTeT#`gT^)tCqH&1G8OzTc*3-RsS z^Fd_Ag@oxG_O?zGbTXs8P?T%W;kkOgk_=cKzwcRn7~%}qw&{_qAeS%CLW}PwV+YxI zizk>vP)j&wo@8ecG%l2m%4k8bn?q_>Czs!2utJ?Pz)v%v%^9ezVA~lk>XCYsB+|Gx z3y)G{GoA?$!a>;!V~ILFf{vuf}6~f!Lw-I zN8r@mmS5jorVbViyGQlGOwLvn0`|?27t7nA;UlUC{jA252_wW%8veD=CM28B=#Bk? zm@gmI7CQ^nbWfH*U@aXt$01tN2f!Zr=nOyXmEkA@A9Y`yJj{4){jI?ZH~&K`*}nLBU$p0mZqIo5^XOIPxxk$x z>7ymRzR>fw{SDbEcl^zF(R%NTcS##=xqQ-V$-g=@TytWxOde^4dLY-wXY4J(ulJtv z1usip7Ro$&hpMduZ!|B2uO50H%BAm)T3tU{6szeENO9@&;c!&;6h_^ft)44?dd`!5 z_<=u;Tt2k<<8bTt{{hFWSFYUP9X)iezIlNEwDw5RXBRCc`0h_~7Tufw7<`ocAM!GB z<^wvV6+PG&3wqc7^EEm8?ANV3tb70NS~z$GLp{qI;n#yFy=B1|N!u0+mRRnG&e$8> zP-3mPcz3pE%eZav7!=-^Cg+ZvC;m0ow|L}ty`}RaDw;zmc$?o5IsWkoRf9~`|ZKw8F10*iz%>)W2<9{Wpl2UfUMtxi98dEga?sEZT84E2Rqw{}$5Muq6>& z;tjz+&8?X_HIL9a%TSH$6pFyO!Sy`PwSOo~&{Vx?}vE*)+MG z19;{aPiYMT%rai>q7TZ1%|KMfsB(K z(Py{Y+sTPsm~a4r7UqC?dz`!X3fHE@{Tut#A$ETHN(J$@#gm%Hv zh4R~@Fea;WT2!yetRG-%fjrEfOt*b7pUQp$*B3S&hz!6OCPm-9MK56|hSOcoe=a<< z;Uys#2mK=_(iZ#jQQMbq;Kx3_qNTeswWiHF{>ahFM%JSRD5<*e3ihJWF&Oqw1jukM zxvZ9WKmNZqMwI4SdISw={Y;HH2K`6Sa+e zG1s_u3hd(=NYP9!eYM_<6RR_Nk9BFq&+vMnVJufXPaYml+7n4<-=t&gpIcOWW`^AX zv-4tU9sTL;Gg)Q-+0l;&TA*mA14?=BL8rCoXr|gqgY@=!`T^6cYw>$bZO54d$Fu`8 z*{1QMc&<`!+wc$4w&B+T0xIq$Qvu31g}S^2L+Ld&!*171z;!k>>i~Z=8h&dIW>Zo1 z4!wQ!#oV|>W(HpM{Ogs!d^7!>L6V3x{X)dKLFSP$85t9ystQ3a$4MulLTbO@99NP$ zC60|!tdSHMlB^h+X41$CG0C^0VkQ-ZV0{6lX^_QMrd-&4y%) z-eyhA95I+zpk=I(E<5eQGX%bXiWrq7ZON!X9|BkO%im_FZ(M!fU%%^BJO-zAxsa%U zr_TBZ3mA83InBjA=jEKJMf^&Vg)-!iNOA;W9cDMlx3>HA@lhV1XPV3rqlWi5!FvUj zn#_7L;_9u!Dq@VW`j3c_7Y4Hg$w|Qw8b+O&*NGKl%o1%jQ{i|%*(2$RO4X>coEMcu zBKs{+!1)r;$F9dEZ08Do(41JmpG>kKPjZ@9RWz;)L7Ggc1Ix`7g9{i-!|7I;7yTnn zmg1*8Vj>LU;)B8x$dJ_;A{!fT4dHAUvdbXd+K`4P$O@!br14Oq$x>h@-)a9=L4<+Z&d`z-%=KwHx=n)cdDQ$y8`{ItE#GILSGZtkw^qr%4s z=2Kq^1MME+&)Q%K`_R~_;hR5`nr=~Ua`g|4%J?|*wEf_~UGF{RMY|t!M?Yw8we&O< zl>O7?AQPySy|})QiTwb&{O!f#p$8(TMIfq3mxu4L!I0c*ZAo_hf%F(}4*?PX6D zY%-xX-_LR_M*vhc1r)!}FKnpbKeP`W+0c0bm1O+>0w9oX*3-MzK9yCorX$Optji=}@^;!fc_> zS=#WTEi)%ZKhCk)bX{$34*1~Xk@0Y}1ZAg2>(g_xvGqaQvB`|W+U}d9>{yy*Jkx^e8f`Y|=BHyX5VHkN(H-t%hHreyp)v9kSzq79uU1p^Gmwu(S zs0W~e%{~q8`&yJ&Ryfr3qmS+*Ya>-;q47hzdO@fV9#JPE7y7<&sO=4xmGnS%053FL z&H+nm^n?4K>CgR{TszP_UHh=MlSF$`#JQ>PI=tX&!(ZLH(QMWN4{m4XOvqyz zC(!`-hiy1oMyK1hwzOmfX4$B9I?MG3M}eu*6!+%qvY89ZTqaBFhS)?O9(o&1m?ox` z7Wa6dZE>nH>j~7*w7IOgE?cy^YWHE)lrmUdx)*$U2zIMmM%wV@cYaE;)on4$Pv{q} zeE9#qv!thKQ%z1xeqS8erAId7o{NRO!V%jH*l`zlrO^;mvR#xG#owPlQ}#s*x@38= z@PhR);=pGOokHVHCCDbb7joQRgDqS44-GU1zw845$DX^vZGGr`047Jl-L5a5YAQZu zaV%n})`qzB`%Mm z7iVO#r7yBb!=K}y7qo>9Upc1kr#+6l3;)4hv+7vto<5&9s&Dz=+_R1grVef1^cqAa zQsDAj;EvMo<>7&;a4WjXRXSYiHD}*GJxz>C#n7w_g>_s0I z4pydZHjRwmZKnB+^60d9*%1M_7 zYa2X{X#?P(c&dbpFw%hAIN!5iA)rx(^1>xPw#5ptMfNfQA9l_<9*(iEdg|c_ z*O#zR{nhT9v)%@fTjsgWLW}{YaP9Z>RO6qKHsB%-fY+hj2ETYgxa?^Wjcl(m<; z{_^$lTfe`^vBWKaV}4s75n^n2{wIPQm(s2BBp8Fxmf`}2ZV*BhPXpXg?NV~dc*u}P z0uY;kL4JS)XI18PkkeK2)wXam$x`x2mIsvb$RY;o3a#3ZTN~>L$27h|=N=`q^+~wV zgq5gOqT{N%J1~(rnt?A58%0L5hHC%|{ZNFWIDC;`OnCkUVb zO{CQ~hPwneZm_a|@vVhpYc6N4OkI}8MP4kt7NO{8x_J>e2bH{K2qrcVqks_Z0=_16 zG8t4OqVhwwfPWc@0JqzSoJ~2fdRE(Sfn4|CK3qunb^UzV)3EYI3GOC70^^a@zXNz#IrV*%-RnFnUM4-&7H9vQFF_?`CJAzx5V;FrmfXoF707@rcCzuSB@Z;e!MmbPnL;(BEFzP(?@A zSJC4g(Le4if9-f(dc-0!(9;s=aRFPk=&=0ba>ors4{H`xJ-#`xEiWWCk*kkBkn;S)|?nNc3$D>-Flr?*o zRQ#uV$X84i`Kq<5bk-DwAgdQ0e=QOl{#(4u4cgjwUf!AZeV2P_TZQm&a2DoO|D>fB z?*-FN(l%~~s5ohZztZeoc5>n?cc*h`@PWNLZ@4rPTx`XK*scX z)0Y_H@mu~lu5PMyK&$S&DU!{s;~sJ>L|Yv#!cZ@sDG-hw9}n0865@RViER%?-O%e+ zG`HCnTc38cm}VUhpw=1)RfE>Oa>4dJ=kF{SoMO|GHVd^UJ^f$EBbBYrUyvI9gZ)w(~=6wl6Ns!t@9*Xln~j3b8jo z54;>yU>G8x`)HN8aY^$O#+$_^s!n>v?QjbgR9L$pzY26C~%_U-dxheQN z)^WGcP(pj=07c-|+Uge?7I`fXzw=1vrZ16Fh7JtaW+v2C_G3ivySyu3CG#6wQ49Z6 zHLkEXfYS>h0{ijPYMc1?Pus}fdF?A-4Ox5agLV7x`|IAv1CDp1dfxEeg)rxRCOxpG z1FYKse>v%U#DU!XfXV!Zc2CrNX>y5eHsEH0Z86N2F0Fyne2WuiNo(G#{m1r7So8Qa zg6W1G`ET!D2MqM|N^qhf8;UQbuw}yR(EkHYu zD{T*tH?&vy5$!2L)6lLkq7=FTaB#jnYsA&>-*nB9q3ub+Y(`8bde*U zZC1Uer>e#Wo4Ac!P8&=uI31AU-uCIVFSW)2l=qnwt=X%!*}jUUyRxQk27oJ=Gdt(K z3GD%GWB`3SuSWxSy~ma4o~ELnBYga-)k@awSEB1dCnEih0(MKJE?xcquURl@=BJLu z4d*WeT0Tg-fS`0lo0FRFBhtO@enNkcH+agX!x_^NWqU!}-p+ZH_li|d1kZz{IvLx* zKP9c%^f>a`%Yo)8{#^r8E`--kofN-nd2ijU>B6-u_3fBmvS9%18Q468+jkztyR%hW z6a2*<=@uRWV*-Jw7S`nd+;ZHWpJCrfhjU$FTXw^wxCqy244v98xAaGY9`=^zY_t$J zBwVL-OX{cifsBJ|aZPC|8(r%$IV$6eShjW?&0(Nd^QPydGu^@vT&3@r?nWgvQ8t$# z+|~q$zTh+D%p0=~wpJ@)K48xk!rYQ??&`TcGmot4{1wUWxjp)e%|H9$b=&^?yX#Yu zUYL-V1ohfJ7NqX1Y_oHS$qgmE&}a%Lnr9hhrLdY-gR6P7*DF<$9xWR$lF!T#aRAFf9zl4UDv+b}8t9iS?s^GAXBV zKK!gI7KUPquy=MMfvwezza+epAnSfS^5bN|%C6>MKtfwJzIT;}tnD20g8Q8cLyJ29 zG>k}h3VB-5U<&Y=RVEErqt;+#4c|S@&a?b!nc^V6vGqC;`1q$K2TY9fdHyLn&9XEF zx@$HfWicE06-XFy0^?UrBc-4bU8_K-K@p(n&$Prh!!q?upg^O8>U`;1;q8A@TUThmdI+-;&J+XZ+3>e?U!}agmG996OoOJGyx1ffru@k7S(av3Lc0bc^VTva586Nc2 zeZ&5xBC`|?4YB>itx4M0e$W6_RDrsF;GcKjwR-ct@pgpGAex~d1i&^tMRh|xjClx3 zZIX?e9>*HRiFA-?JLHE~6TdYcrOL_v1R3H^GQ4}GmdyAe{uMXv_g2sVu9N7eD9r#6 zC$PH+`)Nx2lz>0jiGmbd0(->wQJ|uQnsRE23OlOFS=gKu|JV^T++35YPjEhde&OJ< ztZPqD)M_&HFQ1n@^Dp@nyATVM1V_OoIE)uRDJinTRNPhnf7n~O{qGIG0=iv%oy6m1 z4?g0#;(3_uKYX9(3CrHayb{m$uY}67BOgs(gawd3pskI*0$@9v=U>~e(d{;S7(l3P zCznS{j)&nhKLQ}zDJ)#HzTbK3>CsqUoQ<}B01#@UfKb~Wfv*O5+30RSv3>0uI0F>C zf!7bmg7?E-+9_NKh&iik(Rz1T!Oy+d0C#oqJwBSeN(M#`Ut*6~4quu)vO&IB1Q|;g zNAoPV#6fpJo0BH5ZW;Kqqd+#lri+jVUVe`L0B~+kKaNylJn) zCk0>sc=&4{;O%0*=Xc658zRqK(vJAjVC5=!|MuB8rlYPMqt+{xJ6_xqYt62V?*=!) zBdx*3@TuKH`1+Aq6R`EhN1;_Gi=s6)~KJHt5 z9cIt~A^a%#B~b1TU&J-|2w12x>iLQFdF!6V10|NbTU#f$U)%%y!Nb4Z61=+oc_!Gx zzcvc9i1ni%X2!Pu*0Uw{(UJNst-jYMCw*7$&i3sGxE%w&&klPy)(3lmdGOsp0EC-Y z@;)g^vw-9G^BrIx@_brwD0}@Yv(H`F{=Bv3_Zx41@~bWX5kJ)^YH4mX)1}RCAKroY zHr*9GMAEgQ7yCV_&n{Za!69s?7yE7@>42w8CmwW_IR+8htG@JL9@EunQ7>YPdZnP62RC%5jjqtkMB?o0!`4##aDr~&>*FoW~GnK=9Mq zeG}Sc<_{PW9A~)XFa~a29)Ed)0f2gkxeFzTzK0ZA-TH8+AD;Mx2xq{tz z(c{K#MU&dc$Z;ngt$oA;u?3&Km{}_q4?Tn1UT;kI{k-0*2yLCfGsN)ev%fjpy{hDf zZ*2};ngX;}V(?P{wo6Wp*NntB7<3FoR2gTopH+Z&XHFzWiqoKzQ<+33>;UB?@kBI= z;vkm~`bFp?Dd@oBeVmYJhW`)@e9*rcARi63c*#LR3JiGglz43@n--kQH3j-rhU4RJ zV}UT12=AtJ7|awjff)$b!_ONtLqF+l+8Cw(?V|Qw2OR%>P&w8F;~snsd?ZDr#7vz} zW`R$pB*Fr`p%6f*3bh%m$bfZi5DwLOvC1m}T174cHN zE9bflf5fB)u@gA}CS@TZV(u8j6;nPIiJ(H}=;@H{dAXYaT7XxOb>>D<>{Djh{xdL3 zU^SX>!k`f(wL4Lgm?%K8EN3Xg6t0~{oXT|&EG)+%Gn^rim4OrWE$*>%%5Vg{luIugQm-X)rewaK$%{Vh8=eS#)Yz{AC`-X@i-v zL)IhkAK-h8M7*}&$`E8&<_-Jdlbr&^OEBL8a!!Jog32h7N-!tWtdoM1UWfAy3D9+F z5?m%)V&!AMJs_`++yk%0w^sF!O%8gFOJkYcc|KQ1eVGvByN21&Ui^rbr%?4(Y|jc% zm~wZp(zrSfiR--U*~kFn*p=H7J+7yoeZXSN$vMiHrc#(s>~(h!kxXeErl~Aa?W#r} zO3TnsHx9Zvbe#`(yri_DdhKn#^sQ32HOZva=Z$ntl_>fM|GrS56*sZGnxmecW}1po zFD(v0D2c6MQ-`$&veD)zWHPU>ei7f~NNc0{;d}B6 z8>UT}X=BG}S6kY2YQNg18~iOgHT|nv3%jLLXm4abEL9VZh>g+xH~i}#H+vwoX*;bWPnpMR(m*`C%!B$PIT!O3Lkt-~rmmyCT837$^Jf&}WTqrF; zB6}TXENXp5hPF-2m1C-TQj!|i(4CQ|gWP&|g8CdVa0+9C$VxA>RB%!JQ<6KaMzOpx(QB{ZhF&K7?UQ`Y;8T2amLSt4wPLV~v}XrG->mar8vL$ZmvDqTywBZQM2wkB zp}vqUTrQ;!S4wWAzr-?~`T@niB4`;I5tUw#3aUL~xJp~`A*#cns`^-CRmGUl;vO8ylX{R%JwhZF#;6sZ!X+N03BL8RCZzg0^+!GR ziV>t4nA5P3JUWEz0&k`h*GP(H&TUXr#9EE*#I7l0wECZ07gy(V-=2lk%4s!G&#gbu zojNWkg-~rc)Gg!Te$lv0XL{Y!X`rj);S&`9IwP-VEYr)?E<`$&(jP+wxH`vC$o8I$ zu9NCA#NoT3q82=JNSZ1f#W3lF6L0+pdsPy0(B;iJT1j6iZCSHbe*vZB4NgjF*wC2JDbqb~z>3TY%) zULuF``4P~pHOj+*P{b-U7dO=J=1-$(!6xNs^Y|X)<4FFd=dZB%{gMCM##)Bb-rLrH z{9dl!#3`j#^oR}A!EC;_=J_PR`lL?%yqXNuvU0@X-C{~U!gP;Sq9^5}{2)-J4g`e- ze)8>)Sw|LjiTZLqqVhciUlx^gUhQnlzj0?2Uo{-Lz8a5W+=;j}5vP(JPhf296eK%S` zV?id{c*aD>kc(3W?ajE8-rh0znbIOHgo01LE>Ky6<(yoB>+(2yO=r&6=X0jTE|Q~7 z2F}TP7;&E zz2$1A>UbJGx?A^2$H~!OU)OCuSBj+;WrDP7lJwzAK9KdX+>y2_L+Sz*Q&D&L89mkz zpy!_Xs_6ek1AqCprI(<-rZk&2!@)7 zmBl1~xjY1@QMfL|NFJSkj$<-J1IOes##xDq(Eof=XznmKc$51HygoYeBajlf6RiI?EUYZ3SzG`-KqLsx< z1bK;zg3nc5wkj4cr5nmfL^lqmyK*txtQ4@$EzXf!nI#=>cCG&H$!}YQN_&X&eJr*x zzZ2T%4Ur-j$7o%QwllXGulP=@{PteRb86@1>dB1OrJT*j+lS4 zz|2>;-;~E`p)Jr@k*YNAn>blo>R)i*sAag?)mA8(ar?AeeKiL=#G{4L+Ah^9G~`LS z!C4S`-*9Crb@26Wd&NO&>#;8y!(Qv!^MQ_q=83bfwCqZ0n;FlVdw>r6Cs6|u*5In~ z_Q2!TWqs>}`HjA@gXuUdS*lX4XYSd?lx`DdhbPk}8}UH^{(J+J$aXNx>GX5^QFElZI|^@+nQXLDKz@bZAI!m^m4jA$H$b+ zlz(&NFJHAdzqQHroLCC3z};Xj}G(Zp&C`nXM$X%9B`HHAa47XpvZ6uscuym z>><9pIsaobOuMP#48iSNTOgaKQE2#ueJoR%{sUje^DVw$ugKiY4`?dKXIxeNFSjyX zz_rqTBnFUrrb#&9(eHtAQlCM4L>s=71cY#rY;N@be{{Wjd=q89_@9OX1<_`v(=rXE z%}gilq_>z-G$2A|GHs{nB_>oX=&A`t!$sZJ>K2YiGt;N(wDe+Y#Hy^Al4|v+Y-)A! zoW0l(u-xQOS(e@P?4FjQRS`EO)Pm^wegdBJ&+qk{7q6t3p_6$&^E}Vz`u>bsm4vRe z7arMC+A%|}<|eR2IanYqGUJnJePzm2Nk7-U%AaHPbn%vEbVlUvXv4dNrKpPA!qKm$ zQf;_3wIr8ZX12S9UPSxu1rH*G>WsK@*ub4>_^VX+n7&AF6Gw|!SXrfJJOHh<{2c%= z=NiV%+qlWVIYkb(*NSF?cm}Uu#jaj1l#-ZvIbS1*RtN<~l`@_sTDeA?CEu`|?l)0S zgEew`d+8-#)=wzjo9T$_cbtS9x9s{ zwG!vun;~Pc$4%^tFrvJ=+SzISoP|8EgJD+*u4kiCH~rS?`ZxS^AA{Y`aW6@tg(B%m z67S2wU4d+NOQ}fImlItP$x^(dPi*WQr`(L4?ylwee15wp5&Xw0kUM*$T*9{A!`ZD!0JfGB8m#`3TZG|dyQ_JZ1P~F-g zyJ1U|c{pnO<;~HaCwbcV*G&X6(5+A)W=$}2ok~I>k=E|)FA}95LBwf6tNwUCI%}m% z7`a1;EaR=*A`Y?C{Eh~bgb@uDs$pKsIybY4MygaTaD?2R#10U_4nMyh=pV)Ij$tuY37xU87Txlol%(%m5L4l zlL@bLsX0}w*Rk|o?qhaHhj7)nZxpZtR!k*Mnj@Hw;H7z3og!;-GZ4kpRbuUnn?$j$ z#X$%vN@zAL)~3uWkq;_qOx^;{UoB=zmGxvsF^8R@RckaYP6VH3HAQO+$lK!8P=vGR z!NP01KmPH!?&pz`^BtNQQ9jToea+bNsBwm*s6N(btt-cO`F2R+Of+DVw`7$v!W4Zcn6kOp z33^QTBm*om8`gU~ZJ;HUOTQI+q_hEN?#K}mYVv7OQ(Ve~nCWcHQ!NxKSoR+CZwUTL zeMHYErsA9I+2H8`$kv4+XG_RT=62kZOx93O3Wt}GZ#$-04y}fg5~>10c~3@+q|ycE zr>d(pW2v2(ze4FNWpSptgASxuo#yD;YB{@9UL2rmz3ydHkVZyGFI9V|CBf-)4;j<{ zHZ%6|ob^9%4(?+5R@#?yPF9ZGLo%c5d48ZZ;@~7?5yQ&?&Bk|>##rb~RlxwV45#x1 zHKij+RqbPx1yS5UkQ2bI(DHHzHn2W>T4pmtRy@vjlB$_(d|?URa&HL0DQlQUtfZ8e zijZKS3*jj7k=^a+KckK2%oxVXMA zbMs}kSxz{@ImhtFneA-Z$W{HiXln8S|ILw8&AhEkf=VdV>C!XiZn_S$a0wEwCx5|^ zT}MLZ}U-*7kV^HaK><7V05z zH7T36h8Bh;r)7$v=F<}7r*ctkbxAqlst`RgG=TGboMx`!6r^#ruz#(Hc<;^SUlyXi zPJZK7^7Ii3YqCiuo%ohUfX+&RRKh?@4#skN{TXs&8S~N>G$BR28B`DKnT-COJf~#8O(C@l{3qPN}Tm z*075#tavEgatq2A+A%Zh-Xt651Vc)!za49U43qj7B4-AIWMzR*#sZ3*ogI>FB|QeA zA!W>zjjZh>{9^I6qfFJj`+EI1tGw4K-Oociz`C<@68QVrZmO(!K6aWkk;^xVm90=#h?3k6CCj_PL0HcH6O8gmOWu=@$A1uLan;&T+9U6?0Cn4ZqD4NQAU1focq5{ar{$MGYq*jtg&_qHuT*Cb3Mk3dj4*ai(R zh0`$L(xNmWaX0aLNsb#FpcO)~kmp|W7=z132T4p&1DmP&63*f3JTy=-V6H0O>3e@U zuFmf$-pzQ;Vv&%_#l%vdE9DHu1tWLL06f+9bs7@eT6IY%g&!HA>K>qkoru?Srqt|7 zwolRWP26HYZq>DZ7-_A-<-Xk*%fH&fSXMqL!n2-z3)#VJ6WaAIp8~Y=5+gh2q^k`N zWxkzEI({BUmB^FCVVg)Lk}_qXq(uT5=MwP%Ap+m#MUE;}3EQ+B7edf517d3`pCBai zatfZn^Ozp^cmIY0 zjH?0`c_u}pZO#OptwhJF4&z&CVbs#6#pZEl(2H&%bOHc|7>OlhDV2dVc2#0N{WeX$ zgJY=}TEf(e_o&o5oT-y^N(K<;+@xj!?O`=czr<)%3#0_11DEGRa}sbl65e`QQb-#2 z3Qptl@1`r04{3kCeL*hPC@N)C@Fo%#zGGBp5_El1m01->!z_{#yiAhrJOj23rc!|T zm*C|XS;g{{Z;=G}Yn`A)z;u#axBa>Eq$DNazey;dQ^C_LsdcKP zJe)<~OEz0vI#oc&xavfS@+Pp@>x2rUZzExWQd`6ydV9owOWslO^Zf-|kfiX8N^ap3 z6mUmkq)%Y|L^h_b(uYJal?d>lCutIJugD{(k2BFZN|Lu1ywLF|Bcm?hWYzqRF>eA6 zUT#hn`z;I0Iz_b@k8N_qC`Ol(^LfIpgBv7|;ml%aZzg*g5my-&fHS;w9u|}jgNxlF z(!;oFK1Qp+6RK`?#@(t3cxOLcLu8WlAf{Sfoea^?0pv}fVPMb#q84UcoPw0-O&}lU z!(Jq+D9-5x|G1#}{Mc;oFJpB&`2TPuML6%mAziY>0Lcs?)ihef(P#;Vt6v-2G#a5E zX2&*g2{MfaP|ko*3>{hJ+a!No8eY6yOQ+#XQh`GEby1Os#py%x`3gx{0G<-!v^jys ztW45U!Mk8QP{ewL`OxUxN1tZUR7cPX0LMCO{X9s#Q>j=`gFG0sLqJVrf<2ugu_VzF zmZ3R{q?^jC$GqX^&E6V>2}kI1MUzwtF0`+Oh>ywhU|mS)x5x{zcfh!OL`SmCEsMxk zboFiM_M{eNhYh+Rba=eMG}i1rma0gq667=03+>`K6ZWb`vK^eFVS!OuuN%O1xT%%( zWIzX;(6Yay_OLYRr6Y1gte2n*2Q1Nkv8OHGSluoIV;pt_)5MiN28hqw5 zt1eTNehsC9WhK$esd>v{79$gMBtw8^rC6Y_BdAL#q?Csj0W*t(S+uBFrLF?c<#-UL zmIQ8oUh=ALWknA6LUg7@?JeaO7pT2S-eiiL0-(d|O9Y4RRt2Z*X7kR&$$z@{=i5vW z@@yU&;{~LVN;DCq0iKt75I=(}jE@~ex|Li3Hb3sBm%KSi3VdW$!mBi|5pY#fI>EbJ zWe;fy)>@Q*XKTY5h)Kd7mVVtZ!|_}I=@>b}u$W>9Vly0nkC@OgG!NJeq-qg!hJ+?K z@YDgT` zB@!{FOF$K<-Gnbgkncf~*aNIP>;muqsws!1Z}q_4DAMB@)wEY(P`& zMY6gT)p=FDUYI+RjGA~I1reqTzAg?3ltxWZ5X7maO%`@h*tTO=c@?0t{%*Ot_ z=i}Kgp1*m^i*RA!cAmRcro1YZx-~UH5iRf}7TW<*nnWXutV-;VlLW773>H43g&vUE zdzfZemt+=&HA%?3B&AQ|(cp{83J7c>wMIxXFlyP-x&oGA5tO`nqKc#YURRSk{MKw; zU1}|%u4cU_Eb0y{X$!jm%|{Wh443vQD#844LIEo!@HHmUi&HH;lfcLYc>qcUbgEki z%c&@Z0!SrcrW!`PJxP<;DO?e&6j>gnaSe25#9gDU14)9+rQ(TXE-iZJk#h7K`{SL- zdHZhOuF9j75&?}ADlv&9N4_qZM1@Xc>8eG2h)g0#K_ja8h<9OG+|o7RIc1(@$__#D zTSRx2@3gX7hX`Cdj zmQ#gW7~KMP0g)WrbNM~*_wRz3;|KV^lU^6-L>r&vWdU8ssVy+AF(0ar%bZgZ6^*Rc z!lvi^ik*k6hP)~V(iJ`6;R9^!%+GoSM4To8VglJi$S8&3Xw zFn)t@)Z%mo%sf~@7>;68i-D#2yc(d_f}1UbuOis?(j|jcMNvp zceUDy!o`98h1ihK;31FmXsJ{=R)x<*j8w&Nf2^(`txggQwCmVdeIDi5j^1;>%chDm zVwtjwD($yQQ5(Sz;-5SanTQ0R;ClAiYLq$(mv6Lck&P^`*-K*(|)>}N%hYtXgOA> z*i*+g=FuaXzQR54i@Zw=?r}mk^m-)5oZS?)+_ORm5p)yYg#UUuFvY`v>($Jp zuB$9$hx3M89F>l0mTlen{R_F;&7^Qzo|5M}MzzcfHNlp;WHG1n{1$UM`PROIlr#7n zg{~IGo#&kEx0VgmkZ8tyf<+^>;bV*O=R{e*2r7Qd*doW$SdCzbzw*N(v3x#I$~}sIv#H{C2>9s?^`) z7;Pn17|D&F6H&948#ZwE{;O}sX`Ox8?Rc!~(E<4^tg9ps-0$j_Sd z80M}8KQUGI#%afv`MZ-OJ4BVDc*OM*OiDh_So2jL0 zru`EIr4E`3I^TIo>EUe6wbUJtwbo=RJxu-8zE(0>pe_lUx7Lq$ZYpwQ9Cl^CX|K&- zL-l8f7}gDSDqRnJDrcJ4S`UCd8(snz@`ij#+osbi*xaI>q5>^tQ?@~z+DtAC`3-IqSiu%0Yy zTi9Amg-*-{yUcfeW0k}f#wtf7U(|e(@4~n{tRdlny97OZ^e8#* zZXOV$9?^g|_dbK%*0IA`Wcxzwl;3%|fL%uv&y_+9r5T@f6>+0Ra^jl2^q^>`>1(`5 zmg}>zr-|Xhaq}1EflMKvel7S!26v?V(!SX{lb_rA^ISWm>^ltDG?&)Ve_W|LN^Dr} z$k!4zJ=J*6T76LJ=@q7U>6M(Jxy~Zx-PBiVhdTmUuawkn&+zf%wZWzD-7ObNDE!*K zwP{=xJNZ_lX(%^+pP$|!92lnO#QXa9-Bo;^9Q#DtwGmzBdtSPC?%iChQ+&mq(|CDV zcP_TVnjiu|IFn9%QvL~^k#_K2wm zM_2muQBPNWD8e7F)9jf7 zW2~Y*WAwEhFATV=v6|Fwd(qB(R?gzJXZjv13T7HaW9|NlF=C|lxBF+t^ui}Su47N( zwdp1AyMwP%g#x{s$jVD^zfks}_40WBhiPopqQ=>s`svtEUhj<6E*{;no5^}I4~;x_ zsI51*>W?CMwY`XWoUwb9qc!*wteidBJ$r(^zr1H!3>T#xs52k4XA2{d@$fgcSaxM; zPgzw1MB$Ad>9imFqUf@}2)A!EOyVXxMW5~nW->icsFvR7ha_=1OJT2&K9|3+DCdin zXwdJPrGA_D!?Js>UH|1xncjv!Upf+WKY#Rov8<4paE_o|XW(sBd6x}MI0LV-4@xzu zdFh@9q^~vw_DExy{=ALbGNrs%f@y)FJUN&aI+)!g1=Bt#)^m_V_u@hNY3YC8zku(D za`L{=Pxrp_M&6`;tWW*}UHh4mKe;meH&Nb~jc=)_^6aDV$qgd~+v4wOBaHh6ykTpM zE;Hz#Vz1827UVa`0LS81AiI+kR2g*+CurK z8F=SIhF-f!xU7cWawzI1|HOFG{I~JlY*zQKAJ#q`J^9}^MYTXrcF?RmEvR{ctYNE| zd5BV4RFinqfGQCuUZL3lz~_oEZ&F@|#Ni+m)G_3xhW3L&UaR4ts-2M0!wI}n&&k=s zB<{DkwTzR$ou=7vF{8uBA%2dN%hJm!(0=4J8>JR9`84P#ComnPY5||bTjKCMmUX9< zO|U@E^YH`1?YD5*e2a>zEq<9!#NR*-q>5r(zPD6DKw-Qr^lMktDg5GUG68QD>n%)4 z0anJS*eOF2M$(i{4S|C=Gf!}Nx$$%XKDMglEr7DGPEo+jN_2XIKmv!#J1ii639_$X@qH>ch)B;Lrq7c}i(9Mix^~%uelrqgDRZRydSdM1PQCzXH$TFP-8D%^qa`2c|?5n1{0VUV3Xy)+&#UcRC8?xio;yr4(Qj(Ek zRr4XUPm+QbNhLS2ssv^I&5HhjP6Zh{Nu{{NCg>(?YSIVmaT1?ON`GQxTnYvI;8P~& zZu|VXxF?Gv(xjM8&fEq~A?{1qTSV_18!?B+YPa=0)8?DxyW6He=ySiuSsSi!c6#>skReEqUR(pUgF6~ z`M6ogVkZF5hBv#XsAt^uIn)u$n7EBa$!vC@jPK1o#D>jBKxEV`3B_TY(q~UU_JT2e zdOuY9EtS_2fPRmZa+lM+ACU6-jMk9&f{tkhKVpzdYgw3ra&cu)8&VwY#ZX3=NAzZi zj>V-)6Z>{nDJzqMQhRe$VV7mFnPgK9{?A79xY^V`#GNI%ae9K3cKUkl#Bj(JJyt+M zwfh~`Y2=qN>E!ycEVtMaYWqxH>nSc&e7q9fvrhg?a?b3}L;pw)KfRxtYEaHKpIArkvvYcl3>C0GdBRsd#Zv;1u3R7$S6nK` zhxzC$$Dulqy3@BhRWS8iqUlP$LwN!_ei<1}P?yPvBF$Xj5xPIECD}Uk>76NK7s&ms zWZwYv1P@f;F@~^}Dltb#AjbDkbUZ+wW%rLQgL??gC{aqcqMOd8UL8e50cSR1z7X1~ zWD&y?z9?uZ6!2;|5Davom(O``%4b0~ zJ)KQWnNDPVlF@l9_ZUcMz{1Qk)#v7D<+<$7fV_HopkUj!N< z)4jJ0eTA6V{>&p;;;K$4(H|+Nl&{IaZkRjf_}uZ7zqy8g=3l0)P|un7@!VZ@4h{Rx z5aVexa@|sXEB;Iah*HbDHPkdb+2cfvY-ghW|0pr04_-#O$e6LddGl$0A&00eQ$PA` zzwdqP2Yi%xwS?(NE6K{3dc+_-z6Q;%?&N0gI(fcT`{9_QorZjN9{RZxSvJQ4y%Mv& zHkDPns++vPCObtS=5?Eokc3jB)VLxN^kCRiiLW*Lpx9&F(QU`XCPRN+*rF1WyNe;BQh_iAe7L8 z(`DPV(o=>=E+tA?PH33bo|5O`tNgc#8K`(K6kJyY%^g^upsnkzVfo5U_v~`gBZX6p zdzmbivsKi%_6)OzGvH6_2g+LMCJIo00==9<+KRqM{kqUfXcmtOi4>}VpI^a4ts%P5 zE|(0YV(CXPz7%mUdARwPq_qYc^Lu*m0wreMz*n*8UN6FX%aQ_AE08* zE#aQue)!uzC%?Ah=W(B+hH$!w&j`$bgLPaRAA1i#a>WPGN7R6kCyp&gukpYM;vL=2 z^6r0)ZMBE6U_mMP?PxJLm3;wk;QeYb*jXa7O#CCjjrBcas`V-~K0k}(u zzgz(#8qpDGCti7g`Y4dh^2x}+?{d~t@iO%}=Wfx8{)&xjqc&%ap#o5P!3}7LwQ);Y zCD@$(%kW>YMgu>O!ePH4bn`0?v-*vwH-#@5N|p4$hUl-Dqx|2(2APz-b~^R zM4d|ymqD?L1Lx*q04IF$0l*&`#$PzV<1+!H zUw*pyVDOnMafI80^s?-p&F+{pE5B^kU%2_Ptwz4@O2~)?q5+4UeDPd=wBo@>je0Eh zq;WIVY@78Ta1yice2Txi^-}Q71KYqg@SI^NG&r;Vz#uypdH2vho9%iqZ{wABj;GuC zBiA;S>+kA-n)4a$DHNP>9(dQ_FFL$#%=yzK0raPGM#d`INGfAKHz z+L8~tdAqXecl=z-x^aJOaOfXS;y+3*8)`l%BHlJ%>jqNITVGA-ul@PAEynIAqaM4s z>1w_m@1NOriu2DopSw3WqkQ>yJ7$Z%_IGENVdus2*eA=51DNpJx)1!*Sj^lL)PL`U z*ze`>UziW9vVR{i8uDK>+W4sStD6-x40(m^si&s!S!7JzdKnp3#F2azSH61)mW_s| zi?3GL9~`-cW!=~L6TYupiTQJ#ALVWQx5wK2?>Yam$@x*sg)cv9y>j3egZu6ay_QvW z_fLn7jN$uW4=a9IaJjRae{I*_QTKy?Q|uW}|CJ2=`lpn(&HK(b&hq>6MHpb0{J!rB z_4r-;`~z3E7n0@2jVmjnm6c4|`p@qlhH|9Yk#`+Ev~7~wx#=3P&1VKaQPxpoQQL!o zJDo4dJ$F|8SpV@imH#_s_h(Ph)BLr@PFJj0`Ic(m5zg8!dql`Ru^%%{^Vf4U*Qp23 zXO*YJZr9@iW$^snVQ-%y?b#J$-Ey-p`-|bHR}GMlxp#20m~m^E{WIQcB(F?|qlZbT zhOSv^O>6vg8P~ZPz<&LcJ;f&mTBZgn@olSza(z&Fk2Za7UNSjF#R{kz_i@&iF)4?_ z@4qo8FAW!U(wX0`4QHOx%^FTx?Joa;-K3qp)VrOiBAIq(uBBu2dRt~VlV2W&>HH5S zpyZotuu})mGe30e=0153Du$o*WRoLojOuCY3@;`BP2^7LCjxfER21cuUo5*Lb8G{3 z(Sb_On)|As1SMrv0jMGA_P$(sEx8mKQcz-P#h+MTtWE*tY;)%XY<7+VW`zlbp|D-L(AJ;jY#6hb-Q68)=m-E$N9^l(CKN8%KbEN_ zP7zMU^xDZ3^CW#_nOI15(&zgFJtkks_snoqj_WI^e$8mTQQ`I|)f56wsIk%}H%|_f zE4yH;o0Cx4z)u?w{PBV0=~sW2;l=%+ZR{U1wlTgZ*(1xTlQhycGi%Badz~h`!)s71 zJ0o!2_4mMX>eDCp!YbR+TV)RHZehEXxi+hoYBXQM(Hj}A5AoRys%WDn+KL-99AlqY ziASv&{HLa_i7wurcY=Li9@w2`iFT0L)QTdMZTsBJpU9yu6!hBpu*~$)$7!2fU!>?R zu1A*|p;<@QdAlnE)UcAR#QRgDGKlTEPdNtKJx~4*Py7K|5>60-mdFp?l=0vMGk0WQ zU3cRYW$KQkfr`)hhLy*@!go#t_%)Fo&1Wh2X?$|FGiS}=%=8`RsQ)`N-<&-mfu4ay zws4VhuFI(ua!P(lmpN}u8>sj%;vol3O|_V^^h(E!L)oHzL9g8}+cH2cA=lP5_iSnq zVoxf$n~w$h+M90(Ns4x|nc7%QMv0X?mSPUyO?AEBA6C#7g*5oydQ1}^qm zAY6^5vl)Hfv#flE1U=tKw)HVp9Z=-o6Yjfw{-2lk{O3&xEtcu2K-_=?1%gs6VK3|9 zD`m}8+{&b;sAB|K!2p*|UfdzN>trwmVYf-j6PLiI5m?Z=5E@NTNn%w%?H%Ad@L^s> zFM>S`I;FS{0?1yC^o3(3#jY9#IM+`XJcm@UE_) z>vS|PBs72~9&?xFaj7LS#m#)FxnAZW!%L93Kx$a_o2ES4iJyn;{?YvCXX*Cpb4 zrTTuNkmu8-5d=l|9VFE6DjJ(8MRdXtu!ZJ}w*VEKP#mc;J}O#h^~>zII1fAoe%_L# z6%?UZBQ&rGbO@@Q80HzN7q6`$bkx{V@H}W1bK`g~BsLZJLrA?GwNSQkatRM8$1AL; z`-loLvH)lbv?6(tJ-mF2n!ETg)O<1Z@}kZ| z{8y2cMMs}GcKyNbvgOvAK#!ID>8ec}KK4Eue{Ig^w(UvoaKH0V@y7b*|o>HINodA3eo&I=(ZEjqWpD3zVZmZCcC3Q;~1v z&aydY)}4EmXZPN+<|NQmPn^W=!gA5F{%d6mT1;)}Cxb2LUTN_SSFN!>Bg`Ncw`WMP z!*|J<%>DAxlw+G`9j|A6me%)#spz!ayDTF=Gm~7CF|lr8c31vO*7k#uWv*88ieVkq z*=sb&p|;STuezg0FZQp<+?{LP*Ku^bc}cdpXMHD{Z5H#j4;|Du)&CEV7j}FImicpH zN7Vhxxj%e-Q>qKj3m4Vb^$6IYtMSnHo@~>_ zR1oT$NA5ILPxNr)_an#2YS(twPCoh-z|JPerulQ8Il$sHU&3xZyqoKBojFf_aO^Bm z^2Ev`fXLcVjqtT7=MWHEkDE_%#B1HwUpKVlVJ+Ek>8nUd5ri;`j_w-0{#j=vX0ij? z-SkOa93u2K*>|bGes`a4`ppwP@~(^7urnVn2@)Fx#|dtR-2X^#4iT_6UPQT5)*Iq% z0Ymg81@i1Wwd&XeV3dy$&HU+X&*S(5!ogLM$3gqrD?hy#g-%@XU(Pszm1L1^br7I% zv%d4xlby$w<{uq0SCp75>%(?(l#ycfS%{h{A2OB#KrohaZYy({aGiRxd;jr_bXd|u zGh_BNe4cr__~})^>4(bdPS>hj;FLVRwH=REJh9gmm1_EPxvXw+Mb>U=J<8aOW=8;3 zh~~ZdgAISe@2WTYvWu5@{&8&IwKsqH{n`KiUwP8g`-j$TDLJvObxnMhZhVY-)cgi? zMB=T%gFxbq<$_Pa`d{ImmekM90F_(*7j*-*ZeWjCMmG_q&N z*s904p6orbz3!f^P>&hiy-N=LHjpo69)AvD7gwHz$Dw+2t9jOc9(`X@Q4;#%>u|Jt z*M}g{?z(Ayy8I$GLVn&1gYP)<1sN;&)U`X~xxyo%@`(q=V75YW`}L^OGhu!w)0z$# zn(F9o(rDNL*U5OV6C}IW%3plpqU-t#-AA&l#7v#tnsI%n%{<%zPX=+IpJv|5L33~B z9hxie{&XEr&fqp~&H)aGzHeQ2s(Z#KX0eZ)mOal3^s{x3Q0G9UX|P*C!mdtW)A+kd zyXn0N&TteFWT?;9<#ZU4L}@?-)y=DYBZ{7+G_U_MqO6&eokP_}=s*1fEw z+~3Wov^KDwhy37=hz$bSPipf6qU{>L)Sp9rCC(tQ#F|R_Bb=Wk$R0PQOW zdjRn)SmxqJQg(K#0{jJlK^HP#k*g9!5;;)6^L?b>YRvTX7fWe_>fxz&xy%nwo_WCv6=Wnfl6Hs^f zRh!))#+X7t%>hafuon^=1FRkKwR5L!RXJP?0D*ISY5$b|twPE-_)!qp89Y8=n8sts zKukKvUl}3hZ1(}{0*B`0!Sz9K5mcsEweI4h2Ds`@5twp8{R|jLS%4x$!1Fs@dV?Vt zXZIQ6idlZCFHT5=+p;<5#L|izn7}z_j|i}cr>JHg z+CrhA%kISCgTM#`^84;}>;jm=HT!UOQ)J_4{4d|gW1JyK-TN?~wK#S9fgfX=g`2JxsxEHO&p1e=l+ z=&j%fFO?LKI1ubt&?%Rh<9*b&Ok@xn{qD@cd~lI~J@}@`*BCg)R_fU#qZkD`&?FDC`qAWl=vpD<%k9w*xTiZhUO;HF6USku>ia@t>7x*9B+Y$#oqYGX~sXIqP82( zsuwwTY1~(`lb0gETFURh($FpP3sf^c^R$upDEXO(Zc0Qo9T>Xf{E%^Q&6sh^n#kSM zg|*|ESNh%7-~_DA_OOGVJDiD(EvaYBbKJ==?6rIZs42_0gGYA;EQnm!YWbPou}@qt zQEl8lJFLtz@s-)S=g)gW@}Ksw(L=lN=eHX(tj+%aF`wG(zsw#dpTLfVe3$o}=r1YgZ02EI4rd-+8_u$3TwE11m8VyNPG}1N z5EjuM`(B!vvvzl{>n@(Qj*xR(?XW;^KjlIV-7&JF=P`Y)gjkGI42VMZpx&Y>gg! zswGrBb$*V^tXU<^{7ZG9CpS2j(mku3@BZ*p(AheTB%gBO%Y)RFFJVKDnaET8-CuKk zr`CU(ab?XD=5T0qqO&(Eb6klYF6e~iIqv`X&b+5*bN?Cg!&j~-0H&=#upu3XIClXgf6S2_fgSl*%SYnc00z6V^UP%DEwC8< z>gZaf(3gQW?5kuqEahGnXAO^W-Q2#NKK9Rhq-f8xokn7P02Hmk6`9h%#+58kw(L8* zhtc=HJ5E++*086@N8gIe{LT1F{kx+b+^2-mam;Uboe7~(@SliCYt#u_!FkBAZ^3x9cZR*tk87A@&2b@`s3FD6oE^`+pfIb;kmo?y zp-g`{X1A{VoV?EcWelb^TMgP~+Wy@T7Kdr$TR&9P`C4Z#mqI>G#>U&l;l4BENZH7&!RUMT|}O6$kMi&NvIrJkmPJohLxkD#`>!MvL8;%umE8^T&>lFB2Dc zzJKEIQnZY0xC_hUO^EzG1xkja;3XTpU(R|EsMoOu<;!ev zq*R+GPGM!2JL5`LxHk)*5hg~f9x=a}-DPYgXIB++ySMg$frKW(nZiBZ56V%0Ip|jv zD>7Qbrq1l!wkzwbO3NMgAu1~0KS0=_b6Cf+KmT}r=;7Fk*KbNytpo4C*FpqT6zE77 zv54w7P;!vE%~sdOo52~_-%5^@^jX+mQMU#8m}$ONai#)z=J{LBS;EhU-U6qAl?sMQ zMTCyMF%g_E{aWzl=Aa>2pEG7b{4veoZ(J3Gxh9_%gcf|vGKgoiM)E6`Q=JsVdF%$K zl-P#Y=YwP2QW5xAi3)B6$$dkZO<=y_Mbi!xcd-nMXo}@H1o_Q*9xJ{Zk}l8jJZzB? z1Tz+m)lof&21XCUv+#Mmt zCmy8c=LlHr%jZs-lF79{PZCI-_ulw22@*DMdt7vkPpPQ%uD zMY4o?Juy#InMG)g=;d)}k&KieA~6etaY>fb@*?Ed@ec1KuZX=+L$H`w3}x8LJxhYG zi1k=I9Ymev5;!HHL)ijsL6-8O1f3wVY`=m?Zz57KuWAtz#C|Q%js-D6K#VRys8(bN zBQ2z;iqJA877*i5&xfITJZHA3y{+T50fcD))sX0=D2kQ{f~!rH9BF|B#(SFp#TS^U z6K~^FxrA&ckzwwU1sCsC)j{=UqDA!-g#p8{=lkcb{#_IM=4bmMK@cri5~)+&%IhE_ zT&0rn1Sougp9r-Y0#abbL`#AQ-*{E38)K%xYXSlJ--&?wfgJf8M}plg07R~)_WYt zTEiIi!5QMz2LSo|j;*+2$n_tvosG3-mu!2;@1NLut+?mN$V_98{+(IE7%T+DUpgTE z9)*)O#0J+9!RTQAD>Y|-KiIQj*a^ z4jR)wm<-Rx`F^1OZ{7raKj)rV|98Cq%3u-k9nkw~>_7nA7_H2D_y72Q);fskK+o9` zpqAH-U$w1so`vBd2Cr@CAp+L`+_x&$3PirxAOQZN>GtiLqH)0AWt$y8`$OG*#~v!I zYzn~L>kZc_Kr6x^ZQleK#O<4Yq=p^w=56n^LGLC&JEDIZw=GboUNR)4>BJh$MJq>Z>`VuL(g0Q*6|a7y@TK*7{&aJ zXz)2Ob)#ab77RXANc|QVc^_-|9tQ`t>S^R{|O9iY0w^o^T%H>iKaRlvL3TlJt*gZv>YJQ5^ z<(z@vZa`PEYoM(`5YC8y%yZ=XkQLc>1FHBEGP2eSdQX`Fx&rw1Q()9BBkcjhW$_o( zn{mVX(wWOEZvUTyzq$EPz(zo5Tsl>}=qpLEgyZWRRCGX5lUIi50xl%z;KU@Ij4{9? zO{zd@9jV9(ItEYlCR)ZU@XG|+3$+fy|890b9Ds5(RUKtXut3>lf=;C(2>238cjD{c z+d3L*M^q{^r%M7N9+V=%@~4io^+bLN6iom?H%TYDHT*n=SILPaT|qH$j*3pyINGV? zsB-xm%lA+JXZ%F?pBmUPk~Cqjib~XSki^F&5lfL`qt%)cFAKGyPp%_Uew z*lH6Egi7GlUXLXKzOkrC|IG!Q*9GImUCAMf_dpDWO7KC^j*6EOXjw-IiMk}HtW#tQ z%|TPX1ijqLd6Q_!PlT;lLjr4%Ra>w+FCDLs?ej_rESU{^3H6p&?M3X3vk2ZIkr5p< zFd`C_6ad&knF|H$|Npcc$ayEZ`3v|<@qF6A#wx|w5t7RT`5}6reQ{)6oS#cRR z1eDug8kXc0B_@%|WOT}6k}8%8=*7f<9HC3ZY`)&2P)RkErk*<_4D@JM?nW;vZp&8$Z{K&@9{AnKG*j+U7t&oDd7$Sxcr+GV(JlShg;v@ zB46t5bsfg9`l(d9fKzA7hV`#?>lTogu^y4)xrG?U6kC}Wl(epd7U+$MA7w_Af!37z z+AJ9=+UuM(15`J5geS%&Lc~dzL;jj4wnEEJM>(?M%)#)XY?2u|OpiepP3pW70Le{A zv*POZVs4J-NoLmW&VxbX-{Me4W<*?`pfk>_*)8LlZG~h3GD0<|%GMGp~^~%R*ORQ`ZDNEm8HnUXHw(ty?&j z;yXw-RBf$rtBXdOyus&Hlhm|Of}ZbdH=B@BsX(~6N1I($xk)74@SY ziMNcs7SJF$t)V~-;CSyXL{}YUOh5NPYhWxJ-|u}AN~Tr8T)vRiy`0_Ggo|Y_R2HXU z3U>x`?ZF98J9$nH&@E73OSe~zDCu0Ji^^57`sNL+st6+odY?#2cy*)xpo!6hIOm?oMTYg0? zsxpbG*o(PT3ERT6z0ziO$O^oqNxGyIs~siq+)m4_&~qH@P=&v%A|pYt*DGrNz&|2a z9{kO(uHGD0RUO*}s8rGG!AMP@Sj*8e1M3V759}33FkPa7Up#~-974UV=w4uZS>+@r z*2ZU$iQtx~gDN%EV#-Ze0OaNv1u7@e&shnRr5CfPJ8(Kkx6&RaC!;>6PBkBjF$c5? z#-$7@IHYkKv?zUn9;h@ZomDwXNJ}cMHq~%qHaSmFK`nA(@C`YMO3&pM5-CCoA>yBUa9ZWYd4JwOb9ozs)1)foNzx2tC~4xT7AF=s=L-owo?uvo0mB6ic-h`} zxEH)YZCxyKESWz4t;M)QByLY(av=Yml^}Vp0F!`RhzWofz{a+6WwZiBLa&l~3;*z- zZWI?Q{EM1sMN;tn)y3lNCN>o_$*>`NEU}cOG*k`_Sr$?j9+QF_NwiRLXA}RAtM`Fx z>c0N}FNliPN^-ft1tR2qa}#d(BTYmbt*yB?7q|ojiD;=?XA@C_c5@)@u%B+ryNJ?W2)eYF+(Eopb$m-9Xy0c3nS2w5VP8J5l%hJ$~QoBjI0! zoA#p<~`0Jh4;rbnqx_Fw-GPAWte3mJo zTpiL*>WTWZ@@T41E3)DRni@ySBGj;1eZC~!FfI(|4^aI%Nbj9Mg5^|+A?qSJeMrc4 zY-=qXJ;IR9^r&iimf(7o&pNNJ+d#f&8<|g6lWo~%^gP9ml+wRsE|N~0KZd7KB^d@P zXnG=iQWom1AUc#5eDQI^WE<&`jqU%}~;NMw-tRzqNJh z$&|;QzBlj^R#)X+D4P7r2+HSqro}x7J;&P7KRBw}I$|#8MsY61#(lI7V(p)>wCu<{lh~xbhQBDSA3 z)k;8tFenTrLTxY3C2bMaEo>s?WvTn^_`@9E=^|hExQYm=y)C^&pe6M_p3+W&D+fNOlnYG*TJkcPCuy>OWg1_>mOHX&O8-+`0JM`oV=s#Jv{?8+Awa3y8 zjh%(|`!@iy7j+0I&@VGRxlZ9y;T1{L3=U2yedV2P&bh>HV%AZW`k;1Z2~`tvUdkU3 zCer7lGxE5%pFCk`oWhwYLBIV=rQ8${y9XM^6tpcfI3B9we>bjAds6)8_Dg%k()=k+ zD}DAAZgefv?tfaCs%s{n*3ch2AiPm`;OeD@eXhKUk7S3@RewT$wl{}db9BkVi$GO1Cf`l3t4b! zSl1e*>vI{_xr{@xOm&Tv$IsN4+Y6-u?`gKb$ax`+ zPI>I~82)7Wa7V@D^W>4AF}civXrQb7=FMMRdw1Pk1I^0{p-jnQCnJCiYrK!GF0@M{I=Q_zTWKVkq)Tj_kG!AuaSf^I%L}?wjBP!#vgd9Kk}baDd!Sko6gvSwasbQ^ktc+(tA<|86$#r%yLLroWFC^D2C! z&QhhheUibS&*p@Ew9&SaXOE|*p(tV$mInkglNL3<9Hw)=|L?DsrTljHy;*~dcqTIi z=T<7r^JzjNj;okxYMgV!Kg$Z=Rn16SM~4hp9aTn-({gWFAvemdTU?f@8)8OEP-@{O zw~oF>$&z-OZfkSv(6b!yZ?mL}vKZYfNq*t&=pLosUZL)HWWjn-i10eq45JwFuZf&DB@nPB`lLD&up&zt zO}NU0)H(V`g>+ze6HG!WfnQ9$q=#-X4lS4dJgcNhZoSy*GLe9IOofJS5r(Z1@x=Y) z2vMEsB|{eVG9J~zrFurra>$*qz#mf>p;;d~oy%7(qS!e+XQQUG)2XKC7ZNe*Zv>sPtgR~~fwWeyC_%O6NDo;-^plw*L;_od^I}pV6((Pa zTq4^;u%f*nSd(ZYb@YkeS}Va$;tNuVWMzp=(W*HTM(+0vapBIlqpIGD*Iqm}YA!=>Ug%<{;~N~Pmu)Pqj8DKU=h zB<~|-XBL}ON${k$nB45oMqvLKZM06_wZW<9YLC` zWSxyK5%&7GlsU>@u~*I*Mr+il_egg`2UWn88N+-L&*#V$gmhX%#ZYSV1aYVwJ(MRI zSSB_od7B&d(ozQBc6z_A>LMpht69IF=-W-mz23(o>w4mpe4XT>PaBv<&MhD7Gwb+& zs9TCYAzQfxngPbW1&SA+5J_ax_AkRZNAc-GK4OR(YabCfr~+XQu~Mi_(&3m{Lh>g{ zSR+{sO6ssMu)f(ghnXQ(5LA76Qx_Mtm5nPS4@|4+rAh~$DxNNIGGv}j-CrT4aG`~x z$ahw#F5!qtQLc0NYo=3%D7N} z1wA849&EkTM%C&BKt_-})u5fIUyM9lg?PH0_J|R{Gyl1ra%eA-*hZg#!WoC!>F!J$ zIqCt?X-HY$Iq&^>3Nci_ttize=}J7L)6S#!yc9q@db9LWziSDSwyB+}%y}#&I>8SS z4%tLKE`qAkrLwo2x7a|vx`R3cI54H+>wiBtoA=zELBi&`8X(mq%&OZ4n(3y!BVPU6 zUO|cQ8Tr|uxxJz8pmRn@1I@a-m^TfsK6=P8!TB55H1a*GXO?DZiTNSN~EJ9u0Nwhv>jlZ5k8-n^xSf?;k(iV>hm=9JGOgEQyZ-jJ!GIZa7(BT zaxGO9_6R&Vx>a)7qUUne_0C4pl_RYuni)qiJ2FPgsiXVo{tmiBWIZVt)6wXLsxf7* zGC)@_BW+Yelndm=P0VJo4@qmIQc)*e>-(| ztQRQAam@SO^0WXdl)3tR$^~yq0{n=?@TU^>WYdzMp5aRJ_4AZy?^**#L6uRisD$#x z(&lkzL+8CcpU|sDH0QO>(e-i? z<54b=y{Uj-V!RdDD$Yki0&1Z{4r_B8A2@UU1F(PM$HoiyYR<`-ilx?9XGm}Y# zlx_7%8_6(FajGly)5jExkxs5;(!9|~RML7lp8W~xKCsYZuJbQwxZP7GALk`vjlt-F3=R>oN zQ$ZV@WbMr}cQU`O!^M!EKdod76}kjbUA(>TFOHN~v+oWjHbyPreZy=*K9c7;di6w- zR7Hy>+bB<}K{7`35`oB&P=*7o%3Z5X9?_HdoFJPZds1Z?QM^oIrUxke5)I|u+^GsS z!6)W1y*P9jz~@o2EMbczNWId@R{6UgX-Yl9QnW2N;?>3L!m-LATew_3pR*|1gfy-=>RCOtqCp%>(!q|Rr?DLl!*Bw5*cZ5Ge{ zcq`y>s+x!-zLZk;uVmYk1GFMuLew(r1_RTq25K4bgR)q{9zK~;*%(MR>H!EO(U6~8L~$5R-KW+nkSL&;Mo83ZOTkGX zLb6qjl1{}pP|0MFJaU-A&&PR>h(WG(ZZFTmA4oWrAgY3tP$hR$8a6@kh{;bVYHTs| zBlEzIA(N}Hu;aQ22Y4Q(P0mqMl&8)QHTB&dNIbI1mB@q9kj755_Ie=A=n3|=)0~s; z#8Dz;l`&!t9?@b+95>U8!zHp-lJ+H9F)WO<$z-aWzXHc6JSItXbM?QMKec$*53xH(l9t8Tg#zIy6endkHAu#4lJXeZnof?2=m|Kvc|OVY zL^3H01wzH?Uf`l}oT4tG)GGO;8oCdiw@USG;D^l!jK?&pG4vohT_Sd@t+zIa28k|_ z^C?=!9h@f_C&XfjE@SvJ~S{;t%;NY?A8SNGeCo#S7I)1JM!2 zu|p=nAeb`|D|M!_k-MU0pi9Iaw$3}RsLNGY{6DygcHv~vAAhYBo`pcuMw_|q-+euWoU zPlA?0i7ykhB4LDyhD{Lw>#t|xHco;b57+{7pBhm@5-%|n&kBMF{S=mxR=hzi@U&Hi zXpJWq0L_YJ+mm=L4zJG=I8mCezA$+qWy4Rgy9ci)Fd8Mxte{13LZU}_h8fXfaC3}^ z0M}|WG>1woFDI<4Kv<$yp37S*IaZWBi&*li44L;H3b)qMf;B-yx+E1l$vU40Y8VE&Le0>LH0wz+qWdY9(vESbabBB1 zNp^e@bd;j&R3&+g8g^{B8Id_{br25{^i?*&<6?UOo`v76F5WSf@@v@tx^pZPFkYO8^A0_l zztNK^&7wL+craDB!e- zTE;HIgc8Pa!Hbg33~=>4keMXZ7%eJ^A-`G{h|`<^4XB77Q7;Qo6@)(uQ$^yR3Q1!3 zI@)Fut$=v=wpLQJ9@s1NAfX<*dL<~td!D;*5wjihB&OyA2UdNWlukvhh>meq>}WYE4lI)UMX1FP1=Ov{)X=1p zR_tB&IFUrH95^azP%His&rhdwb_k_eY@vJ9m&(r5HEQ{`^r zpj(E3b5CHM3F>}AWs$5%2ipR28_u@?)l#G|Gp9<>wk6ALYDoqxDD0gvzy=j~F^^Lz z+`Od;vd5$$7xEX?NghZ&6Uj@8Y6||)dL!j0wtFLhb!&U9ybm@~B9wIz3)^sPw|0TB zfW|P|C9B+3@CodXNl84f9r36Wxhy$8=gAAH5tns)2$YM3gcU zJ&BB!=9DnRCkfV-=OEugYDH|0M5ba1z$3u0D~1s*AJM`+F;_}l0(46YEQ^W)@10|k znGS+VRcP4BaB>o5<&w2dm?toK5sxqqOJ?9oN#-1=4YIYc4lxwFNWkl1s>C=l%%+t& zSyWd8S|TL#BqR)X~8L zib``c-j=+F0v!M3!5(M6&?-!yq5hjbwEqH0ZA(K80G#4Ta45a@nr`ZErKx z1t90n(F!W!r)J6RBd#BnEoB%3>Pf9%^Ut>YHmJ1MPmzNXU-M3CXe`?EyYAA8kDY@< zC{&ysergYzbZ-!k3B8`|-%OTlLmP5i`O7Y~riC<1$6ZXGX8CI^mMMNnml}0_;8NSD z*B+vd?VqUtrD-l9nRc}a#F3@s^N-dUIJr9m_p(o?UM?9EomFi2pUEP6Q`L@unW2Z& z>gm_Nr~5f=?70sWTf~uvT2IKtPtZs)MgNi=O8vX&T#9zp1urTw<`~{x`wk9`I(XYb z#aT_8*8}ctyfY2o?PxL%kaNwm6@ls--+r+!_Pcd=?R3BKMPh?cx^*fowy9e8FduGb zM-B=QlV!SRp67CCN6y3sI$BH-v9cP8`=;n0c4@}FcPy*%KKVTy80E?gRoqiHF%eDg zYo*p#A*uI-k##QF!0e(7?^Rb6v;2@W!F{9T;#JYCm7m)O=#Q5T)PMX85Y2Mgk*^PF zRzI0Z{qgJ-Uu~l!CSK?vj^>BnE9pA+1r-18{X^6p5aa3Yw*BP|MFrlrhGMp^;d|RM zUCn8AJ0uhP^N0_JlZP!=S;vp1ci)o&&zJ7VUfvFQS33LswtdKFXuf#8waf4xBR*wt{+T;owFY+ieNA{cm%@K5Oy$^HP2n0*>d6~^ zILmrAN1&E;uNj`484+@+)Jgg}Q6!(y4B$;pZ~x(tId){JJ9YF5=hg&gzq^rZx%6fG zH2(5THXRsZTQoDBHRO^XQT|OooOyh2PJplP$y>E_>)OZC#i!4{HL_|yR0_Y)I+6M- z@olMj>nvH^fcKUyf2W8(f~L3n4msOR&FCG&A8Owft6q%RUo);hJn9aYJXn(6+p=P; zmbqE>>iA%WdLOwXC-UHfsop`~RdM36S*hHxvm~w3RI@5HiIXKY`1SG)5>?sAMw9b;;VaVVw`(5EpV~|ba%z~vb5_L+U0$a{Z$g9E za{fs8?nfz5ADS5|=Y7g_e|f|v^tVTL)*xY6-c&X;My@KQcdkWkk!E7*g$*6~<){p{ zwBbWFQ&)q_&(uw{Hvl#98Gb^}kK^_}msbJRqM*8Sr#--Rj}1-{LxnTOTq8b}K2&Id zkADYZpCv;)>NRs6?YTQ-IrZtZ znW^5CUP6U(?Zj>_Y-FKjRhqFoQq0vgIsTM+=i{b7WxAGfkER_L59C*Kj}m=kbKi_U zug=r_X32k^Uv3_nCGzUR8Lw>&HSJJ8^Fm_{ayc^kH-e^2kDd|t2r=A1x!w)Ep*K(y z$M)E_QV$-_3%%l4R+C@1hueB2?U_2(*_PJ4k!6P98Ngc=8G0RLg(`MC%w5DA!XKCG z03+!BV_Jdq67gz#d0H_Pd7NPCVjE6~XjLvl#JV9J8y=I#uCNbjn6WGJL&Rb3>*)vo z=jOh@-ZP=2)|0?Ho|wb29L*>CIbKSzG3Eyn1t06?v;#6XkHi3!cN&5LgS zl^jmv#{`KN708llE7{D^F#vR2CdCVxb;ZxZ~l~G`R3jnHHol!Mwt5{qmpRFXwaFdTpIc* zBun6#_F5TmrjiN)KOjkR{L48Go>?d+77FYl4zPyFwbuJclJQ_s72wfRps55E_E1Tp z7NUNclduI01#E3~B)uJK_9hV&8qNs=x(}(KJ`f$vhnRx$%8gb`0Is%QI+_bbSxI>z zCaWF;KP3Ie)YgU8?a;g{mQHx3eK)bNO5cxhzVNDoG%WImC| zRr{Zmf9q_>+r5#US*DqcW}z|SSD+@<$-U;e96Dd3sQSVqi*)38k^E^18y?Lkov%n}N?Kt+ z{eYGzUumQ#(b;@5s2!ABor3#`J$o85Uchr~>FlKGNS0+GBi-_;V!l*vHjnJkrK3so z#!>cY4yzP7E1B|xWRCh3+1)6*NzWAoC@>x+;4t^+2AH0(1%?WzFohXrj9%RxC zBX$--N$)@Ft%+s+$s>ye>JjP}lc|eQC&iw9`Jx!+xO$&j*-e7xJhTM8&*(L-?A1mB zz%1ooKF~U*ewv(b^FVFv2vOV=UA*$W^zk-DJl$rxLaK$40(LFuXTN@%DbDl}t>Q53 zsgWu_3q#==2mcAe{RRn2TOHtJEKc8y*9XAcQXNdd9(NdMAmb4GS&|D-O|=Dkd%Fv_@G0-c}L%JM9!Df4U& zx4Lai6Dus$#MA{~n3LS<@-Iuu14T;47C+yRC0+vKkj--JkdgRWg9=is4*_lEEhnh= zGM5ocxV>zJz+N9Vmdp&lJn)V(?aSU@QX}cqJ%M-r{q4^@fBERHg)kT6JJYac%TU+n z5BY+-P*t!f|8qj5Q>vPj>+j-uyDy`e-G^R*_V3J>=z{C=HBfWzEYWe{sV;mfAfbPr zej0ttDn`NkOU>1grOF7ByypJO4AAvFqS)L1@#;%^*?m%{5L5D*nVzHMv@iEqbO7Co zQuz|#Uy7aN{knGMYEgF$?vTPVRMnJ4@u_IZQc5FDg1urZiw3G$O&ip=Q|V2UH_I}- z1?j1-XZJu|`a3yXhUr2`Gh^CYd(Hy4NVUoSws2cm#!bCC6`s9Hzk+&SMLza#WH8Vq z5Bdkg;hN=>ZmyX!=d+`5Qx?}MwHMa=$B4n#2BOyvzC{5q;g{Zl7!YFkbac(A>}>25 zu4y`yO3NFXKFJN7p8m?57eHxlcdEq%%6Q{iww?YONSQ0&Cx4*%uV4)$j2= z8Aq2O5SUrY2_wFWE0>EyNatQx_mVw_OA~4X^@P;K^~pZEq~Hqg?dvaymbJTZG^^?%S=C$Lfgj>NL!aZdUSkU4?!0em7ZIM{o*y(zc;<@$ z5FprY9|?8*_U<(VJ+J+(uo+)Zg1RhJDmc3wo%Cb&98lQm%h|MLs)y_mZBP9Th0!5E@m@#KWiu9sH6wpQ> z%|k9M+%7d}g;s6?=iESXErR{@(M)`mO^$_N+^PA{pwI}?^!9|kwbdZy3wvPj-x38l zjRMIlKI7;|(m^#fATv>Qfas&gaIpo^ZpfX4@qCh~Z3pG}AUBpJQZoqd@}n$tX&N_z zrJ#3ZV)R6k3$WC=%|emdeXI*ri5%V zqdi{&oF5L*M(D2t^86~)4O?Yp?E`2UJhLpR1-WZw4L3wQ*1rU2ZQL+|d+k2yxlP5t zx^R2Rx*OT|mJ?w1O;^@9BIk0i4oy+tKD1fkZaLNzRL6RDnZ65>7PNHJWB9+`&CaSh zfBhr!N58#=IvjtDoaifx9p7aXVufFn094`YzGC9+^`*IrJpIAruLZn)x26NV=vHa* zRy6bGg+?yqH(obZKlG@*W~2E}{6O=qx?M;s}f#s9a zp#XaQQ+@0}u$W)gGC9+ipEVTXr!9fLqUlWsLNyQjPTFHVhaDgY`%U&zZWj)S{b^%m zg&k_a0;R@l*@qjsYxXxPE|^BAkHhUU-M^W`yC1z;@UzWTLu2ak)ZZDK25tbgZ}T4C z9{;u1@`wPA14{zEX?jbZuGt)BuK(BJBfva7{5khj(T>eVVesrp`}LySW86Pga|Tex<~ioqKy5T>=wB_3fUp((kz&}syxxP#r8R|A>ya<2%&G2% zVxN7&e=pzifi6eNb>W|sOstf;YmBm`*y1v$ zNz+k$m(m)^J>Rq~9nG*HRcZkaoYM}z$=Ezj+3l}TrY`y<`8gE>uOy(B zQr0Kswk?P*I4z%yA*ulIfxLz`~N!NOe#Ppd0JUe$+2(_5l z7IdZa5+h$?X4ExVUH51Iow(Q@U+YH2C5mUZcTIlvweSD%o8MUPT5#UghDWNIz~m73 z`Of4*z$aHU$E|1mZBs+BziHHFI-0Rw1q-Hw6LFWdOb>*qProYct{&+2G zCntKsorWoCxj_;>3rBKb-MfJbsR?7yIye&ETaxF+%OxUEQ5(ta+%p9M(BI%O1$#wD zJTV`L#*d8)%_~7t-dYtQUuU~|-z1nOXj_H@sp%z42Jm9fJ|f5MB&F5w29S6&SS$1R zk@BoR0m{46@b^N*p%h&Yd2OX1#mG16E9njy-uxM^J4aY%nrvIlmOQvpE?KhYRdSyh zW^Gh}XRTKno{?snLcpUumRWceX$GZ}(ePahflx4~xnD=RU?yTh9gOHjS9<`GAnjFG z6V;@p!q=tDrvRi}ZWw)P zq(ht()Q&(wl87xgaAsA%S}GJq2@Q-7Z1gC!CtpgkA;cz88AK;B5K7SV12Rn|$?f%v z1r-~X$d@r`7_tgjBwfT@9f$|@vy=}pt(KbK$0$~GE=x$#poWMn>X7VKaVAb>f!w#p zyony`VW<+ivm0T<%q5zw^K?UPgkYjLgP4f2*kBi}U4(*0!fnMNJEm5)n{K=)+{yfRO`_EKQW8!77# z+#9Va!@MIcmWkbC^%IW<1IRxZjcLvs#-#LC?%A?um!;56)Q^^%1Ft@~e;=wwkE30t z)>w$DX5P;!53DACJT@Be8gB$U%rEU`j@y{x=Bz#T6gM}&+)zFIOv6%n`RK4^#^-wx0X+zrppHsTc+)Pe1nQ9y#j}$!m4l&@p$`8Eyqmgk5 zxebvYXZGhlZt*t-j~=d7f6g$296r(6I_|DfobJ@jNwKwi5^nb`2aMHgUTo+VikKSa z6(QD@EUenDsWJ_Ll*1WIme0Zky^j_k+X1ZspJJn1N|9bLOWwLGA;q8`l z)#ZDbv8)f%o45~W2DyuE16)G^Ysz3#Q8PIRrS5Mr!S^lbGu=4R{XNp2{&ej{ar?y# z=K<=#`j^s;?#qCS(W$R%J_pG}MaFbnmVdhQ&t$6Un-y)DGyO}pLHyVlK)2BmG#N2<$tdqxVL?=*uH{0Kara^bea52cNyN&abo~=U8A?l zdGc0ipzJ42)U&3Pcb5kP9S@H&W5l(zB6MLrIHv>Nn4hW)tX7_lrAWpb(YQgEDa9Nv zUBWL1#aKuuw>F1ePjmebioUj)v-_j~t2w{MMnd>ovgf?idgSW4)-5fPIb-`P+z)bL zYRlOIxc&9td%kiBG_2pX8va5{^huq=O?OOpMRV6${LX{3O#p6TPP)=3dS<=&cCWhF zubyoqq++^K(yT;mArJHCD!%pLTWe_OzLdNZUb{tfpW4ou+1OMRaZ~T zH75T!DLk}{8(8rJKqyu}BxYAaR$$9H^kr%Vbqz8UoH-Ley_e0FQft-CnXo#n{D{$9 zD`fYYpa;Vy&Fb<`)7WkQg0#TlvrJ3oloaaP@}hgJWctufvZnSdz<>6A&%aBv>@8=? zg+0qOm6t6;_}zc*OxNPk6}B4% zYqxYoRx&a2D^wLDzs&y>YzgnUZ02t&FzGkD|G<2+`_I%q^!sJU(S>CoGA|%tCR@EF zHva+>H|3cQ*WqmzsdlQs2|PCDa&SOyX*T4+z4_n>n6qQwM8Kx`n7L%Rp~+`rJzv0T z{NTAfX${d!{lwCc_qP*H(iGTEx^m4Q{ec+R6s#nFkXA|l=)2pZ^P=vWBJzCkTFXDc zWnDVZ1q7)!IfkPj7*F_}t*%*bhLm^urD(3d33T4*!t;lkHPd_Eqo;{D(y%gLd#n0E zIqwL7J-eExbm;T%n4o8eb06x8n>KcCEm>SNSx+_R*{C0I>a(b+Fh;g(Zdl%<2j4px zjfH;0>pHHx~d;)%i-TX zvi;%SedsH7SM9D;Z+8*-5TPBa?a2W1X6O!6VBA|K@q%eAcmb~)h+6C#$Q}GGL(2FH zWjGHjOv}nyu2Z;N5(?;GGH}7>RrfAU)39^;o&O^6HSfEZz6JV#&5*oTOlLOH*cgCxDn= z*2C`-3&Q~c4QxfQ$o}svDN;m@s?wW(N{5R zU&26)xT>7kTdD)hx?}^MAVBQktPX^H!YsELK^CG#9JF7ehU0%lpC?rIwn5BPqc^rf z@1vL_)eeoI>|8aM4amKYzC3#kLIA^i5r}$`eDy6n%{Of<2fX2R1XLAo*s>WJ^>4}M z1AR;J7hi)-@;J8--V;Fa}p4tC!ez_-vC37|Cr&;hsmewx*K-?RUjw>dnX z(tv&#s4G$kWFTbEf}BPPLe;{$S;%Q{L~vg_p<~c-mV4L3(`UXNH{=F zBTH^3#)8QKSy;dZfwX)x$X7OsV+cus?EBMiitwX1RDr0-l7d3%9}3ZEfP17RTs#>RP zPuE|z>?X#TEg3_vTWWSjdpo>qN+w#b#y$Yhy#JXvr4s^HSFWBk?M?eT|L~W!?2kU) zNmi$oMOz(D8pN3oyP_HJ$hG0$Sjsbt2jukFL7Vp)zU$7E8_n=SOg8D9J@eApTn?t& z3!LWWp7)s=br}I5Gyj%WE_TjT-1W@Vk)N!Z+G6Trb>wHyOpr~=1mHMgpTLqA`{?^* z-n-`QXI6m!+f*1ytBP6np$kX>gyZWa#m4tf0?_=eWv$dr-6Lf%>rOg{EwMd7&D!Hf zCw=2R*EA={k&9bndSu>YSWw6x#6tMQTC!M?T8{jfs4tAH9r*jWxr2{$F>uYN! z&#y3Dwm`sLDM)*w&qJbuj<#OC`auhtIt^Ce{ssc42`rzzk_cFAzd0yodgf6t1P=MkatMB(b!I2BfBy$n9g04OA0c!0^;|P zs)xk%tHWq=%SV|ggPi5QpB{o8#WO(vz43F{ zXZbw`mcI5MOJ9^Bi7_%N*C=F)Z`m`ynsJ<(oxC^A#*-KyXm6~q5I)}>xAWPDnBO<& zfr-myR5Jo5J_C1neR28n`%w+LW8@kp3TuzdWc@`Bi8s`l92XYiQ zmZdauM9c1RuBE8~D9=1IWqXDkU1K9YSj_@zf8uS}YCLkas&#x~#0&{cFf=>SmR$Qw=P(KWI^yX03Pt_IM^ z1I-ZaE^y}#hK^I6n>Flon?`9^V`p1T-~8(5TxZoK zW=M1G5Zu2HRSn4Feq~yzQ2@^>(lrt}bNs|%)8Z}n=I+IEJp0iH9HrV$X2d0n@jyAo zsUqv1(!ruAu@6DKk1M$hH?Lk;&g_+X2m^jUSB3CH&?bn<;GTnszhSB16G@ouAm%TV z8imxpSIT7GoJ#Pc&~PZa?#-e3ME`fl>H=#W-!4&$>+v+8*pYO0y|q`3c&`kxf2X33 zfMw1-=juUP;)Wbwpr6@DwJl2)~a|m9! z9?f;FNICKN-3jq71@GSHae&MJdqAb|y#ok9caM9YBwOK27EAKL2Ob`GjwBC!fHKej z>qzqa|Gq)p`|AJu<=D5Mt+c27Y014GbXUKi1rP!U8j1)hw==||;6iCYUJ!zo>s*U~ zV-ChMN;>w1GAU_}5%G8=9jZAMyb!R(V`OFm(u!Qa3QJ`11>S;2}!`FJv)H7av*9h8n@!8XJt^S=5J zZH21!60L+MGpwE$U{6fs6SPSxoThofwS;4#JOe+I$$WG6_RrQm{U6)JYjUw9sN}fw z9RC821S>p2QFej{0}x)k))PRg}|LX*J0XCP1F7+_t3052m zh^-hYi^|xccKrq7RoI-7Uj+bK*g5%X?D7er8Ma-3+0|ZrIWn`drtjD^ptq0Rwr^f(|24qh z0!H^_U+nU49mPJ!zqVA{H%CJ=#(nMYKX#$7@b;$7#^~*=!*Sl;@9dB6U$bd!=F{T+ z@?`t$&KgJPTP|=p^sOWCW+WE+YWeXSp$o>!nb(Sqw(G}s*_&_18F9lyU&fhxmqWLj z17c)GKGApl@~^LjUfs7nRGd`@$h{Cf6J8@nzTA1MwxeKk#4_vnWCuhcH(oeye7#~9 z;@$)<-%`Nujopma-~+$`^uBp38u%2!dxBSWpDGW3y_uq&2iIg>zAgNeh}^1c>zm#GE60I8XvfsN=|;(k zTKUHEH`f1b?XDp-U9>CQK7H=dx?ceaeM`)7c+?RaJ8b7-Z=P`YZ@h5A0sG&(OlaEp z{pEilCS&_w1>9aEysVl7!&Ez-*#u>EZ^E#DX1tC61&<>R!7!mU#9iFpOkT0Eak8y> z)4v)4xA!kyjV*L53to0>)8V_kz3_(z0fJ~>5BA%%9w>L0hM?4PYye1q?Eu_+zxOX` zeX&C)mcIsMLT2anaNhpkZTd^KRdoi_XmtSrUCKxDtDm0=(R2gcTaG{41$p1Q=n@{1BmJ0had;q%C#7u z;bvja8He}~QV;)E%428x>%UX0I1g{ud-|A?sgcA_BPj}9uJbSexm!=^KW8Q*2 z`+y$Wg+Vk2egcH1fZZ#Af-+lmG^f3QT#EvsePdbipBACR0)XnrivU4fQO%`8G*<>> zy|wy)d<TRJR>H*PFB}fl4Zsz`|t}-8K)r}Wq^kFALibqaR$mn zs_;_esKW(oRYKHnOn~UPVq;N+|JTxR- zOBw;X=lV`&HAGR7Avw0`J0vjoT2QME9*(gdS1#b7GEi|4me-rYcUo(fzt+9>4sq|q zUE*G|V@CEi#!3DF%d=O)I9-IuJ;psM7sF_Btrbw_cO42Qfwu=QaIA-hJ}2d|tf%y? zT$2$t*X8T_IKA*Cc)^>lT-o?$JOLnpxsVawd{)i3EOf%Vdqu=&IC8$MO_0L&q3I0F8A}~Tq_JGbr(8^c% zWVV8V;yRj1@?bDslgS-8At_pnmKB(XM|l-bf+{iDL+}e(Os1p~Eh|JwnDdi8b739E zVM0!PE)i$6Vtm3Dkd@@rth|7k0}BBiU(*5Qr?la4MiR&%#XFclrWblXVXzPc;T^z0 z^=jdTQGga;^aurmAOji#WN6ji>@ z6IO-oRV5pVhGkNdEX~%q265Vs&LU67phz;YC5gHe}*?auJnW zrZWu7W5oE#LT7Thl#kC>bYzmjq%e!fpdUA2sRT%P8VH6}r4kI1aJ8_4VW<_ze<_+I zaU6?3eG15e6bCPD)TAxClXl0^dsjr6n16 zq>?EL?1cfnS99m8s=|Qsh_%Nxvano(7>ed$q`{*~;#75%7rck~^%tz*6HdWv5><&- z_>qx|D^szA_Ar(pSe;f{1YYA@CHdt9Edogw2zV9n6Tn9R4Id?fOf=bA%cxJmMF0v_ ztpk1(KS)ewSWGS7l6Em~rbrcczl&M8_Ox&40@;;jOP{m4MNPW$i0X2SSMJ`5JG@n1 zzJF~6$s4$6SvZ>4-^TUUrZShh?r)U5lrXWXU)xI7Wr5IWVZ6-yn?+XUl;8RTnR zwphyGBaQhbQP1#5<60`!Ft`EOey&gCjGi{?{~_-E!{a!sv*Fp*N?OZ5G&35>kL0yH z&uAo%WZ90CCEbLy%se|E+nN@xMjIs+fl{m*8YnHbQK_N4{c6X611Y@sNDgnm@B04#_R3{jtIh7tne&`; zpI`T3bK_Ib$v!VNIo9pn`B`t0_5X?;FdF^)+2X+b!6qO2?bny6Q**(X-br_`rV^Qv z^EIsFpq!bcE4zEfJP@L_W@b-%4^B$@bE6~2duzUEgWd3GTh#seNLrb1q`!a9*oDK@ zHMiXm@Og5%U$^a4H^+U_uJNIX@wC?I?sJ~ZGk;27mPg*@59_lkhS&YQsg6T%GLpWM zaW*HoI4#Z?-Ke0y64Xcc@qfMeWKKBnOeZQ9MMt;!Q(Jue>%|xoo~_L{8hq4=QVH-s<-b`g&8=_--QV zxuxWIl6dXT?ajn_gC=aL114)!lCxsx0}Yj$ub5iD*>9G9JENW-lv7Ov6QkB#BO8_a zP4e=cgZz|i8>e>gLtwkkw?Co1ggo~G0-^-Z9bU#Q7W3P@J zqo6Zr1NX%|=3>PwbFzA!(mE}wgk~J9MM(PVm}It1&u_s_ zXl+eK|JY?OYCjstQT^?KaI|mEKRB8*M1kh*KPO{47E_xm%)J<}RkoG%cyFJ;aAqGY zB4)uSgtm0F#MSLe$4Jo7sSdZs#9@qWE4m!qB;D3pr$xp+yHRr~F@))0|H&)Zz4rP8 z-@Kt#3kXNzgsLp6_fVxm?=xXwNRu5z$Lxq_22m|&Pt0j}b`u9<0KA@UcKBc&!xX5I z(GeN&>RHE+y+Act$S^@}i;7Dw?yn&HI3y2^s>v3kgb2hi{eIfwmmyGq4|80{5^|=X zF`vj0_bqC)hDhgiZ~(%;_^uE^3>(boYS}<+yW@rJ?W?4=LOaGD z>BcG2Zuz zzmS$nyH~yO;-O1^3mN<^L$8x$gpvTBjewU-})==3GnNTz4g<6;4QO4`DlN z_fJdLSdEcu9X;mf>-4<;11me}S9KAs6~uRQ)6GgH`Rm)po0XJvwX;J#O%U$C9`0S=rs?{p|zebi~Py zwtjHvy(fKbRKMT{XFJl;IU`k<%Y7mL7Mpa|8fWCa*71(PyB3VvTMN6%>@)tAW8eSQ znd8L!Ut6QZpDd;~vFh8(^n<;PV0UBtj=FyQ#+T>juvpvle zu;{8II~FKe4fDI5CN+Giv(6vv(i`-w#K*Kq4S8c>=X2kDZ19GBaJU-vlp9%=+NFx1 zl0Q7M(#r>FqgLCGoej$_ zJUtolVqJbzk@#B-YzWAIohoIlOQYqO{s`D|ZCloz&rwiQIuC$jD_?6A0V$4T+XHY+ z57vNGigcW$ql#?IXsXbk9A(b(UOrPxURIT|9J*6+4rGtnTln4PFt=vvm8%L4E7Jtc z7M6ADouuu|ONla%H2BKQC=C)kds?;^eHn+p%j!#D9vz2TV!k<8GFKGVMK&2x-=pSp z8dW2;lRxYGoaFwmqc>zjwJ5bFwU{(Y^hmCaaIHvuRTBQ9&nhycWTw@i!dlQxvYG<* zi(5?dm?PRBbhg1JP*$aTj&BTtoCBS^%|1AwDN)YKDV)anW=obTSW(=B!qb8qIc#KD zrz*$xS!Nk$2m<=W=%J|uV{1T^ZN3pxq&o$zC=>H$G)+m}dW@*iw6^G18sdT|0Rvfj z8AsM=*sJn3`@1qcdrE&Qd$`-0P%1g9O~ptfQw5Edc4<9TmcKdCT8mVgOQTz>9!!R-ErXhResZd|Lmy^vBX7GS(<%bbG9^~E~%SV z9`wDmlNzU5`odQx$i`WKPvIFvhY^5z z5GAOj9MfNjY78OTZPB3vgmSl$7J?CDKbHrQC-*a(jWZvW>eUB96dvhzI1J)T_Cc}# zMS4cLm8N~a%#w=l)ti%~ul>PoY=&y$a-AG+Ymll)uvIdHo>6_klg+_QF+V=ll_S|& z%g$V|%kA@Rg5e3aLQ`nYWu_tby+@M{(Wg5GX?>veao*m!#fYAwX7%6F|M=Y2&;RQU zsRi^|++?c^Xvocrf)Q_#qo(Fa#<0f8&HlKi#>}G%mnto_veiY9FGK>(jrI;qn{=9J zV)8EL@iIALPf|NNDt8!`xs_RV(iAk%3r8`|r^t6|h|pFIAz~s7-((G>H6Bae)lZYn zpa^Op!orbKEw`-Yyrxn_a*|i8AWLnuu{|A5I~Y;gCgTkalC@Q@p-!wjp+BUz^~KVo zlEBK-MuSuivJAe|6gUHV8o?sSZ1&l@qBgA15sePIse%jtOHzmF9rx7zn&0&Lb6>b| z?PEdG5@m$45MpY+1x-^-cbW#T`wbZ0U4_hu5 z1j+yF5l zVLB_GKk`awyULcS9^Qs^le!n~}xuC$0`uBN)5)c+O@y4L-wqG%!WrCx`6<4^KY98LiGphgn zA7B6I7uN0*ZakoM%`sCz7U3yb^8n1FCUOe63cLw2@-b?;hJl$@Gkj58M8qF))G}DO zr|@n9(nPRcAnbPqJYp{Fk|{(@#)Rw`MNz;NB7Uf$f`vDBg`O}7AcBNT63VRb&dGR* z4L`05$R@-^E2_ptSV9sF-^RzQ1utS&e=hOMXT@KhdmKNmKj@z5O%Zr7u-2GD$!WE$ z=;Jw2D5o(sD$QUS63BdCay|XC0MpU&0a6w_)6bQz2e*xg_(Xt1k0{90ltc@X5(G^dQU%9~sd`L(#EiiEh%ndTcM#xbV=6v+ zixd-uGNUZOT@i$36WmoM=v{ETT%lj5YRtqxZWXl%ehuOBs70)%ei`SYQZt35tqNXT z#Db(5&x{{|>V`pCOzlv?^Cw5Tc&LSfUB(3bhh)+_Kl;7LfBNMkco`mLsg)zzMfP3N zZ#UGO4F=e#S3YWWDDG0tXs~rA{pD9>{c`dadBkq7$SAg*|FqrrlJu5LqWHTu5~79i z=bP;h@@@VnSVpQYOntpo4?rm|S2Qi^dWWqw^;xevq+?W`=|)D5#2anT3)=1MXke5p zoc%e=nwB@`cDPx;b|1axkYuaQKPy^nf51}`ZGV11S6}V7NCWWgsCAUBT4&Qo?Ul}6 z-?GZO3>uY-V}NZ3bZ0D;+-*3c%1izIbyhFtvzbxq+v7`r>qxz?RXODbP}w~-)2~iT zb86YMvtzQKoN#h>nx)b=*TPhFD!~zM)0pNKXAs+TC@&1k)|9=aFFBErm8y@($Cz=w z)9u*zFQ1>gxAIAKU3kJ4O8*KBewPDbUM)5yL*r7;g?aM~k)Z)W)O>ZySPSq^2mDcS zQ?_RfHSV-1GLMUuO*%Mn6&81G0Sh-YhdGymEr!!K!UR2fr{p=C#yv+U(@>}@HhFSS zr7FR^R>8Rbo;%2FQR{{ciyQK12Jx!y^&t6gC%-(hi&p>%}v*p=BLwfF~)7rhk6N{ zFTL%ZJE|K8H!fQk6unCpufk0EnW2s)CiCNmA|Q^<*T_NE(G#P$rdfI7fjf`pA}32}?0~_HaH?Ay?ABA7rE15d&U&dL z88>rga7^=SgFiCPWd|A(R48v>R#e?Ld@XuQ5Fh3Ct-Xc(P0<6EM}7QpL>QC~(;CBm zyVXUC2W+>j6s6`Z4!cRWXx205`$k|dOv`@2jJYZ86m?@S`#M;mo@Up+wg1syR`M>0}5+8L~bf+#3?3H$ZyXCWZZj9`S1&trPi{E+H=tx@Uq28({&o zN!#MnqL^hSxCtdaE=G4)OaygQ_J!=c>ydMk6&2;Ov1Q2>nupwSj*#U+)h^YXLSk6x zXz*y%aOcHkF*-7aqYPh^r`?WCqeOEfJN!C!E(dGJV*9DCbkZ)*i0G!hvj6hMuUxI} zxaY>@-@c>&{@}PT^;??mE3AGmx&z;iG)QhjGm>UEDH1%enw(nX^X92v-J_89BaZW}GtrYvhnV)q)ISWvOj|APG(Y+2z@zT2KP2iz+` zF#~X)6rUIG$_!aE&Mxw>Fq}?O@!Ex!d_{hXw1r+IsYybr35$(e`U4Yexn1YyYO_n8 znWW8@KJ@OI8X7ww-MQSmoy1$lB9E7~$bCneVlCS2SU%E5YL%E(bL^sx6%R9nrOd@f z<*M}577~4$8qxu#EW2Oqi;VJe?9PC<8QhglAu_DhmI)dY^MSH5?q~Q`PnOy!jqQ=1 z>RZ^J+4aB^)!%sJhRA%Us((O@ay8KMYVbo!gtcdfOed8wRvc6FC6XQ0%9Q8q4}yN6qUIp_V|PlZ|M`{&zwiFjCAt4L<3f7g`?#-P*S#s~=Z;(s7W;$8tjcAg z-EQSRet&Voep%|J3tjUpS<`p+(7?k|sk`oybMfXM8)#JB<{X#`*darem+oBZueTQ2 z1@9c|=wU z_w7aPQmgw%qjPWG?L1P40s7Y2-O{O{?Yt*<{h5sYcemK1z7WmilE1GjvCk=vxoUjC zBbW4VzjVpCW{E>mja0wtpC?yKQmZbn>SsC!_8YU;=9_8l61DDSi+T~88urm~>ok`- zPV@TW0qK>@)lc*eUT?cq`>s~}TyBJ3n4_1phvZxAuW+oy<(YHYYEP27rQ=2iR$aYj zd2emEvDps$Ri)O4rH6<$*)K7PNVO#C`aM4^L^rq#j(7u5x!^((|JRK~RG; zBwSxH)cIb%byDiGw-Sfnq(pnEKGP!UtiR|E-FbP44T_g1t>b(`m~Ha~tT$++b;)90jursVoo@_3goeApkDWX)>PA@5?L#2ejWxf`J@XA?gBpZz}JC^a+ znt7G&#cFKdJEOd=uzgf+^zPQ5)6CA(npTdH^r4_GBe%pIoVP=Cl=OES^9S|3n`G3& zQN1Q_-NHTc7r2nVi$Og*~n##3L|CmA1KH z7GJ3Eg9`g1Ey#%PgD!_#F|(;8x6=+&S!AeT54A|FB%b4&7PPZmtJFl{-n3F~4_NOf zbsu(4(pQ2HyA9`pGs;qv0%kJ)$6DDBZiq>WsdEFZyi5~>iV1_3)mE^|9?=dL!C?=N zcsUak#h7sz)BdudIu`4!cOE3`$`tqtOmy3(aZEO!l6 z`J&&TfGw1j`GFx>4Ovg8rUGmwML_QV!t?eCsfCyM6=3mgq7Fgm6D3USRE_{M)T3fS z-I5s-f1Q1_?mg8XO5M2PHTIK{Ej?abwUt%wT%7WI{I!IBv{g%#nrY+?EwEBgTOS^$ zQnPh+gfnGN+HM{6kMfzkH_(N;Lm@b$&9_)A*95hiaE9*puPF7t^kZB4nw6LGjV+{C zXYsB{MPFq@-7N@e$fuRI^tk}j0Q8`3C~}kCWA#L8jdNDtNvR?D2kE*qCfPY|)%ao2 ztY@XWN6OL1OA=EDnw%~6<}qZJ)Vv><#~gnD0d^wceY;m%vRkbFy_!5Ve}+&nuhz)Z z&2ryedf$PO1I!&5L&icrWqBFRyV$aCKyLP0rp?XHg}v-T&_gh?=}TXnsqB>+Qwh8D zayM4RcIa+=%)idF?WB`E_ zl;&0Y&M^{c3u4k_AZ16La>stn$>(&c{@$itt=M!IdqyF`@!3CU;WcE<>hwemixmigX8*}&vmz%IRFvytMQ zt1s0!k**+Zye`{rrJq68H`AOO=f3y%vPglR?KfcmY{o_kFq%+M$Z93s-xo%oP>6RuM152q72QKE(RXPa@ z;6NL#TY_=RC{f>y^l+%as1nh9QxN9$CT`_?j~ja0xY!lt_meVDv5>>$(aGjB9Pbs$ zkqlP7Wvw2E>E4n^BIc|z{y)jj2nweCjsA+KYSPFS}lOzd|gREPLskT6mc0a9&o`7DOp|L=!_sZy;xZ+lS z{n8t<`>UUe8<)2QY`{*$yvGfHyy#gI$Tx~HtP$0a4KUEF)3;Aj1wrq#+G~&`h`U2B z6}I$6O)sLkpmG;3%Y70&H2&o~Q5OkmQ;6VyFpiO&EBJJA8#mA9}NV!Os z`YsY935RGr`gIa(P4+8pWx!E&%>T0+*dfjlNH_Wye3(KkL>eeZ9FGQcC&rIUD_5;HIB zTG9pGErXkL%7^+am3jzwTzGkuMuoWV-mD4{vxlV`EUHC)ip-=YEcmdamK`%^7U02j zT-Iri^%S16W?Z2QmnjjEmZAP*5$c%!XW5KGX?q0N}WZ-+h!5$B*R zTP9hyYEkhQXk5Oj%!)d8trST}`4MlE-sup^&{DRkze9aTix)Ge-4+RHbR#aif)Q<< zIIjt3igRG_6TOD=VC;$EYH$Sv{<5Gnnt16yCW_+jB4=#5gMW|0`z_?e(bh$Q2 z`MMctmm^FxI(w;85L3qJ;9ySWZ&9`Aq1=nDL5%H|p8H%Rg8s9+(8>SDsrmE5z3MNo$yFU3$&LvDr1`U(8^6noaO2*a=au6@ki{s4y{3sCZu^+w<`i< zj~rvOOwmB%BmD|`_a2K?NzD?<3YV-|A1S#jkKXaUJ=Jx<66+76!V;EzH|ENAnX_d0 zvnE+m`4;9N#P@Q<&uGHRa~uTw;O#4kL*^DM=0*;TYASf>4H9$Xm(^x}!1epx@}9(; zX=SOp;2c;o2GJx1M# zW!eJB;40Wi6dAa9Z`eCYCA6h4ab!&v`G6V=RIs>v=0cqudjZwm2Rts6+f71@z5qSf zu%i!+fHY^u#Z|5P@@=ncH~r!-@j2lmLPm9Gg&hISwALxcU7^pFkqezOS8hUsno)%^ zoM!`#8&#SY0Uy05tZ^`lN5!&GSX=xQdU;%-16u-g7;$x4fp0XGh^s4XlY?eVm^~#h z%e28~otQY}B4c6N^T^rCUet_Zqp|>+NET6rcr5}FyyJjj%c_p7cS%JaThP36sW+-~ zBaOPlN&|`O8gcE2ey5IXn?R>UU9t;iC=elg$MnyB@!*}W{ga8e!6O1o>6S!3OER)t zhNY%S5nI_5$<(U?y|bmIBFNREd}XX~LriFE1A$$^eKxEu)RWqHGm0qXmLJ$Cxt88e z2_gy7&Zu#VLp~!Dxv?dyt)%w-4E=i9}Avs>&8ZlUnR#HUPBbVm{$2%sme2?*>C|Q;;77bEjA6)3Q$z2~Z zs=xH<4RIh9EzXJpv6uLS#kVM1;MVjZx5SisidW3^ux#L1F3pbQWY9yxZak|Fa;U6k z3U`@2E}635;fS5BPE5hA4)SgeRbEqwyK+=9pY)zW_GQqQ^cET)>zX~{l%Xa$8W8yF8(sNm0*?*NnpZp@7lL1SIQB99nRdYhmG|hZBw* zrck)xgq;WjN5UKH=S4K5lxy{V)a< zxG*QVdjxyhhv^nPj;4tvQP>=)BZ9CzqB{lash>#52!sAzYE=xR%>;?g1p1f)m)XAJ z3If|IxossEtyUtMseHs87^xsu8?&UiQ5&FeBSmBvyPXz@IABRCN+m-E>Iux7OdyGC>t1Z~W8e&p)vB zy+?%gN15lX&aO_b?0D#1Am9C-^ZY(J|GVBobMDLJ!jq-9(q82k%Sq~;ke{jhfb@+& z$*}Xm8dbiVmLVD7^y$j9otNvr$kRE=rVbMD8 zFVlm2_UVVlrvG)drn6@GvnTX>>ld|aW6BY3zTZ@2H012_L?!;j|kKa~FEJ;&s=n)#DE4_RNUUpbx77M-{B%)jHUeYErN%3gi9 zG&b^>Ht+v_x^1Ok?`%2M%&1@PaaL!i<>n{S9Syw$#@qEPyPoa8q}Ts!!GG`k={j=xM|ZzS z&aR%}rBii{&cUANN>fYL-kqskQ@NLVGB0W;yA#(;bGN_Y1o{vqSUKQ-sI^Tz60|7X6} zyi$BO8=X5oQ+P1(lcN_qzNOPk>6^tS<==X*N`r?6K2A=&ui;Gn%&vRu&(~cfox8hE z8h}&Wm?HP>zjRci*9-f1 z=H^?*zPg+4>fU#DVa>X-cE{Y*FO8mKe=M=<`sCtcwfRY@^S!Oltt_9OA(z{a&cCnw zwVM47PdOj<|EQSF{Q0?Ce&k&0U*lV6ey{7&9%p|&pH~jk({q1(?v~4!e@1r8<^ze+ z^IZeiYRBwf7!A+Plf(b=sQ!)b*S?iQToHBTfTPXu<>Wc=jD6PTh~6n>zv;|F28+YaUl17<1=fo6!*$+qc7dJBl{M8 zm0TQrsZPFq`_j1eNx8>(@QK~u=AU2uk-lefW-$AXwsvEU6;B(=7p=AVV@md5@QL=e z`D?%R_lHYoKlb7AOYAfmvzFie&o3I! z?9=|a^|_ggO~*>#{a9h2{I)jx)tQAqlXteg$J?)X7wM}0s{Fe1vFsV;sP?+^r`?B* zT~xas{$m3F7=HHb?9qX%^6s7;PNw!3^6s{J`-215<Wx>A&W@R5-&PIN0;|J>j@`Lz7R+B5q30sP41US)BV{mAxG%G;iC zz;c!T?f|C2_*ee?lge}55AEt`{kJv{J&-H%r!vL$e>8lw?G8uz;J}rJPqE^_f!Wiw z&%V_jzW_4O#j~Z;x7_-(um0D?H@SB5b8hrxvwx)AUnR?|7g;DHI0O3cFKJcivCfN{ z=1~m=y3)>NqDbJiO}asfHB6ojgzN~d#B>fh9I&Yj5~cB8PNcfrL$@l zM^8s?oq2twSCaJAdhTJmV_-sx`Za|sj!Uv*%*gsdk2TaomUHGX1y-zs8MD~z_q?dY{6f&2H4$Ypz5O=Vdq%mk#a6^(-ik<9e_0K0z zu&@qcP}=m9yBUV;nSf^-c{@|K;#sGi%AMQ!ZXkIKabw~~46-Ni)~h5EKHEkdx(&~g zDIQJ}p2YlANL!0!i7*HzR(_d9!e^&g83QlLaTb?b=;iP$;a=m@OOhHs>lIuIPC$I8 za)M`930Pc?vjV~+z_ZKvPI&J{ULrLV*Nct- zzZUPZ_M7YV#PHdT>&1M#lLl!lS>(e1=k@ARQ#hcQK{2dXam_Sw;G|wT-LSXd~Z6gJpULCGwR3b#PBRUUJ@GV!m;z zIk85=cS{_~S#1~>d|0`jSHka2en=6Xq{miM7%8vhds4eWzKAcr4Xp5F{k@iV5f17K zEQQ23cZO*t9b*Az^y$gciT@*oG(Vq!7N{*q#)ve%Hb`Ft#w;4mVk%>603S z+68sc6|%l#o12s^*jTZN`%!lb({#m%CU{BIVy;y|gCSEWJZ|wh(NQd(7mIFF2~D15 zH0tckxrN6ucJJfkIT(?InSiZKTIH5*XfuH&7M<;qTX2_Eq2Oj+B!G@Ptl$`zYw7sp@Twzia}aAg8w^R6EMGY+spUk+f82I9 z6Kje^-E%r|3{vc6f$lBv1_=Zr70lN?OU{!?r6TV`1lROduL2qPi*&Q2A`4D}DoZ1J z;bd;ox;PM9(wwFgOFUz&BJtX_es=#e3z=DXk6 z@n7Hj&W3;lZyQq@x#}V8L*^x|3}H+~BO_EcNH@PlYR1I34qJ*eZm0OPihU{`T8Ibf(~hnnkF6Kj0QMpsXd|S1 zjdn_V*@?LfkIR$R98(KHa}d=I!^cK>gEiPhp^-LgSl5kdyTP+_Xe*McCCmr`P=P7(hw!)qIY>|~9W9pi)T&;*-gkbfRyGn4gDu{C`TM#9_Ns}9y1g{i4+ zX}LMj3ll>X6I#5Ey*gL$4e-k`xy$!vCb9D46szHbEz4-Op!m5{&fE~%A-$39Ao#jo zEvdlza2ZF9!mo)b)?^vwL6x4Q6N(`D_Agay@4um3i}-BnT?Rw9IpZskWh7X^%!Gk%c4P|&)wpjzOtL;X z;lt<*J8LvYwHhu{V+Kb|V{Quldad%xjf}(Vt2J_3HMb0Ue`OvW1@IN$qAH%BhK6CO+UGyPS_s zpv0Kq7v;zlJ#N8X6&2eH$p!!`&IFtNE^MSXW=`0H2KOfetALRuchJyGG-NT&tIXRC z_2uMPh>aZJ@J3lV*xN=HplK&L&>r=B$jc3|T(+PMOp^H+3b|2?7$D&43z1Fh#h&(0<{l@JpE?SPBL~&wP^Y@L3aRhsW(8 zttI#2lupV3=xUnc(MUw)^0*TXl&Ss23&utJRs zGg;P2LDju*a8kIDHu z<{28S+=MZ2p@yN$806?JoZ`^Lenr_(#RMyW2zr=CgT2(RwT58nAWqP8_=7DFOJ}_i zYU4q2K$^4`Ir<+Yh|U`&)Ty$(WZ*PtEcJqJM0^~2Iw5m-wy4%)WJgzuyJ!={xZO!( zzm{T^(RG#7mqCN1w9r>|Cnbf~QPmmoPM^5;+R-n(*7yf+8omtV2+Q|Bs9M%jG$aS_5H%1SD%`_p{pLSlI?h)AkrMm)2Zjv z0G3gJ> z9CX^ZZ(y>UO0~WQn38qjZr#hUh~;D}7ahZ57Xb#GDj%gVOr`|DWjNK*Q43`sX_deL zM6^IHEHzk4zuZH7xDH8P)4dMYu-B-kIUWKaxZCo88<;y0stC02$TbQ=ZOg{bQ5f@O z1Y^rK1}E|&oUN-zxC@Nh>Rps8ofm$3|KD7*HoxP)HY8C+D(LG3rAxpxM2G`Ay4MuB zehR{fB>)F57*R&xUeD;4c6Xqy!U&CWWOTg5^e zpjkcZ*HO@cE#Qh)4pDC}S6sv5@GZp`R=`>>;aCV8crH555*`eFf`;NVjTyJFB-iWx za+=T71JTv`Yk()7UjwNlc6R_uv#t{*+j)@YA4a}p!^ri8YKF#~6*J1W+oxDPt)Mn+ zj<=e~*LzyE#G`hragkSOn3;^=_ZvF*i~An^){g4rga1QzNBQ7x-%yAOkC#@Q{JPdb zcm}x#z=_Js7(xGy=A}@Ir<%S-g|cAHlBwY{%bKPg3~YJGmUMm4RRH4C*?M0bXp@D0wo_5h=c8$;~3Kjz(SKDSU@eX5-auyyli%iBCjF?y$paH{e=A>4IE>EH_A65xPjY(6vt@` z)k2F{k{{2a6kg{!DZLJoT)D$38J8_mILQ^n&LkQ}v4wS$h|Kd0c1vu;9fhEU7GM?I zQiCn_x>W=U0vzm`!xw;tTp|lMpQo_V1)i3#n*nFTPMV%|IybR6FZ()oWuLu~=dZ!4x2f}AvK0ycb^YJ=Jx9xusx z1ySJL8NLZ0>MM?)-*DJo7=yy! zt&4iF9Rr$3!G4_2c+HjrSvOb(GTFN>X-`oQ-oFQPd9^%1;&ccSqi^ah)uM=5xN-*E)?7=8b;SjW zc`J05&Y^=0@)%)&ir{*pEBc71WJ623A>7%|T_xi8-}gU-i#>VlxCXEjXxmEwiPE}o ze-Mf;yx$0gUY%Rka&6X^_)y03u98zYNiLB-fINLCG(;zF^Il0B^s^Rn<8 z_%^`jCM9kkAntYMXlzz7>;oVMwt1|bTU;w`=S}G1g%wnpRrmsUJie@&GA@D z8}%%?6QgVJ<5iNB%yn5S2piu+y%C&>O~&R#k6$Db0OnTe!ULaWX!z>E|LxgGvH|#{ z+5HXVxDyoIqV$p_h0EZ!=||2IB`SO2Ngt-04RhFGeoPPJG~}aoT%ix}5@%Eu_`-fl z_2H@NU4M6UPjxWzKZLep&JsO8tQBy&H?1B=B;NqRB`zb;U*J0@Caqyh2cAu84M;ew z+`*9#%Z^~AA@u)2EEJuvz(p3d_xLuFI5Vew)IvJc>uAJ>?=0=G^%gdueu^4gETdHs9lhF~H2Q1v9E-hes+wRb9rP6;%09Qvq`R|!vs`uL^r zq~jnV^1RH>g(?Zsepy8p)`4Tq%SawvC+5(DG+V={fLHpL>0nzY*kpZ(7Kgz^h(He_ z0R?9^0oekW?xdrk-g9~YH{fE^WrnDHE&(8v#H(m9tqP0NgL|oaj&``^Br2{2%0{xW zuZa$_)OW2#v5~u+ONrSdaC-aOIQBr3)jDmj9>UzPpa-9X{vcMCn-mw{u*sn)>XH2 zjn2Iss%~gyi!~OMbY04DzbFoI(70$}D(b4N9cJ}>$kqaFL(Dduh9a{XU4R}o!g~Ec zuI5uvOPv1`w!V*pq2XB=8F96Kv)?@w3VK|Bkd~nq7a&t2-{xnb7@-<3Gv3a$k-VmKbY zwmJIS8ET5Uv3=dC4b%J%V2AL?K$}}ofnp(gSl9s=Af{fV5)9=)(4!}t$aIF&)IsAT z>lzJfTZYL33XMh;&zNE4q>j4=?0|s2)uEx>rtQ$4e31^Nr?yQ$`iCQx$G-Wd&=2cv z))GpQ$2HVH$LK(qrAXT5VapIA|fw4_9T2j;i-}jnhUZi$- z4e$fe!4uYr zz-qHnVO0WaC^j4RcH8wsAgnhn@&xbPspGI9Wbu%}bDmQMTM|If?S zzx(8dY@u_PSeSg_bg1<=!tH=H=HC21wj=nOza0hTQhk8*#u}6kr3sV*4si+Kb;B6v z$x=)Bsq6ARL|v4XtNl=!XRs7t!yxy9q!rpcg011W)0^jz^s|E1digS&g#v(`T{lBH z!zi~&D_w~TKD2j)0qae$3fRkIdUjo*$8_yDaZ)%>jdFsY^%wa9X(O*LS=VR_tKi0Z zVzeIux9vYAFwG~KzniPZ4BwMT)3fr^BQ!)*>k?N^*n5!8gRi#pJEa4-1Nb!F$m-ae zDqXKUjSQ(Lg-~|@W@K=LER6F|=&e9XdZ%O4}PcmtslA}dJy}qQR_OZMYI-%PLENfBwCf^q!mYkFX)6` z&z$rE^M)lE#9azAeAijG7b4H){S;~Gxh@~Da;EgZ$$A^OHtIZod`Ky!wJn)ppkGob znaKnulopfHZmd-^!vI5|AQ6>zT{S6cYJ2NJ(WS0?ml?i#o?Rs6$J&%ft?(Xdmid}qK|DS=ncfbEHFHJ&{=HdJB`My6-?>EHw!1qwW zWRt~aacT53#a3!Tev^m6&w%9(5m~3Z50;g_!XeKN>%%sQ&a=$Y*e@Avi1b|$Kukv* zlGJGiMt>Qn!jTvWv!Wv%1|I}mA$|(p#!Sg7h@a*_lnZS4d609z zi2HyQw;=FH;^|oZe#zsIFh^|>aWgot*P@C-KyQ-*%rJaxJZBLzU*L+(G+@YV48~kg z!aHA^Ef0LN{eRgNSmFp`#SpXCzt=boAzyGwLV^O5zg|1VES~ppY8pVgM=Qs9LM*J$ zK{Izjpx}s=zPIP#oDmiB>(oT!w6RT=-!J^%qz zfY4JNi?bn>_6^}}S^PW`gpEgmNrZQE)1f^T_?HBN6 zs>K@xNEo=4-WoehNV`Zvw5m;uo46Ly-VueNhSjG>W1AT&;(ADCAQ)RHHUqwhIl7r~ zlR7CZIf5qEn?s*Yb2!z;9RNlc}juxAM3Hh z0SG_IMgy@LM2(BYMNAFAL`N_);>u#Q-xXnC$6^d&b|6%PP!X8=TGhqMHaL^vRgV~T z=6kS1AvphaTQ3BpMPN8?wAI4SmRdkH1E*M)(+SlK8vxuv)_6`$3v$9x@8Bjz10#tb z5(0r+XmI1BD97d1b>W~nUc9UoXInqTcl?B`aPM81*8Ax#fY)ON}XCt&e z0OHOR>uoeChm87D&^Qy^Ut7bpKAKQ)mS=Sr5QTM_?lq%{D-fCycDa zsJku3m*AJ2LWtrC7cenvqcRINV)v_m$!WPPoAsr6*Ra^kCCo}x5#F|$}uva zZCIQfqFgF;uv?LatvR)T0gsBS?j))3T2jXtUIM33u_fhE*Yv3hDZn9 zFd?vN!IBMTijU}H4KZ?9wpCy`tSV%_1QmjC59^T)87w&P3bu&7OQ^fS3K8Mde7upa z;F~CB4KgqITtmIOe-7{^1F8Z8F}hmrpl3=T9v}!eS@cva*uD)YqGNAK?ycmqne)7) zdxwAq*(M6|50&7HFCp(DQCy*C{B=mC01~&+S%TPL(Q$Z-d?Fp6gTUqz^bQFZrFtu$ z91{KqdROpu5W%pl5)vh1Y7SGz^ubyN>@DOm0KGFIPlad*G=iz|!)RZA@b|N6?|q+E zbOI;`i9p5y!>#K1(euEE3d0R)=)N~#xB-x~^(_v!j=XiRaml^WkG)bTiJM!DaX7^? zeYgz}IfnBRhP#ZZRRMhKOB)n!8Vc=jWCG065#h+VZd~du__(41`sTm}z~Tm`dVO&h zApLbc)Hbp94a`9m8JKB3a3`Hp!BC^vlNc<bEOxQ`6U{?pzrDKT8JXs>LZ^Lg5ewhHu{j;R3y80p{Q%eId7tIueHrC4eaKI2W;a z1rKrgDy*aJ{a{w8xJiT97Yz055X7ql|0Nhqa1_kEo+#1}g$p|LOVZmitAiD++Rf}ab%oCbb|umUo9XYMd|CsX=wva@ z5x~(@p#VxXWi2ZMpL`cYLg0W!U^D8?Doh{?CylB{m>k)R2th^>-dIQFVUAe>Da=kt zCc&42^$sz;6Qo=J-C#uEaoyFt8$(V>gD@UAK8r>Gyv-^Gt;e7g5TJ zAP**uW+Ca_p<@)J8}T}&^VVN={4(w7m#Z(RO#ampKqF|*I|0?IF%=II>e9@V3Zk1D8R_;(=-E)C#?PN zvHCc?Up@xAK8YGoH}i-%p$F1o_0MxUOu1s)b*yehizj?5l_J90e?SN8uv5C&MX+v# z;aUt_tNJYb9jzmde-EC4*mdz@bT)_jn%+NQ(qA`MVQN4oTtC)KB7jR1I`(ecuYXks(4Is^pG)NAZ8#W;` zw6B6OvhkCwegOPm2!8PhIPZXjJ?x4e(UjG&cn0ih#lr%aIRp#9szNEm;xQ0ggp8GJ z1`-?ca1-bUl6stb4rC(9b{JfGk_7zz_pMPw>hbR8#gM=ffX|1$LFq;fY!Xk$EUBU1Zkm~s!!)IdHAlXGPG%x$b|Jon=v9L5BlSuu4=R@Bi zfJVES?v5Uj(zl2nmuWD8&YV6W)fgh+lQ8!B`QTdw`FfT?-zK_8wRl3noUDU70yrWj z(c5`T`$Ugj=x`qPi5{_Zx;5QQEy8)-efs-GVZ%C9nzA%7@5-VCVe*aH`q8|&SDLv= z{h-k2=I@&YBI5JfMu;QmX9W#V9rC;Uq5t3HfF#S(5evcbrRk~Hk7^KTg|MJ*yOwf3I@pG<_mCbV-}3hu zISkBtb!o@Iuk|TEbd);YVq3O z(%&Dh^@{}m!%Or>(lX!u_RH5_%+nu+3*TUl2*y6wDgp2<_R0Zb7{a~?TF{rtGjghI zOlp%jpc*B()pcO^T+r#;XG~#IT`5pest~~UQo--);7q$IU>pJ2=~@ZTG(elPM3^e*xD31%<1Tef zGD6vLeLhnm0tT0%>D=g-0!gxH2Fe@uI8Pb`GnBIOli3O@fz*h^IDn^*Q(S~ZQ&7^( zQIcMWUNiLY0rTNr{nSgQAul2huybZh*z83t^ zZ%!8BL10L6k@_d3x+2gUy^;am5_mRZl+u1y^hhmrQ>E4eQEzkm353XA<5e zIx=ltsHpb57B2#=E-~Ep++6WV>v8yUynBA|KASxB-p2!~Kp)9CaTyos z5^CWOrw??b{p=lBUit-;q1SLP+!ZZe*w-|r0 ztTuOd*?5oXP;h8u-m*DbG+sQ@G%N44gyZq|Mr%Km-M=+`F~Eg)pP$7L2oxbg4MT^W zurwIwSK=MsdQj04Ye9PZA|6V#sI#GJYQqztR@DW{*R|mU^Bw@Xd8>897fe3hx9dK+ zY-oDQHd8$mT_`((nYl>2@3BWgD3W?_`(+!La{w*-`u1hj0A`IZl)>b^*Diuomk!dN zwVo`YcjY^4M9(Y;e%%+h&T8eJb>r)z$HsF*;A#Ge*%cm#4=bXc03qt$E;yGw>$A(L z$6&y@^3&GGr>73Ozwwqc8!za??S??qfNeN97yTt9UyhCE2RGS{xE(F-={+#q6*VRF z!tS>qR<-E`{96-167JTvA|8~{@^O)8E5t+HAlZejFd_BB(7gA2MiDR89_uRNOFp(f zBu?#xSM0gP`;BO-|4(!YaL&i*VD*8)LeC6H>t118)voR?BNep#a!NbbDuz%|t5Hb?bJ} zm8=jS8LTeQ-9hyh0cqsg08qt2Zwr?SfeqPFZ-yX`!Km{QK-)i%xbTFc$&7Bl zYXdey0p=iVhAtYOhc)6C~Kw1il zbj4&BU8_p08T?Nui~*KvWlhmve2=BIR9*Qw0x<6r_2*B08 zk;Z1$L$WF*aiq^YtG!KeBAL8T^#>Hy(J)bFQ`H};VpqJ( zx1P!{o!Vc2uiNUE_mNH|r|T{5mYPOs6giJxKpOAQZcW71O^Np1zKY`F?txO$G2m&w zcak11yXB8}Rj^HLU2w}3_o}#0LOom=J?)_%VZxL;B0WRS9I`k!71&TS`8?W@@O1gc zVr_WV^fo^wH*zg}<6wUViyg81yh55h1&#*LlPcYOf!r9Hk@|}yX^YS%Mj9*K?fz;m zSXleOc->ZYLBgcM8KY=(>EjPJpE$Q^2qmZuQ|05?WJcd<`2u4d&JdqaY8p(XXSS-o zww=92sJoYWo$bH9?r!uVbCGJ4e9xiG63XM6t|jJ_EKA+pywrEN18m~Sjd4Y+^n8+bDWAanf8rn3L z*O)iTILn|2IGNIfAnx~A#X;Owac|+9jn2sPrIK+i2)ku(q1Zd*Lo9Iuog+r+S6VAn7b5Gn-{+ zTi$NQ#h2n)TLEw3%0Q8=3$ae~*hD^A=%p2svKKLQtW#1=>Xh(1WwyXY$MUj7;RVd} zyZX)cOl!1F>J9V;4pCVp@iMBu|1iCl%MP zj;p_Uv}SjD+T4z%VI(3hkH|y0KO1ALg6|L|E-&=vX-Oe*5ZrKR6hahdceu3V+mPnx zc9JDptrUm~HlSFf(4L1GYFIg6MNVV702M@BE9gAwqQGsn%4v$0BuFLLvxl#jba+AgLx$Qd$_L#VW7(36FHaa-N^)BI}M z;DScJf@Mswu#es4bEbF1PSaU4@{ll*MVHaZbUx3RH%3d;RHn*t4)^CF`=%^0k0g^# zf?*51-d(WL>dXBV_r(v*pOsu0FhMVZ-7r$rUR^s#@#%f5`~7g5qi~|s&E17a76)VY@iO*uuDwkRK_Ob<)(Ks zX)t8;IOv89npG-^=Zzu)bpSS=FLK70NC<~R<5K}kcw_&<62nOCA9oQeEP*=UzeX!K zAzf-~Le4mE=~b-wYg_e3HvqRwAu|E_mjq4pBwCsHPTC5w@9J zEi4xpc8X21k_ylVo@0DL2K$Pwpmny2iJ8;Rd%nH<&OiRgm2nv4rt{QM&FeZLa>&fh zI!b`uEtwD2fh-qqd7>VA28!7VjlC9Fl8wWv$T!iEJCiD@N*X9SfXN#2EHrP@I>z## zD|hd%1qTN{*gd`1v_Rwms$L}UF?)Ys{a_9Rq+ypkfuob zkRaTHTNN%=LW=CY88Xxl6e!SqB9C8v6(o6YVun1ijT8$-OK7X>97naTiaFfWj%?_? z2@;n-c=wy!wEItA8O1U-c@GyF?o#a>njn_f+>Z?qG^i6~30fDd zDl0eEC7ao4nJpbN_PR#s$dpc7{^_T)QeGTb_PKwj0aHW@-a$D z&6FFFXxBOsg|kUTrdm0AnNly80Kd55IdZH`MQ%Hb6|t0II(#^tAxx|2RBx*yUlhoR z5{gK~1Ck*fLobMubR*}rNXZOFZk#agC=AjK#^r*)rexjU?s8qOd3b49QmT%>(Tkq9 zwwL}zv{SX|>`HNtcf44z<4i?!^dNtzt$vOygG_GU4Y#0|+k9tGqNHg$e}pm0}yTYr^6QD1Eg zqRaeV^%ej9ey2qcZaX9QQ?^Yz+R#f?t&`lUi>NM08OnMuqIpxzCaXFZ3QtTlj-e)D zMl-$LUUC;Zg(u{4|Hg*>c|sar^(o8!Rb^XwG(XyT;(ET?yTN^gE+4DEW!EalUR&mz zTq+OUGqFeA!1}hL*`9Fy>CZ>K_oN>yBsX32<3rZgsdrnr_hXywk7yU8wL8-T!wvTO zThyNVTa*!Y&QVeC+uCzi=9_4mZd4olhd2Lw#{z1+$n?v^`iamHbKjHQ5Qi}R^xb% zRKVJSv|1)FBKkq(5o^v< zw>#APN-92}&jl|ssTsUslZM@er*G^(EHU_;IF>K*HB?`+gDH=C_#7&f@V$~P^$CX$ z(o^hev5c#Y7Rs#mdB_|helDvT0BgooxHaz)qbRZR4j~}+gJw@}r=6|jI{AN~sEp#~ zJ%enOkIC|0%aK0nJj;dnEUHv&MuH@NV`iwYX9;EV7rzQV3GYgoXr_7)*nN}sI1bdB- znv|rs#nGzB+x?Mh_TQ`x!;30O!_*0C0 zjZ&lSk5p??SS9_kwnFG5+1ZhA5&{OxD>Zu`iZx%O2IwcpEoW%fXwCl87?W@0c#1CoyMkZTU<#~#;sNz4cl2~47js=q1 zpkTQ_GdN6=6Bdj8#&L_-%6eMazKv%-tlOP7^xG@LDy0TIM$Hk>2GeO4b%=OcB^;#G z(Zw@-gR582%#3G%?a!3JwMe~FCFD~sZ5am%FTqd-6rNPX*Z_57yopJ@6Q#1s89phU zgQj9ZlR@STUBJl`T9*`MIuheqh5dYJtdC0vs@zrBU%->l22#qXNwQF-tQ1nQ8d%9J zQ-(MW8kV4hdt4}o6uo?-M+<_7x=IvPt{7G}lyAn(CxCBGC0M}_NWtouiz9+wSJKWx zDAIP*(+pnC1F$kWW#PsVQ6(dVD4EF;lz0WAHK!m!Uz3p-H1=|?FAf%E(rMj}D`PRm z+T9e$w<{8a0u-~z@4-eT1*i;fCp96!i3Nz|?1!C@_|AQ=P;P#tt{av z7kHP}%&t`LcWUvgYfFWM=!1$LWmM%A`S(2U5sgIDB*)8;#5K!aF<*~XRPc!sp&-RX z5_P3)8mH0=q7}uTp&aTz#;7+!)gH6BDueC4agerg(<1UK>%|InwT92r$m>wZ%6d39 ztG(Sc12r(IZc*Kup54UTRo2yroita#jtI9%K0gKd^=|Q+};YEr1vZINl|-trEQ)dqkz3>49?fq`TSE)%9(}sw+%OKL7 zC_Q?Dr6e0)kldQS0$M|vw`?vp?v}~ka-KG-E1{#Xkj}V<&jVNfDk%fF3t1mqqBvd4 znLgwar!ojJV$>cSOL`qxpM5rV{--(3cYgK5tCv<$O6$N4D)>y!or+0W?H%qokCIrA z7dJ-s^zC`f_d6bR4tvM(jc?>&G7l&Z+A~TDXGC9Hb|FnpNWbCc6-f$s0YB60IZL)6 z0L*Z6jw%qQv2XF!az9aUmORC)M?Vl&x?3c~P;=?(CP8_p5cu=P-L|gYGbYbTJMM_@ z3^DA+<84ZVX3DDV*#^2UirSw^UbHcv(`#NC6f+@>w1q?s7Jgp7p1k$8tw1>}$^ulz zHa<$HIK4+3UNP-0*qd6)jKy5^MFyqRme##~F2k>?`CM}*uO-pfkKuLBR&7X`l{@Wp zhjNbXk{RL}nhb+3Y7~9L?WdG6SSP5sw2Tn%F~-|)kQ#-US2NS+slZd}9Z!?> zP)sZb2G{2w5a$#VDw9O{(m#JE?@qJ)@ygyzm^0!9;?XS1`4+F(C~nhu4&`JcJ89`2 z*2UYHgeO~G#rwK7NiCPM6DxUcj&Z$R`cMMOL(`L4^V6PKc^R*tf~v4$Icy8s-pvl_+GER*Cpk5DDGLx!QQYg{8o58&?kA4{f_7PQ_N1 zTuH7$Cj@TBQcIS(M=>Z&)CUCT%&kw6#N()}PGH{@n%~Yr?E{OzE zsFXIMA)tYAhKS@0FKI|c5&7z=J-0EgqRiS z>=yY_jZ5KUq{?yuL+RjPD`-Y>dO&HV#w0yF>MeE=;~EAozzP!7Mm=uuHA!HNF@UZN z$D=}mFi2*KLFf5|M)58>)l3j%?8arjbUp?O;*6mIn$qzy-Y&5BO0?F9gnmWrf>t4C zAgL7%b8?ERr115mSm-Sl${3XNl!^pKU9r`|CL0?lMiyIZ6RJ`B?%=aO_?It#_K#PF zAc?g^3@!p#9Rhl8i?*#~8$>h6L`x71^}b#~rUn?GY&R1aL~4?ZiXgCrK|`(vkToMf z7p@V3C~Fe12m>RJCIG*0mADKWXfq-DTo4j7h^iJyrd<;QUN{(2nALXRFgtu58&YF3 z$WM&vna|_^2r&^XM1#d*QFblMq*nFw#G~?^jGWx?@8%}}`NjB4vSL8Wu}?CNxd@OD*sf_7j#$+TaXnY;b*PP;D9{u` zPQTe;)@RZixCKb*6Vt{hhB`C|6EZ-~Oh99r9?q=~80Y{5_rSHL$(4hI!3AMp6`l?d z%!;^7MnX)oM454i&XOcb1Sp&m1{Kk&Y9@GP8I4n0JBSp8Q0`|_R6@dpS1J>eVlr)B zEy4WK1dEbDv=rir3JEhVDM^A6Bo#pNM9pC(*DICZJ$UCg-%nN&P^W8wY0Xa=SL0;Z znHI^PNkUH-XJ?IN5U~XDAvew&W|5NArcSe|p}{pwNYy|d77Er^GxQqG@d+ctzvY#{ za=Y5fL2@*;f@4>58deFz1no)$X(=;Ea7hI`;VkG!Lef(y8cNby%z#Uj)Iwm{OA4q! z?#%I`gBBD;;B%NNF4+ZH;^ad4AyXHpC~8tkmp*jMGihhy|?x!-gab zh(|7=_-fX`bAh#rcr}1LVmU{vA}Ayn+4=%dP&UBw;8$2+;9M8EqzVeAi||2$A%!PJ z#gWPT&A?WK14I(T5K=SIAa5$^cM*`>V&14c&bG~n3r}?v*rC$j9%147cV8jp`f@nW4(VIiWo<6>@U9FH5 z|DCkgH5R1#35%v%CwU^U(xS|iu*AIqV#QfbOs_+sX2m1}sr(wgbr;|8Fgv7S5dDH8 z0kMx26&JiGBdw;Ev8xeI3TQ+}vRaA^eT=+Wrj66MfLKLBKs_lSbDoCs9^^_kb8!n3 zBRM4ekQ~Hf4h3C>VjW`4^?Cd(IaMr>3TwL%q4|yDD8Qz0e`)-u?6hmkzaN4=<4O!Nb2fs|jtAr< zb23O}_C*xV6NsTgoCL&^ygHWqXj8m?pKnha5B&hM2{Vve86_zt<3iO@;DAQmk77u8jrW zhj@@7fO$h8Ilxaa|3w%i5z;COYY-}ck{v!apb`S>m&{CUfIumTW|IR1A*r0ON~twA z$mS%?OeWLj-m7_rphmEwWx@6Uig7dX<;L%FK3x&I5#9wZ2IT6qh^fX}bAqMJEff+7 zDZRh%b!`QhB(vu>1vbAh-iCQ583*clv$TpQrg2hGnHIceOeb|p0VdgeUZHuflm~Sm zE-4dKH;}>;hyh#+8Aw9p6Pbdj;3vc~ATWQ%76GbtPGD)pzrGb#f)@wC0`~^AtX6*& zCn&4b+;w2^S8DZvr0{p&{q41f=L>$b`0I&e4%6mku*H>t zlpG+mF>Flbpkl`Wa)UvdjF|-r`yjkZU}1uFX`nBl=Sm}HNIa=>pD`6tATmhcWg=Kg zP!@)W*C?Gd2x3tE7`~;XHi+0PL7==1Sn@bxR)hx)M)*xI4{)|&bE+{_3?S+}@V%`R zB)cZNVD&-D>dkNoFv{m8@LUiU9czbuu6_mb*iT3y5g`qOqe1V9=|h29ckIn)CgC|J+u0Wghl%S9i| zfCj(6!8}2Y8YzQ|=w&UWDmp@lXOfJos_<$J4ss1rtI3Q4Cn*HZ!M-vAypqsBjuR0e zZx9QlYDR`)SJTA-V15I=faMt6dzmyZQwU(QA~-hLSH`fs+5(3N5`YB{_R;CQ`l}@1 z=@=v>W84g+?uGzCOe2x?sjei!%#rpI>STeEK%AbjfM*dBYR=ntjNmRl@0i53g87d#Wsu1j{0l*yG zT3?tKW33DCbXzOLNDVsCsM>E9XS!NfYu?S$I%N~xj@k=yD0Af9h;$%6!zSmjJ@m(8 z!JBI6{UhpNj*lVVsy#I`r2dZC{iEV8|AB_F?6O{q*eqm=vu)R0boNL`Ir&`Z=^q0n zc_-s7JN)0W_w)nv%JGHsxCPDShE?pi7ac^A(`5hW?$cpfGmA? z{F=1K@3}HBv$m}h=Y8IF{AMlp3Fp~k{h}%3gL`LKun0|K4q3(Fc=Yq)(4{kH`WE=j zdEw&059o~0EPXrInQ?X)hEgjz4s0^F+z^3JCxtk z4g0zdTcMIwDlL(cjY|QnR)$m@bRJv@fEEhtjXT4 zez`wb!4_xRZY#KpVIq|$x)h%+{lt&YLwIPW>npm6dfuB8(SnbyvS({2vT$0Je@^Qe zG&#Q%enf7ddKCA~&C=MjoEolI*7N5oF4cu^8P^=uz$D-opU#$NtGedKeq2I!;#SI8 zG9KMsi)TCPv1nljgiUJI248un)aLUSW%u?okChy=q&wD%_mtM`WYJo_6Bp%)^W7!& zH$T>U-I-@f-Yo4J#^(kuk~PEX&JXBD+`svnU$Es_XMeYiS}$$fUrAZ1kwn}f5DZ<2blZYZAcn3UK$$z;jHU$un9PssV+&HO)p>3)4_dR9=laN^ZW>j=Bc zUNh!+CBDP><%Bc1F7?{Br(4Hs*nD3IfgbsI)0S80+5F(yZzjB(xz{>=-D#eC6dx&T zJ>GiZ!g$Qqz)qUJ`K+MherD#>yU#N_cNZnrU)#fP%Ib+%jE%f%H-CJlsq4U&yEg6U zn3#<|S9(@Hnkj$PcL(KeuHXASem+u;^P7X(@vPCtPMPr~4&OG^RC^3>Tcw8XShbKJf3_;ywy;NOoV7kF~K8r8DT_us4>w)mUmHT^~Ov*)xJ^jU6T*tf*Wl5JXxq73nq zP>wwJYRPd-P86XFbGY)RXKMXH+{C;pBeALBTg6|>H{U&V`e4T^n))(sjLlm*D{e-4 z;~$^tD;Yfc!ayP~K1a6Jzsj-p*4kJr|I&_gj8m-XwaxbDD$d48Bv(?D+33za?Z4%= z)7RybkI`W_`}jWJuUqeyx%cWPu16K_xv@8IX>2XddE>kL#5Mo%UrW=qR{oHu=BRE@ zwfvY;Waod!O?NPts9(U}zZn9uF}bdiGNGsIZi>Z1ca=6gkHUMY#hU_3DDa01JaFC9 z`6KcN?o92crgM}|7=DnN^%RxG=9QWb)2w*1jJa~JOB|sW9u3#QhNpiff9VE3@MQ*fBZM<@4*0R&R_{c0%KA_*R&c@0H7U)j#7pAg-3&`=ixbH_w>+#*<&AYZA zVI^zbBeABst!)+CGp#MCxnn5iyA^kaI!&3e7I}1VXh_y?W;fEU^2>!yLo!HAH}(2U z_}+3`CU$gF<(qOf*@5$IcWCku-^4VXzYrR-!EN+HXqH-MS!XLfdyZ^+agHf{A8w;? zTR%lT7@f1;RX@bfcT`&MBl$ShK;ZriPFYf$WjMjpxYGTyIVGdcltm@AG8K&wzVwU1m>>+YdN964t_8Tzd&b&_jZQ&l zd#}X}0m4ViTbTNCO1WB1&a@P>W~WFMl0@yp5HzNM2!bQA6H4C{=j+SS2dRgtf#93i98} z5gmtSRASr&ainC{m?VNY^Gd$J;Br7+c!vnz#PAdXj2HuaWFxTC2mua=9jxG85C{Og z3}GnAVe}yjz(}C6iz%W}0Y)|@TOLQ|)i#PxQ4Cl$CI?bQ6SqKjhw78W zrmny8LzzL-_>8!vW9C&piDO~`cA41RsM$B*{B)BtYM=NKBX44=m`fTPc8+uKKp5M# z8OzRvJ$R>pGk{b!jZrCoU`S07OW7^mf>tq+{RREhzq;w6qukKZ&)p4-T7dUZIRf68 zV;*^5b!ItYJz4Fdk@Z)PIja@t*$TneSE6!G<|vaAv5()Yly?G0-^0v8g9?&l3EH%OwU>4po!8S!ZcuP!so(M+9=#kN6oKJhbsaBD2|N1C(9sd6(%Cr;^u zd*Onwc$eJYhUU>5i0#_z+)S%QNb#4IFexv6;Ee7R0>5+6m$Q#^vznvQbOTm3Xe#c* zH}eM&a}67&-ZylxL&{N=Ef?CqR>Ud%T+opcemc zRU~gSQ84Dy-UV0&c@@#nF1#a~+0i4nV=9QJ+TvTt24{Q0M2+<72Pk{;nd~oc3A9#- zv!VPqjPvc8;(YpTO!Lcb(>T4(IIeQIKokje3ah@>o##A=G`?`VP zp7$?AyS+lE|Lux2uL|XH{(F(%w{4zX7=Y!zb4;lbRQe?~Qfb-(KkJ5g|ALr~Mj39p z(H<^Ep3a>9aQfl?PLu;pT_5!|=M9U~ISzy2(|0Ppm-phGb_C9inHu(7N&L=tGS!Lv!cE z1I&f`cn3evx1k~ax9Y6grY`P>4bnP`R;^Nf)pd{i3orMTxaXmg19nBZRxLR;RHc*6 zCoZ7*%Gb01ipRR$ABj^v#g>cnr%h@L2||B2JLgMoKa~LmrnNPmZ2uVSko}&#e_)%k zCf1cekJcyT3VTo1c(Bh|lZ{h;{bpIod}C1XW$+{PP2EDZuN8md9KusweMLweRUI3G z9t-u?zWcWSD6NRSGE*~RgV`Q#;}dzdNx62j*oq^Hg_E1b&qQ|o1YV#r8Bevstz(30 zXjbMY)oq-l(q*FT%Ngj9NAMbZNM3DQ3-nEL0ekO_6R1MESq;hbWMma8wu%dK2?I@j zU_S2Wvtti8-V0cBQZ?WpZs1td-Db4CkJ3kIzBtAPG1tISH{QE&2dc%;vOpiQ;lJ%~LJ160=6Q7z>QdT0GNU*C7u; zoMQy@6&&<0w>2;uWKX7uN6ueB=sX9#a8v=;BGyrx{isdwtru$Ac;O31C`G*D7xUbZ zifHCBb0G^_0GFd!E8Z2$rSS^f6UEiyO~5Q;lWNr-QK@2ho~qxdiTPIZM9r%Yyq3PA z{WnWvo5BokzL_dF&fWryPQ1A(I;j@3YL84;(;VJp zZ5L_HRuJuw#=#II_uvAP-*utBf3y2G24oWFqHL%h8mMR7LrO6R8pJI1qX8udytItW zPuYZG@E^krOzLg+nIGzyaoC& z)T+b!E2cpK$5eG}!BKP4^Ocxe5WN_kv(=!p&=K%pWI^7%;h($kY{^b*IP=BH3v+vN ztaW^_}@MbQuFiA`f}ynm!k#bU%QIf=odLf zIR3>+uu3maEo44>_;%|ZZMJ6TT;pQ{CpYgN>bvxE4|BvZF|+#%+vcISp18s8Zi!E# zpYhZ9^Hbm8qUV}k#&g}ahPkYwyW${3BoFoX*F+oU=x$i@3%7NM2q_}SqA+))#zw zpzZSUFa{@QW7jryfqvFhV_iSz2?uxmK6C!3|Mjb`D;BR|yE0>G*o=?!GgMEb>TKHp zds3Xj>}Ra@fESoL9A|&xs2<3HgDDzu^8mo~qiHj8_MnHln(U6$xEKYDDeiLh9P6ucpnJ*4dKaviL^y#94k= z;&+5zX%WAw&cmb5)m?Bb^)u)rw6?K_32zL2rRkvkR+e{ILofev$pJw5YcEK~ju1ncHOsVR>6+42bwD`43Zt0HmsPV*^eDrR; z)QBgaDc)$ZK&PqP*pPqP*nHi2G*4cLot5dA`uuMpsS}m$OnFu#-$xH1!a3Hxr##BG z_W}LySgfRXMrz1a>zV21l9B8~9rAmlYg<$$ziJCJC~j09UTApj;*q|m(-PlZnVZ4u zae+Fx;nW~&^P5m($RnqVoMWd-<=>5h=@Yjr+hZ^#lXQQNbG!!N=+r$Eo$072SX>jd zgA7)r+uOk&g|^hdP`@-4Vh11|aborrY@p{??pf5$}~&J?n*oa_eU zsq(e%=lzx2i^G~zTWAX~YTWn{8sA{oimn+;+p2Ia_T%NP*%6;{nvs5sYP;-d%pm+H zJAin6%*-~B;rsidJ@&pD;Le-_I)XCnZ)>&suTgwg&u1m_O~3ZGqeMP>$6b!i#pagU zw5nRE@jBd?Gs$Pid*AT}p`jK!1Z;-iG?S4w+k2?CofkT!y>9}f;#+!^mRZT3tuRe; zB1h-~(fBuvpLQkPK#u_fpe>Z9R{ZXf`9D59*Lvl^GMH0UGw6muikSnA1fSfam>Esr zBf!tRn!k!NTD3tl^t-HP)R-Co3UY&4gOUJq+lnZRs}cTjGN@3Pr)+A!%SjudW5XOr z;TVr?sv1`n#Y_N?pOB{TP`g{JxKuvXJQ|Z3$X=^@+&dPyNMB_#`eAGsNHI(zU5~}^ zR4P=T8sHv|Jv@n)DI7RsY_hqLUxrm6QE|E;W4Vk;!BV3Q+hucHk&K|x1fC%Y!V`S7 z6$3_GZ3FMn4{aj?j?<)eW4|i{vJ6CD&o4jRtfhVXeW^i$2TxIJrvj@P6Om+Qz{!he zfdh)^{!-xQj&;y}ld8L*(jauuk~Z0wZM#YqK=W)!F&HJCgT_2btp&YaWhj=aT9}oC z*hgg*M5{f>%sOuYHW-Fl&uZY3YK7voO#>|8lv$QjEW9`!OM01rKTk#h_HjBv*hYoy_xZ|Wji?Gx3f&9&efT^U>J$YM%W%8vx2PP_y z>Mrd1k2)>3iQ2PV?b*E9Cm67x*VkwVju(Zu3>F3LXWnVw@z9g)%qDL;b8>GN{kJ~h z-VHMoGu2wdrqVFx=R;lhjAWll*Zw-X(O+}__*JI$Tn)YF*hZDERM(f+9CgOmLmVpm z68T(7P1A#iO4=JQUVryXLrlXq^~XQmgQ~A}p56N=``k6zWILdNed)U64VjI;p*Q+JQ5z00`>*Gn)i&o_wv!*>PqO~R4+V#V z&VBrUBk$%uCjZzI0r(S|Ccom(Ivcx=hMB5=>*t=0<*VDr7V?3n0ett6FmDPs z0-MEi-cr->K$>$v*>e3Ei{;PkH1h#)V7&cLzHVk>FE`ioR`DkOznvv}Viw;_zwSzJ zkkV~0J)!<AC?cR+$-r5h$i{QBq(eW+z>7#>1;%GE1j=pm(|7^=f{$$HL#Tzs4 z!9^%IVs9uYpQsV88(%N{;dC3ij7qaVW(s#a&{h1CO;r9dliHNEu%+Fyq5m3w&gSwy z_5>T=r7A5EWwzsbESk=2*^szK7KQUWKGB>tdodkrFS`M$%`xc$Uzdy1zgZU^`&C*i zWC|BAgU-;YnUb097x;zsT_SxMfrq_EpF-Wkjy!}vJ31pSP&J>T1#0KTw(JAvYu~u8 z290OVYL+u!zHvP+dJ?Ac0sDW-@PB=9-oDpAf6ZSGF3n>#{mGfB>O9NB18Pyoe`dW< zHMO?!EdMD|hhndYv%&TK8`WG4j`p_jN5F6j8xg&57-rITykRKVa&hR)#Y61=2UM6! z)Wf5q+&^shbK&@?yObuX~_$|O6IanU}K^MSLr=fI=%$Bh3}x&PFu2OHU@S+>au)5&aT zwRbYE1AVjEJZ#7`1k>4leYC{>r<<5Rm7w#*wcRD}_`wbDb5^5c;txXk)fvKq_i1s| zG`qLv+n3Vr`NNe7eK~&7W8e6AjbfXRZ~p(-d;hpNs&ird?6Qyz#xOGsFc6Z=nVp4M zLK0cmbhWmfISgc2FvtcK)AlxO&`Z?T26Ouo-`lq{!(lTJ5`?UgT3Z7yidIdD(Wbq( zw}Ld{kLoo|ZEkPhwnS`G-}c@HQDds@_gNq|(cHE-_w)JwwI^YAew<(Poaa2h=A7r@ z_9`{Af!glR;$FfXmT&AO(eET@IC>yKPjVpJbM&W8JB+r(X>xty^}uQH4Go*B-zA^L zJ3C7w^)d#5J#fu%uMwFIz*TDheLYQ%gXbdtK){%mgVo%fWHfPx>ov%e{0s-~GjDu@ zoJhp(?VsTvCrvxK{#pog+G|9o0zWOBrH>Szj2`Vi#Di0k$gIDt`^G)S#^g+NXXmN` z1N`sYKdgY)079>-0Vj%UDcu2Xuf8K66|R72k~SzRQ+T8_ae&Z+xM2@>KTRIJ7b>~; z=)e`L1|s06bZ{RBO+X;mynCNaoQ^D4_C#|#8^A4OM7s}qdAtb#65S>3OH3=UiCl@i zjz{H#kv0MjE_))UjGBiJMNb84rF-Z%Z-kdU2=82(oJo}W!DWgwJva(*TWN5kiQxSa z@_OJ5_jTn!G;!53`9b`hB&a6CzRnql8S))kcxUv<#PJ(<7;gnC{WY=sX=l4J>-Pz!N>@i=4|(E|n$zSn zf!Mu8+aj}8X(Z)oaxD*I_TG>G^M)65?mR1R#ELAiR>rJ7R(Z;mA^7$@YafX8OVMkF z_gLUjuvyy~0MC-}6`8h2Th=CEk>F38P7_!%-|(<88>mybC&9$Nfl3e3oK8iW9v)}t zA%4zG&|gi#On?s(_*l?^{XZ2Fb9RErA(}E2lof&JC?d7U@y-+6oS|S=Nm&YVX}DJI z=G>Je*hBnILoWd{NXi0YZ!-qxECs4q77gzaHos~);`RGQ6QRVtt3kL`r#4ZpnIjI1osdoWh+SRAeI%bj6P>@ zu#dt_eijx>XUazh6eZI>9pN4z`f=Ei8~yhqZ`JMp!`cO5cd5OuG8W#`@n~$zYI~

  • Sgbg8 zMv3K&>+{TXNl}ucH-tior^oRyZyU9cWRM+4+)x>Z=wU0p5%*{I*YdZxcq&%QAH|t< zibA{dD8a5=F23Vd+vi`6snrvtP!h1jj*MCx$GqfOrV&sh=X*I#iREj@3=g@PYNX;d zHdCD>=je`l&gn=2;Q;inGgF-{@iek{Rwt*xwUb5!v`MiW=fI(9<6d+t=0bMN54G2v z;Pr{l`Xqv^C*F=b5MM3Q)5z|~g4`9^LqsA(H*$ z{rL3LY%!cx?HbMC#)p&wMdNMGZaOLt5HsKr@259o%bZ~%9{Tw4ahbD?m&mgOXR7$P()m(3xF^MCUvM*OWREeR@!W31X*Q${Shi@ zG4s3#myI4st4(S^U`jRsz=@c}HSTsX?JgFKC4dVI00tW%K*<5s(AUYVHaG1t00bw% zSrwa>RR(HgtIek#ccq-N)>R1h5Nc_TNvG2)S(`yc3C!%PSQ&sm#kL?Vtpn|k>Q+p| zmb3zXt!`$@uu0`K*`p>N;3Ue==SkC|0O1ESkwq9rN9q51?7&}cyZ)3Ekuv)SD3(xu z6^16s?3c|O43n4?GgTIwWSB}ML*?T-_<<2GgG`c}4J>A{f}vAma61%Vk_xzjxG^#< zJhhIPZE;RDDNdqImp8&uP-}k8zG>h@!)%DAM!;+nO{z{sH9^8QlaK=^K|qjNio<4X zfm`;0v=&WlIU`bB(JIaZdm$U6!5wpHA!S5`$ hEg;t3q0h!ZsNf%Up#TA_S8*Q z5w@k8i7`M$hPhCnuz5%TL)*z_7zW@%6AtbjQ$XpcN(FEUN}r}o8aUHdGvXS9Nyh-w zlxZn8ixVOo%z_8ajKug|RGMmTRjF1M+k`dX1~*F_0C<9)V1oJ5BxYcVqcW7tJgZ4e z&Bdab7Yz*qG7^=hiJ5+tdca0mWgSp~EGBG|9|P#nR!O13OFxe@3s^ukQym}2N-@{hX4W~a<5n1B>o1bj5t6u3S>Q-E078LjigLiV*v6WEanZ4l)>bs4*;(r&LvT!Hmht+myLE&<3~9) z&~nCmY4SfVmESOTGDUEUA_;mquFnK|!*rp#I(Z82Q7~iGtV*=TfZ1h*+eflQIp(Zj z9D%r|+Vc>}1i)!E?fYh6$pFf50@NU7QcdF8#s*&G%n4mIPbjS^vka{7OhJ*wESd6( zEa5U`ux@YWDUPaG!V%9uyO+JJq(lm(DKSZ-FyZA8!$7~Rt0s6%Ga$E6DFmCk;{VsEbCR42J7d6Ez=dsEvh~_AyO6!q@vx~ta=#0 z07y;6v3P=$P{^PF+LcCD3f%k@l4)+e1bQ;ERT~y-x43Mfgo>%)bEC|?g1|6I2J1W@6gV?_fH&4KS#m&EO_FGWk|B}J;=zl|2%|Qtz1pe@ zX)1&%7}g}FE2}XKB0=JpzxdljuV=sX!)wnA!%{WTMhd^1N?1%BZ}SV~8=p3*Xe3ld z!)TKMO%~p%F=IdptYK5=43tS17&bV#pP~W^N9$z=)-6;GH(rTtX{oL+uY%Za7N*Ri2{_Pd6CggSnpyQq>6x=TvM+uFM_y~v zDmekY)}v}L6_f!0D3y+30|e35AqirltOS7xvrS740113Ou34DDG-`As)&Lj|#wzji zz!F`f4vP@EqyX4ch9RoK004ed(kjEC%#>I<;E#I5Tj#gfO z5^7xt_fjT(2VnZaZ5>l60#b>JqF}w0d>R8GtHC0nMj?P0uq!*7Sn9- zXbLsnY-N*fMlg#N%o>icz#fQvnQdlVgpOMPSsWfg&Xi>~K+CoY=-DP-Qn@U_C~2%x z{VHrFEH*=oz@%EUyS+=_xcTyXB6Y2$L8%rtc+pggLuQ-FlEIn5{|tv1)OuNjUVK(J z1D6L@DHNZ^>CjI^nEXY-gcY^S8n=L`Gco{a1x^OBOOdKlwWK86B>_`(m|#TOpgQwO zCeJ{?5{4>p5JzqPQ6z~A{i26cVT}&TH8GKE;)-!7B9#uzlg%XvjbK$z3rds@JOVMp zn?c~iTtX-SUex4km&mka(}yp9L%dY78_u3;CQGj2#OM6`mnu2aUe z4U|*~aTDS=EouNqnd(iMG*UGlz%_x;6bvXy0E|h+B8`cl>wuNL&T4{J9W;ypkr+){ zr>#SyMnKZ^q-cV7Hvs2#j3A4lM5k)w3M4vE8IEh`nS?A7IP#N33q0Y&csd;lTcW$Y=D|8YD+ zn=TkVcMItb)R2Z;o1cIAf&}5T+hT=d!x}pl+Mq2>&o>84j9IH^D01K^_S+3oBTkZv z6Wi(6*vex7aWB!VtG4=%8o5GRuqqA8E7pp}Sj!0WJkQNJKH0?Zh<1*?uQd-@2sQ;wW) zS3jPDTAmdg!^QcDE^>Ms%SlS7%xQC4vWV!lIIprghpTd-z~N+#X!ZAWa@Ct~v;5S) z`m)>Ve$*&Y4=3YxTAp#dUDB3(i&WBmgAvbe&hI_fQxqFS;5M17pQziH7aC38DpY*a zNiXjW=geep|7z~?;95sKDQv8sMP0wXP36udFF0O{T&fN`L!askCvZi#@q4UHwT>G> z6zD}DchD!w;buJ?l0W(AVR=?NyCv~GZhFBw@W&aXyjS*zd}T+!09m9SV{`HT-cN*& zs~k-m`_bPA$#nDB-OB4yAjmNO!niTf)N_iQQzrE}@8geLz|jFYcCQDYRW6V@dS&7n zc~>RFqmo#AxiKs^u#M;c5UYs0AB2jIAl-TD{zj5kDYxjx{^6Nm<3A^2;aJ;`2WqAm zE-};kZ6vw0sKo3jiZwV~u0<#`HojBNEi?rujyN6BZOHh&d{I`9hUW7$W-@P{`&5eS z@x}_n0o|pPc2+zLq5g~BuuK+-;3Ujsli&*k$K}qNOL?{ET8u-HBnY$!XO z-_6%ZyKg;hWn4>Gg{&l{rDS|xZ(a1qdF;Ltr!LL0QOMpM-HeY5{i~CMn~l5uf^~N4 znn2gzJrHm>e1}D8v0^=TPymw=e$AHws1u(%#Mt|1U1fxCsVxtmgkjj z*)gmi__bha@f!=uw5t~_5WJ~Phb)H{YtSF&l{xoFg>p70_WV|WAW77Xas}Lvlahbq z@SCmGFXY(exxMW#vY{RO1ZB23+AsLzZN=M{@{A_B*0;2|A0__IBhDSZQ~_WSTpqKC zN_Ns88b3UFcZge_bU_WBuZ11mJLD~4YTl7c@ck`ZGU(q5K>mVbe=$K_W9?gzTUZ{! zQYiObM(=v^el98e$Xbki&ghZ8?St=H{_77gU#40%o!nW;1LmC2R>^OpdEcA*9A4m> z?QiOS_;=siMhWhW&Be~^{!*dYUa!lKk;Dr+UpLWcZ{_O*`3QzJr;a$`8=p-r51hrW zUCLIbB4^jV#qmbkyPus^;>9J20>;?LCGKPNUTzM5s0iK){*vM{+V0@X+guZE!ku2? z>(ythmju^DO-0p*?7ri8#`f6`Hm&z6JQ(P)@B@>b|0ZddVWm*%^LgMSM2 z;_(i=pcK$s2TQ@trp1f5KkQp@=jWZLum5_U@peVso)Yim5%*SGrwKMU}XvdLn zS6H{_9Qh#!{}kB2>5Ug6Z82Kv6$;VFjDFUub#I&*{id*yr2dIJTNAx^&nmYx3g5hg z(Z4P9-W|uS|GO=Z4ty(8n)<1Op91<;@+e+{o4GZzwDWIYd^bD)#p|4+Fk~~#DE{56 zbR7q3l{F0HvvIbgLKjFmn~xvlREJzaBq)g@apO7KEC{HFWGJBXx{L%;aaNm)StV;` zMa&r&M0Yk#I0`Imth80I$qGb8H?ovTXT)@DekKH_NTXRb@rDy;@HM;BT&&+E1Z3z9 zb3~`{E?W;+dN;;NR;DNi^npn>2$hP8L>FT*3C#YGdM}Bkb!xGh^uNL{!N#XrtNpW z_t^a5KVPhw#k0pR!f*w z^lNZJE&5E1;;@{l*fQJT^-sKP2fd#|w)6-X7G_ywYyEafqGAlnL#bp)&VA{Y|948g zdRD#u=q52hsU2Y0xlyS3_%5jGEvI5=!kt^Sk0@;WrGa(>x2tQ8UBpZ z{;kiGEk#g_9SmtgMshuqPvrC6{w?959`cQzA!j)Egs_k=#)m@{=oG09$mfOWCa5x; zXUE&TXcsf<)#i3h6H#s;PU4(0_MkRe*i47=xp<=V&0)D$BgL&>_-KNo3xmub1;9T=i@Z^N9A^*H6P1T# z7kkw=qz`I9<6d-hOvpwF?JU-2d!awBbOxoXo*{k689LmFE=Jtw;-P(xc<9BmXkAS72$0EZ+wOK8*7CDbky*_@%VfOz|@|Y$38|}0%zKYra#Xt zkb#)gIO-j*%XGC^F8l9r)wnv&p>y5AnVcyj=Q5IeV*Ga3 zyuZl9{iEYOMUFw~-ATYV83o_Io$)Uv=1Osa%Q zQ5??4+4(sqn@QoDjtkP&yZepyTw|#!eA|ifaISoK2)XbAamS|X;vurq8zPYuD|Dr^ zv18J8KRDI-Kv2r4U6}-20qcO&G4Q(4@nmo^82uFOnTRL$AL6=DtRRT$*sy=BYPkKt z(P>7XP_KlzCjEKmv9_Y@`^VU$$F!pcfccI)IzmahB#F%!&oAIil&}+mQF!j=W|Ei-O11>4+{AU3HS__KmVZ*JRl~?z^ zOdwt77+S&VJo`R?$)jysQ`j-%uH*AjZ zXE(=wOwfpZv$CGiOW4)Qu#&?joJI_GB|;n5a9*VSWv63z9Tk|^zm0~#O}n7Dd4Oes zS(UBLl5`Ui?1%v@ndmre@$=7EJ<#z1gidQjzgFn3SUU3a?pij{){MVh+|R{&IvsrmlhyEoCW!gJ z20OcZ0o_$z!V)c3hqn*^*Yn^1m#;iW^Xvpl5dxh@v3jVSla54&m7Q<`4ZvmE%cUlm z6XuHnJgyhbm(|#7qe0~{d;uF0Ui4}MwIJe9>?g$T5$xT7R^2Mo0IDYgM4U%W0kuRA zjF*t-*k)F4IU;2APS-0e%OA-@b97B517L3AqE{{U7;cI6Jl_`kIV;e1M;$|4G|#sJ ze$QP1b>l1GZFac+0Fv4`&wxa8S@qexV0t=LXwWX}fFJ|Z&63P*sZg|=AGPP2toA$~ zZJEQJ48YD!DcT&97dzndlqzr`e+3`g!VdE#d^W3Olw}mB^XzJzD^vlpnio)Q0gVRG z>SZ{mQ(c#|8X?WT!Wdf;|E#f1|yKkkRLX&f{g+?kE(L zwVaki{`UCycKWh^xZy_6y~>vVv}Go8>b`diqNVK5K7Q6)s7yafE=7iNU(WmMF8X8R zybFSc){2hxp%tV&%9xg7d-F~cW>4{e++zZx0=AG)W z9Oa1$AZ9=a+Y+Ne)Uhjn~?gt81HV*?MjqYu(awb` zn2S1@&+iSB;zf{MzvIK!fqDM(jeX9o`YWwd-QJ50188}tUU;N`1&|bs_;KalcW}#A zWxj4cIW^UdKioMw>#(-wt~Ap5>08eC^D4^B&&1{F^gnjY}0L?XR6%X17I1*P^4Y?t#(CBlF{nT)5o6 zh=2aw>1FbAk+DzmJr(I=A7yU)k+nPzz+mRQo%Yw+rN}D*_Y;HNmE1plVI5jC>Gq^nlk!T6Xk>1bP51yxB~)F?bfU zOA@K;3`MK@C(faZ+cR6Zm9N&(P$;J%vOVx*+ReF9_I2R z+6(*Z^J3~Zq*A%rRU_j&{X*ha=Q$z!Ye`RwbDE!=S8jJ&xn_TH>z++}h92mzSgvVe z&)j`_)SeH*ANxvM_#iiP49aQQKA}JGkwcFfxUWBtZWVH{lUw49{Zb-VSpd2J%cS72 zIkuO8;LjizXYV}o$@<)zZ!l#7DZ}ynXov9u$}Tg6nX$8a;4h>^hw>Cwj&0HU*p?`g zmaOVx%|N~|i9x$HF2wqw?CK^D0idYtBBKpL(WlU?bOG<*f&Cj-^We;Zo)&=O;WfT9n{MAI|qce(njp6CENp+d7Lc4C#qh4=uKw6f}ivU zob!GfLJAdiofXM%uq_YCGiafro|}~QN#dV(&IO9t`6_P4k&TUm!`Rp@M1yh7|0M0N zaQXS~6CJ9*bzwF?+M|yL}jKe z7-SrPx54`p!ISxZTLyqPK*EX^hjbzvhEUD>$P=cC2^&CzX%R!0ra-)uL5@75`fZfo z2G$f0xyFdL@o`*2WSQJltj5$u#<1|FSdf*C40cqd;H(Uvfg#;MCTuH#*Pw>zYyk2e z7iTfYuf{<(tsg9^v~mTj8H&Qo9B>Fz^oMZRTG~Z;jdROpo)^#ZIt1Hv)6+FPE`fX; z5EYa_`PIxEj9EEL0<|CVeS|cd`Dyv;ku&!l%l^FQ1_3|@gHdBlw_!E!ND#tnIWgm> zG`A%-qzyy1?s`mPB@YGU7NSv48pBFHI|?#$uQ)}_#xV2r8Y9&=HDZ_QqhT-6yYX`a zdHO2F8p!XB7}z?|LNf^(KaUa?IYVm~8Zj%yhHyP3Jl6Dq6Eh(1k`yCrr?;ti0A-d5 zoC2CWy^(`)>&u;Ri0jzxIp7m8;~k&_v22R&x`gZrD4nMv0e05S`j|RAkegsUgXlg1 zHz;B;AC1{H8C*8?6JUEDRXp~#0G7)0T(R{G$8Y8P=mYvuMS7xv=dh8(%Uv3n%w57h z24bH}WpzZzg~I5#3kUGVlra_cXoi#V44@;1t$(|lm(MHQW7vP^$Xxd0Ulk=x+(+`X z3(^W|N`|%8kmCiV$Nk~-pNSA?&JRBckWp7oO^EQF-X?9T(u(Qw;|N$qj4IJzRU$*!t%D_yrOT?o~B-j=j8 z9=-2!+bM_R+f#M!<|Vl$==N`Wr}^_o@BC?D_T<8ik?|ROK>};IFiwV7JSV3epLBa( zX-mzqvt-uzVm?p5@=Tuo$F}+Qt5s)Cpp;2Gg({-IgBx4cgp7xn%3Z*_5%RXyg;6DSy?9TuKGUqj+;PI8}0crl#*rwb5+F_hyerY)NOpi1a zgh_j#CBz0E`2CB8z39bFRcAWJI)Jl-g1h2XGCE-JvxHyK?~u3X1+q2#;aDg7vR(r` zIPkWHt#7S<>ihe*_Xd8H+`)FB>{_(wI4MkqM*9k$cU(Ml%25)1okU-kx`3%t_?EFm z;l{`enhd`edG+n(L!$rR%J)~2JLK)!;tx(9WuBxSlp?2-GLB^;|~#9FE6dbTm&TTZZA?8Y{_HcK+SxV+U4x zZg_gv>)_S^!}_XY`pohC6PwT{0nf|bA#@WM*5UU-V1DsY0onT285jB^ns4`=f|x!HN_=L+7OxX^7}7O_6IZ$6!t0`JE$H zc-#@&B7NH3m-}gVPjGTNr^8q|kwdQF9J1mWh+p{TXHrK#j8ZEw^(a})2c`-a4F2N~ zf4*#9I<_-r4bP8N4St+rWOFKiOgThH+@ely_zjHW9 z%6Z^uRS=kZaVMxnw~)lgZDV^ZY@0cm>|0;4m_ndx-b?ZByZ|hnZod_|OdhNOA0U7QUI&$h;Wa8Tdj>TY&&Y;*m z2Hu9flaUp`b;Gwc@qLl!+g9AQ=n`8Qd4*NxV^{D!T#xsP(sX37w%poO3_I-n9Pi=* zqpo*so`0@i$Y#jyP~wPLhPR0+SEP%oGYz}v4)?%)EP9^+t!dGlYhMytm?Mc{oa2VuEM#J}Q$Wi_ z@6VL-oh{L_nSptsi=9D0oq<|Jx{kDS_n^Ae*4+BCy)9nSRXk(Nmwl`pb;mZjTYpkq zsK%|6u!6xoz~KSZ>78ys7Iw7XNa4=+E3uzMgw1WZueT!772_wifcK^LNllGyBG03k zQ3NGSu{n~0r!Afa=N4Nt@f|vn*ns1JrVo`|saLhc=#608A)v6wLx@m+2{+3$O7DbEKdV4yn3J_FW{Tle!EXiD~f@Kz;ew&a0r9x z;{heB#wJXXPOsb*RRGez`jC=HJ?y*yP;gU3s1i2xDy&D#2j+T=dU+-=78f}&6h(0^;#cU4# zBASE$=vbD9-a6w)o-^%Zo-@9btV8zvU%flslJl-{41^!p-5}A!1p)P;WQHR1~3I2)!mddZCvERxY&~L}kmpl8JqsB-J*ox;mTXO68{kz@_?0OSS z*C0EEUIkGHbK|S;^jr*0e+|zzfPcdYvMwlnEnIVGG(2_aJ@o3(ve6*?Z7-p3lS-SK zWxM9TgB1FI^S-dy@b=+;`^DS)D;I@$Ie5osm%%AxNpe((EVEZ=p5smYYU1MTI_{HMal4;|_)STcHs zUk7-xSsh?^zhLQY?^rp|Ts}g7>|O?Vv1Jwv{j$kKG%)}oFQ5GCU855%c?Ps4>1Wd5 zUIWy|OObsb)b^o;GtH5@cLGmpzd_RtZ-$~%=*dAT+%|kT+&z7`Z}`EA!GcPDh-}t| z$fkITo7Xw|!HG>Fi?#T~0f|9|0wjs7zACS&5mr>XWT-92vDFtRFCFxx7=@?Gt z{2mbFnalNkRlj?5&>;;9E$s9kbpB%_(4hTR-ePQ*sz80Y#!!%UfcuyG_xwVn)7AUp z4(-KVaPL82>L5BPTm&5k*!lH4F8m#_Z$9R`uE1*p>y-UdCU2T$^CMM?08`m0NUn|+;s+5;fY4~5_jG!@4aw>N+u zQ|GU#+nW-4pZ0NEKKn}O34@LM(9{W`djw?Oe$-{Xr>E-N#EIO-_fX_aNAPgCBXqcj z081Zxv9&Pdo^Ba%FF$gouq*p_pWo0&K^M)7!w|BbyzrYtPicQu3@|ewHyyo#u>Gn; zZ%bJH+m3_(F5H*@r9?LGB>?URgOq_m8aJ-IghKkVq!+^LL;x&~ESC-K^rc2<*V4(l zGmYSpx!U^_T;SAZI8+)0?fCM9U04{d?{nS)Aw9sXCM1nd*=slZAOj7 z(bF}C9|ffgbgUq5hqpd81csa~9R@Qw?EsE;wFesX9CBggGYPDWt{-%O zyYp2rGls{+lX{DBPi%{k8lPWUdxbA^`=M3}?2LtjFh2Ld>#sESMK26N7@lBW0Dx^h zjL*YiA=y)7&jp0PTcxjEQ(mrf6UJxna;U-ax4p^pdKXhu(v)=fJa7lO(;s^>%)j`7 zWAv4Wre_M4(RFtE{UuN@=>0?B;cuiAnB{!v5-g0*B#h7GBXIz~ZR{-j@~pn~`B3|f z;W>3;?f!k}@kqQc-grZQxo1e~Zv=j(hUYR8ipZx)$9+>W=%ezA|CPO8UjEh_uT|V& z<+KcvZKc%!Jklq%mt|e+r=ulgO_YmS&gadu?0E%X9CTfa>bPY@%OFOUXN^GS%hm`# z!+jBeQhOtyM4FYcegkZKbSVHR2hoANU^f=5-OO~YLfGk@O6OE!gS$5xD(7W*N3Y^7 z&;aXgry#|&I;$F019jLEI7Fn_Cbp?UJu+6#51@5Q)~NDWjy#s5`3j>7V%I_4?&>Mq zB+Ih{SrXeCgZM035R^(`7djRLYkwAMKjmt$*ryQ)G*k`(_`Wi!SW$|>Sus(-?{?Hr zJXyIz176dUF@^s#=FD|80OO644Kc971qWE+wVn)Kt{%>_JP8!hq|reI_dqOJ37}0l zXM#;hF^@LfYHVc((Dvql*uOgFZzAExe(>&ecKhFM&@|I_V*%cqO@VU-8p<@Dh6KLU z;(GW^>vVHMC(H@p6o>J0mkpHRGXv#K?T_+R=@~jbC#LcA}I&U82 zszuh655ACbCQZ(>^8wqsJQ|ZsED(!gUTKMF@Ctht>-{pv zaPp%Hr%-81i9p(W^lHV@N{iT<*567nN!(2sE5&pBq$j1U)cba`xK@iv#JNhAwTib* zGaSqGwx%~wRMDEqI?YtUrV%bW`nDm)GR~FgrWwx#&zzclE+g?t2asi`tV<}?>J9gZ%bwW zA5m`u*Tk7E{tq!CqLySB!ayTtCPSDYFNUTz_PTB6$qH(IwYNG2FN6tdCv24&Uw!Hp2zTOfEye6vcWz0rBpcB7pZDkw%0l{mZ6co;#vRK;8knm zz&j-VYJGq7wTJqn2kJjNJM-H0v%m8!gI57b_W8C=CByyq^JKsu2_cAYgo2-w{>;@7UwQu;-M?Yo$ z)cQ>Z3-{IfKQj$!&;t6c^%p89_sm(Fr1nqiXJQ#7+}hXr_u=cPzx%DTYI^;$N2TA> z7b1XZlm07lZ!|G83;?!uhtn=F(yx2>4mWL;(%zQ>>HWX>fje^e%$4El{XlVp4W8LY zA0Ga4(}p+sZ@tTcaLy}RThr0MJk(@u9F4*W(U~iiby8FG-Q$nocPgZ5za7xjGcTNd z={M1P4kxZu?ifzY z9)F~=ng%vokN4%|hDv@WcJ zm^-}Zzw6$8$AG`MNrP)jyy@5hBsla_roYnXf7ZHfMxHBu`^+ndyh(#={RlEs-%NX& zR!NuFgJF_h_dCx9Zd+o5$2(Ww=vj95-7D5p!?4E%hTxrvwDE=%`mI~9443Vz_Il?w zSMQ6?l~#ujU*ONS0?aPKr;D3-5X7H@XztzW-}L7F*7IGj$tc;6m4a;+JSR%$TBV0x zs(mPe=4@~LEL9cVv#O~S^!AJq(Xuyzd#IPu{hm}cD*m*77L27|NEzrGOp_g@(gO#( z)8RJ}ki)$t;J7$wy@WF0vst>H|6_A-E%WQ{PXEzr3E*a6JfZgJCG=Z7*dzUb=^w4& zCT~yFMXLa}4f*(0cs1Hw9R>eP$D5P%AB{87$(LrjXTkjG1S&1ux*Sr=s!8%n`z0wymr2QM{|-T@c>3=BSK5b*Ab za7QnLZJVLMc~5iR;O!4(;BgRfS-cK+F#fgHm(jBN^`A4|Y7#6z>J$N$~6Xz10O;>|MMNZ5yI>gEAp z%NuJ8goEce|9A1Z^&&(}(^(;y@L?^=WZK-A*$Ak>a`W|UiiYs-g*WCPSV_KHL2_w^ zzp>h6WhTfQ3zycEnbkB-NZy2xA|SB)<_riliJuI9^ZAdzj(?E(^NmA-IW{*FvJQ)u z09O@)oLYDUB~gdK5Y;6iM0{a12}wFyr&UYRSjQ{y=_>J|^Tzuj|K`S5!KD#mX4RBn z8-d_6=^5~8rYzXbBH|{vfMf|GdjbANB;iwu95WP}firF#nTyn9?i!9P6Kqa68A@T` z{xi1;;o}T0x+fV78Pew2zA^V%5e9HX3?6*+p*7UC$z zA%=`>fbM2#fzI)nSWSIU!YH7aXz=>7ibDkcNsx8%*^qfFgu*bO6yn7$iFw;BYa%M< zqg{M13ap_~XaJJbb&wGQbODU#VQ=G=e`+yZz1<1T07o{ob4J?ojo)%0r2e}D1e*~6 z&`2`rK$)Mv>u_234P;h511zpBaAhGO^AQS><;=Vl7T3%Z91KfjdK9d#|NHqF`M}>a zAqqY#BOO==GvBB&nN=zC8>lkf?_YD>_igycH-DWcjn{GtH&V;yt&=CJUJA1|lbR}^ zPvhj>!i9+Z2lH(btv9U`3phgAr1S_1wc=?Zu&**%FZ-B2eYv2D@AHT8Z3?oKb4LzF zTbx(1TQ%o)$9m549GDOX{1K`{8t-vj8jr>eROMbjCkIb=V|3PWbGQ^b0_ zd1IP3jYy5GxGaq2Ksh;Q-AKxZaJFMg{~2CJBO? zT5(r88dy4SaPS-BkAHjj&E_Nq8s%DLzS!KIqHSUFOubqz)R=hY>3V9=tp<`Ga=FYx zbfB=6E0z(5GEqN0%*2lS9WgHi>S7Iotu9NNV!p^>XNW#Gpk<)@Qf!fz&X%9*wrLe`i%c$k%@ zU84~ZLW=@j-8sl3EG&TF+fw1x3Ff^?KRD#Y#~+?VTsmp>NH-=DdBRz zlTB6TOZ;loF3yw1=cTULgqfNzEaWEqdog~M0H zBXQJ1-qKOJKn~4%e*Bw3eJv%9xtCLn2r0$P>J>KADoGDYHgA@4R%i@G0yUDK0zl&e zHY92K^lSJk8R=+^4pJe33V^ePMHT0CyE~NmC~1gg%k#-|k~rZk7ReUVA5gZsus(|s zR5?<*OAv`ewOWt5`fhb>BfroH#Wm5835%~Q7#WSswpVA08Bu#XQ|ifL7*&>xLatk& zA%e2|;h@w-x72Rdkb8|n7s-w?)Ez5>ypajW{vT0(Nv9t)k_0+!K&PoitjVX!_dQUo ze6i!yH@$iP{hM1&^E?&tNj3L%aP%ClOBu+l5Uei(p^+oL+}1pv6(I;&)m4-SH=@O? z9%o7SNs!#x&M|Bjau19_p_Z*qHk%@HRxnC)tP5!eB9gGPU7aZ%lsVl?{p>akU&No_ zE6@PU7#gx$$O$!bW)Fg;1Y(GjD_KVsV^$m{A{NpU*#IgjS8H^;5H*<6C1sPGOf<0Z zjnn>l3UZjDW4Z#KpD%hw)Ot*2!B)5_OIM&0dW{w92O7*Hs7APNrA`_Vl+BFVTE~ZJ z%?Gu&Q6XliOW$lzM>vs#FKyMW(n%-|X$4?TPFX2Q^kh>_0&v-vRHm2 zk5@UT)FXEbO01X4#+~|@xoG#f;YCA_a$U6=nEuyFkp8QsOsIZzq}8lOVje;3Jd~g6 zdqH9}g|`d1;>xRvC=7*yJ+-+-g$&l|r!7Jdw3g&p|4r zrd(bK+60%&5i>Z)2ychX$Pb_im@%G)g=<&`(cW5vIs=)F113VIA@7#ZIysRXGh;;P znK-Q@0uT#9FDI>38zc`BEbg*5aU5?mu|%z$IEXaQQBXvDiy#%1!Z&g`T`WJKYXi)< z!=zW`lxZHxg6l(Ls`7R5n7*j2-yp zc~?V61rzjFstv9Wdk24wn>`&|u%#iw&#q*kxOuP@e8#UTsS-}Q-FU+7c-8yC{!l~u z4(>mAvu|jRWsTY+ZIT8*7z;5`Cp+hJb685Zp?oY%=OaIfMwSn=T?)TRJ=(BO_C#d+oIl6+}7TOPI{7d=IGP>WZct~leJ zq-Hl;I9tO&vS+jDOMRJ|ut?nVtv&u?JiBkO;Ac!H&+L>gJTR$Hqe5yOon{1skZPb- zCf{-wG6kjlq~3pfku%M{ulO6HNd?M&c^IEtU%RM*%NIUl4&nBJbiVovW}SNa(wj?- zTz?!L{wVLUvRiGA5J02r?K)o_?G$9bRW`j#O?qMG8lM%F3DN;z7r zaZ2(GpB*I6h^@{n|?l&ha% z*JM>l(EzYw>D!giIb?Sm4)YhVUtB>16)HlCv|Hh3^ghYWGSg%pmNY}^eKu3f6m-^R z-yw|c7KsDOLmX7N_q;J6G;+m?oHW{T4x`q?B&P~OEyDf^#%GEbaXV>orG6i3%4I7SK~&-B~MY@b7^2|{Ri`?AdZE!!qyL|2 zNF`1{sye1?OvIeZ8lr;WV|5h!lr-PExELZuS-wPXlJaO3_$>c92Fxd*L$!uzu~Ta9 z8L-mIynSOxM~ZVDxX1xHvOilP(vyl-R&@hma=TI{W1}UHp>vwoO3t9yaz7iZ#nXry z&?)oPY<#C{+iiOPQpwq%4GKebyzH`3#q&WL%I*1s1?+-W$=utjm>he!kiV%_U7+et zi^i5ZeUE~=Wq;StIR`dNho$wZt6=Eow6jy6Wr~tQTt!X?TA{V%Yw4(N(4)_)=Xgam z3S;VSBYSOglRTbx@6PWgFT&3rIp~l*c`EMaxqo+AcAev{Yf`ytd2h*#iXk;UKVNT6&7f+KYx-_D% z#07$>+1_d2UOo){Um!6M&k>4;$!iw zF)H3i_;f^9q914#gHi$IE9Mm=)fQuo*`!U61}&0^A;Cymuu1mN+3b)|tmZ13Uh?yJ zITM3wpbBtW{eyehWfp3Z9V-DWz3BG2^nOvPjr;APQG<|8+g{_XBKg(qzyGEzFZ}#> zL(U{*m*;GxB|#!s$i4#m|GsLo_Ny5@p5kEz7D1l82Ioqp%k?@D9`FzE~kxo%COcaQm<~Wryv&abb z)IhImUZG({irqpENb6~T zK2tAC!Csa@dacDuFQgUZ>&H+_a!ELdCSxt@Xv6 zjTOVpcJ_7&mv5b4GgCTwC}F_Cho!MD;eJ+k#x}Iv72+21Vk*>~eGn0`Xue(n-6W{E z(9hWj4z%hfEFVVQq>S*tXppXA3RU{lEU<*LVEq*Tc8$<7{4Imzgfh7-^c8 z=PL`uUJluMz3c=c11RIr=5y`RY0-U#P39{(yl*XXb8)vj?x7RQ_4QIK*`cf45Cz+!MIT1Vjwm9H+e&qpk|m=k!k56L_rCTc=47ZnJL!cIrHPDI1 z)&bLKqUO1KOsNn>WOrrD?Cj%DymQ}`C!f33sj@-&Gt#-dj}sz5URuP7n2B)BLI}JQ zS_z3U3%r=4o6y}6f(%Y4Vj=(0lnBwVJAm_7(i+2rhSrUk?T{U4$_n8(^mv^ZGu=j+ z>;NI*Ou4MgUqTJULUnN|Y>lXtPGnv}p;ga~VLx6jC?Vn!m8VyU77LX8#S-KkR){qM zTUFr9a#nD{5^gO>9+QgA11o#Zpxy*Z0r-CuJ}7pROg7030n3!ia@aQkz;ChYABZ&cjgFAdBk}b?jT3UC`o6Q3dI_{sE8d9b*#gTRYvAu zZQKZNN`O<4m@h&h2^x%9(IGORq7&1D^MnCEWzuj|FJP!bJci5vV$(arp1en|!jG9F zOI?xF;q0)MH{n%`h;+mRw*umg!HEEVEO8!3cw|}5l0ra*fUe~vND4|A_(>D^q8bGg zk<}-JWuiueThx4zqni(30T%@ar|o7%MWN@xq(+Q%i}Mc=1I}ClJXpYs2JMe05`r!Z zu6h7)AelGh7+~WJ7+M@L1Ei*n&cN-MpqT-og?vN}3D<1etz(qE7VoIRo9AEbt zRmySti$z)!5`*qAF+w4yY~Xl7^6f?e(0S<`*-a9Iit4mcCf05O7iEqyQ;!G{f|M2F z=TqvOXfQl2`eAG;q|G^j8im^hr^YGyOvF5#cvX-Fr7nioDxonkp9JUJ9i6J1ODJ+g z!8tmlRfJHf8NeXgFjA3V)9Fc8`y6xx6^4KdA!VL^2@v3QEO6x2$X74@9q-Hg6_dGT zI7kpY2OeJG{t%Ia3KZxCkn1aCIW;B{kc>`^lVG*ZET!4xH%V$X4KGNFE7K7OLV~S8 zH47|9ijWrapojv%$>g9T1yZYy&+%a5j9#^GBu=wA)bp%H2Q(v%37-zYX`BZcxn00&v4oDOK6DU&ax1?n2R zh!2rKULgtQ%P;`RbcdzI zShk09cwM%jXiUk5c6B5~h?5et7+E+-&XPHg)EqEPGr)%de9LKt$Z}!=quwlmW=#4V zr1DEu@H$J-Bb>!qE{VvbS zX@DE)psoX&C(H3r=^IWS7dO9}3mr=l1RHV=fPXNDTQQ*UPKID)0Cb8Z@mcEfhoS3^ z|9A5?;erT#B1C9K-B2hCF`-~Glx2d{Z$OCUMsSp`J60r63SK<>v^Sx>?2M3OR6|epa?xB#-P;= ze2r6tFOsHs=$$M~LONo=KodEl$iY;nEl3bqtZo`&21x#^-hVJ%dHo%?ZjdDAN9gQ9 zm8G+JqBD^z9)f`lzyexRPV$?H&O)2Wz{ml;s%0<(XvS(IBG<eW!XR;PYiq6DS` z%wNFf5r+_Tscfd5!{AU92WTe%&$66LYDLzW)AwxMSm^WoTX!rgF!L*mCA-R*0Adg~ z@gq7;9S1QHB={0JSTp=iKwxdu&`cM;atxeJ;YB^RFK)>a$GR1G|n8Ize^Of zk{dcEB63WeOpvMug$QnSMFI3!iFE7bYw!Ji>Th`mUBt~JTBzzHTbXqbja(t$z2==^Flg@eFDA7bK_aM4_Zim z-$r>_8E~_SleA}P6*aW?j!IeW<;~MnvYu8BJLcX*f+xX@h4%Fv^n`=uL2-&{ovxD4 z?ib@#Mec{-w>qjF9cOF$1_~ww+3l}|AY13R;2EIPpS1?;{Be;?MG;_u)ede zXl-Ema*HFEo~`SA2OUMyrTuLKpLe&EmoIF!KgNjn4LJQ_Oun+U-KS<>G8&qhE2bM zk@aIeEycWF`B4K`f{*Ft2-~Xk`M0@S&r^Td&U16>+I4{v*1eA%U(QKvwQTo3_LE}D zh?4J>oLNOqVy=BeN{f4NpL|!ia-zYzGIU71K>4^jLnFUNJ;-+Q;+xdkJx#ot_|!Yi zWh+U1iVFN|$IRxFeU1ZBdz0(Lp_is*zGZE>rRoAs34O|ewaH!lNPTHLwOvZQq0TlG z)-+MBhIAlI8rv1wWeyP17f0WwZkjyR}Wuqc&leL%38XlI@6J=$ORdDv2 zD)t?OpVo72Z_T`t_tWZIvUy5K50pxQ;nbRG3dFEq*M_mW>-lX#1J8L9Pe{9c-| z&fu5cvcHV_JZrhP?0x8EPwo1#bYPY{+Ib@AU zVe9na2llRmr|1JeZ`t?ObhKsZx)RSxzE=2yZ<~jM-!^PR@p`z#@pA4x>$}k;yC(Tc zD^9L>|MeFd*hSJ_ZzJnQiMPBSZrWRZg=^xaY46=l2&bccrRL$einZ3v^HqMWwa432 z{(WifTbXBi?cSdCJv{xR?w;ZvepwKmqsvz<<8MA$;i(3_h%03oy`Y{eqGovM+(X_5 z)VdeHz@@l;yt|!Cz51o}BI}Ncx1PSa@mqTIl?!|Fe(}I9i6J6jstq{jD??!v6a^A< zKzL%$xe_YF^hu^HVANrqFkcvp#K;`y!ZAKaRs^g!L}*?^qP=b^1f?az6G$$^^?cOH zWb=m^h_P`&ynx+mP4PO-&tSV2G>FW8sNwbC2SkcHP6K+ig@jy?Xt=af6k)?G&_HtV zHv=@=63E?EQvAl2RZzdUJR7V)ggBq-reObQKuUD+^Q6V8oR9-(PXP#6Y7V=JU;`t9 z&4wo=Gc%tCIu%<>`^&HeX+j^mY5n{nmXPP^TV-8E9+r)u?0vv5M!B>bvPuOCi!|FQ zeyzOnkd*hUC%$VIE#fMeq-d(Ac}~(C%pjDSBRD}rvFKtjDKv^xB&NNAa$yN*O{7y3 z3Ydt^ri4zw2_3XUwr9XOUs@1@6cN9H$(4}61z@fh#q4gd4d`+SfwQrDNYuD-EuP`T z+p) z;UUKkUJbZ$hak=E8tS=dM0kd#W~5jjBiD0d&ZlMRVT-a|{7~ZOn7-22VUP6@rblYX z^sqpQbO&>xJ5>q$Td8FT)2Rxkt#&q2&5TV)?DrI3cFp!@64@ItBoE2L!1sepv1Biy z%)-=1e#+wtSm>ms*yW3`zMj>hlG;N-cnf&L+E-6A{wlNnB>Ta1MG%eS(PU@48vK79 z%*2Dp$jv7gaz3e$s;AhIO&rHg+yMwQW+#=r$5q5;a|>ZzmoqUsbwA19wJvYXvRfT7 zU~0&-aFdRUl54^KuHN)}Nf)&K-x?9?uMGFtBFEBt$mcZg7v`u88OqT}9alR1+32&& z>|9MKZ41rWKB6{qjx|)H>Z`!nHSE{vi0l5{(i!8?_+D>(4^<{vlo8YV#9I7@?CnQ% z6kF&+o;eydRbVoha*wg4(_NRCFfd0u^@4L<9aIf9ztEspCDu z#nu6-9I})fq*;j+la^ZPFn#@Qp^xcpJ%rCt@$NCXBOG*(T{sYU?BpY;L@=U{SHB>Z zpl-TN2p%&oqmwJ|p=Ya&X%yhx5PXp=sR`+4_7C1mhwaO#L-v)uY{0^ZJGtaN48Du5 ztFQpiagni-X4m9H0EziC{kle0cPQEHSsnBkr|@cv9I%{P;4^q3QPD{ZRzc}^3M$2K zqbN&Fi2$K1CCJFQrt!iWM-%NEjgNAiMle|B@|%Q6-uA&;GJyV{Ze7dtL&Z|L?0uJ# zem_~)>#W-1uWIyHcAqlS6B`)1xykqNB$c+w=2X|}MrxZf+sG?bdoAqWYVyp+Ji#~$ z`*@qMG*V5wN7$-*RQ1tz;fyg=uzE5cj}*sy6tl9j3Y&_>Vnq7^-SR_Ps$!I55mz!j z;Z6qbGf#KH+GJt8r@HVQbFxczr3~r5W1=Dav>-jPb)szAhz>*gsf$X(KDsinh7*%@ zCk(hl&jwo6rUOvv*PNK6*YJ(akfqhSot~9;!C>&*HC}bjh|U;im=jA~we(T0klH{e z+s1-N%u{=7?lq=iENnr)!pRanE;5fM^G8$mJ8C*z9fOPU_6mHzXdqo}_%!S5&rc4R zlLO1CYSMO_(R9a2bD`@bqhDhzrGAy1!W>jaPCiWr%09B7UAE0-n@Tvo6b8jI28EO2%LXJ%9qk@_WUs7^K4_@{27cP(u+7l+*LjD3gun@rI1PePBN~g-DQHBh<5_)bPcj)QoL)V^v^=9j7 zWj9(Ri3a3%$?52B*5TadyjzUbp-hG+v$uOtcn|s(8y7kQ=o4{GA zmO9MT7jW8qCQ++zewCV3{GpawWu%K~}H5fgZ~`Hj9ZIgQ81s%s$;}v zGp4u}ofaC8v*LN!CcS#MAe90BxK@})zQ@haG@!$k5R?uatR*2M%yU0E%Aq+1Q4m#D zK6W$6ZOZ z@1Sde2vPjVrX37(82QSgb+`TbVwOzpO^;j$hGQy+HI-n!x@WVqhggt^sqsSQ>> z-SV<$N7dFju58Qi279_69a*DqiYEZ+)X;=W<2@3D@jt$MhDkqeRXXyg%AfLnb+@PW zI^U#^UT@uy8cwTC)cBLq<9!!m>2+_P+aQt`e({v|uSK(blVEgR^Zr-+gVCeSofFL) z)(@u1e{XD`WA7>cB2aBe%my1RRr&q3%;n`J^-Yxd3cZHA_x{0j^xxfsbES6)WnDmK z8pX$r`fD`>1(%|aGqbBpqqBEb7kRFfR<9@DQ>KnUYVNxbtN-X)rf}0q{z3F-N3yeF zbaGbh_j)hHE{QWAbtE2!$oJVt8@Xy$zxjt~8J|jHD|?x$M*C)&N{IU3N=>;hL;U+A z%wvqDu#K6CcA_h`rlO>OS<&RV+6%8$&4vq0eo_2=OLeK$UVZZS-~4ICm8;HM1L}jB zWL`i;X(av=|Bf}H?400&({;Ud3Rw-d{Kt<&F9^S=@1`LK&e&#zanV&#yna(~t?PtKh??H|YhU!uV+(MRJj9UCB*y2mn zH6Fn(TYN&-AqVR=uV}c$qR${LJ)Veq_$v_Vk71>5Fpl-OtNv&ZE*wB#+fKrQUE=CC zp5ctmx6`EsQxS5u$-HUmHJHKzkJUtksa3+@KecYv&(QX}8eGC`y@eSe?QYuD#!c8u zATD%gau&00SOvyHcj(qhWt$?5@w|~v8>{H9q!e?1CI$^?7n7`m1$!a8m}x^~8m37D zJ2f6d7D~T~uXKqe!ZLjr$XR(bmq!ctn3BmTQq$%!LkN$|{Tsi9OT?p8`tj5n&3OFS-21U7Hhk0Uyb*y1CKphNw1NG3CmGu5m|9T!0ewZ7Vg+(Nd^J@7+{Vf~Gi-$&_ONrO$9v(>FOIrs*e zo5lHv&9r}|e?w&dqW`$HJpx-rAuyd_5eNyIxD)O;u>@|EDg_`eUrK9wxhx%00rDd+ zF3qh6>=VIdQK0}sJ2zLLlu5vpX189`h?&BKsRaPHS(wxD1=AQzD1)pO6~MO6rxl(T zAVO1g`bDt;5xLTBjFTtE#L)bDgmT>cVg~bZrx-*M)#%YZ01Qc8a|8{F#b86Z5NH&` zBA9Hqkx|`YJV23aXu*Ir7KW*vhXnz_hfzT{ma`cGjHhh=HU=qJL9cp%3xEW0-Y$q* zp-NfM2@CB4rhyT7jdQ@sX<+@y6N|5mZoIPVxmyzhAHia(B9@ed1|TVUF}IL(xVy!l zk-T%F5z!Tl@COd=O&-Pm`{44^Uf?gra+rP;4FUtofl4TzQVPAe!PA>jAe{UazMjiQ z1C6|hSkusMi9y0)NK+NKy9GC%K)|$weXBt;psne7kT^w!(G4rp|1gGZ(Z!rR;7l%! zQhPX4hgGt3_0k+Kax{WGPoPxeX-Woz(<@4A1ZRu-5T`VEG1K6xD2HadAH5#eiR^Hw zB{V7vzBN#9l;K9@Z8}YP{RH(e;@i+;kKMAF8lC)8h|PCSYnO&{MMsD8gWP=PO+|Kyowge$gM^7r;9 z0o-#Q$1c`>*k3pquPxc5s-|oE_ zas7!uLtW;75o|K3hp?O;Z!lhT2UrU_gYSqS+g{Xv$JseY-M#$+*h77;__n_NmL7Fm zVe>xb2)T?6?~GoyK0zPBZ8MH%nTe`kUDzN$(RrfhFUE1>dD?O6{ph>+_tZc=^`-dZ zZ%){I##iFG@wu@+e?KzLJ-rsK;rR`W@>96-$6Zr+`-TZ``=(F2(bxKM=1j?)t=8B* zNjpo}Yc&VDK{|)el6}%O9#u`tDIRJyd+IQy7iem*Ice_T!mN z9lY=T1;q=DuRXn;w{!iJd-lSL^X>)aKbh|}mrd&j8_h=z>3gfJE)@KTDtm3U z`V%k|tbb~I!g}mSLcgG#rT0B+n;2DQjav<)J6}KYY|QPtWbartQ;>Yn)?l7ll~(!2 zYUixF>fr2gYYlsigEkYt?)v+hs=PaAZw?CL5>iiG>fgdeE(Rl$)E7|0q3?_LK7y5O zz|#B8QL5?Vwm@ZSoH_9b)PuiltEDg4K!T@ekmS_bU^UgQs?(R%uP5bR2Al>>j&XS^ zGKxp3Psc|Mp8|T=8@Trre{!|(@?KYC@ow%5{xd-FRVCcY_AMxAL1?4^`~tYjypK+Q z?;QbG+$~rl6XRVY?7Dn^fx};D{kwqMdv}6lOV$8}UkzB4l?METE%MBhCzy}kbJ2fk z9mVp2-S%rKdw=u@_w=R(b*oid|9R}~^X+MEVw5w~A?2q>V|5LW(RD|w&Kbg=4+BYq zMjuyPalkTSeDeHs7o<1*DA{BxAe|YbXu`jH?-YG>)Kac((k%++P0{olsa%`H#rqqT_&%NJOe^2 z8h^sSf6G}qwN%&>+_5Str;W?$9rXRLH&GAD9kv-Nuu?C~*&^l{TYA6g_k(=r`Clos zz1v}Htfhec;>}0TzB(KGp?T%=-)Y%ir5VPp|SR$NF4J{!+3`E%U8p z93xQg96z#iVrkJT->!2D{;q8 z75?3J9@x#Ba2r0PfYQmAJ^UEmL>+_C_Q*sbSjANJ80{~bm~#ldt-_NRry`x_r(r3k zf5l9l+G5zWg+l_;ovx<`f_yl6NdS?-zvdCZr|OfVXtk9b zMbEU|X585RJz!cs!stDY<~84|pvft9RCzjo;@C&_W1AvBY+p70BVV7e*S9-xnJx$N zf|Z)Bb-V&13)~|Vx(s?@#^#!_T^=%Bj8Ww?)AhPnC+%!hUO zjoQ(~Bz@RB(i>mDoNnwc1Jfd4!LwEm&jpR%5 zNrf3HgBQsOu)rbNv?2DCcZwG~J^q*FYAXbCO7vW5xjH$N_CeU@urHkW`IhFQTPPmG?yt*@U0~!{iXWKaqS;UjDN>vP5bmm&r`)+hpctFZp^8 z1<)?(3WXP z-wvVQ-Vf_3j+t18X1L?J#b^?=srkw!i4B4W+L91yKUGGtVJifT@WA;OrBWYfkr{G< z)k*RW@p*<=0E@VvmV0TN6z`>}coUZAA+Ce*+{uS#c;I;>e94hm(#eKBGOBl(S7O#~%rtCZLat`X2CfgH8F-S-jlX=WKl?p1-Za>SDk?f>E-B(f_{jIe3@k+L3g5nuA62G@KyRoU zhZ`WvKG~n#wF=wR(GL&vzrt`CUy3WPHLS$Dc24w+ukJ;Eb1>iX^^jwfky#mN3r$N? zHv|^T2n>DAoJ62D0KjU_QA5KT%MzeBRHQf-_(YrxgC;+9wo?IqSLSk7_n13?4pm!VBdE`*CgRxr> zceUl&Rb1ZMfB8;W!M>BAihClpC+=nN7en13D$pp^HSlQK57?xSK~|~b%sKHQqs%(Q zW%}rM!)w;cvPN~J>hF%8%e(o+0B8*vSp_GS(d#}PpOUA>1BP9JWwpVRm9B>A)ZIL2 zThtVuJ}H0J7^qMKe2#;M-wmEfmQ3r4VOV+9Xn%9)XrF)P+S`*Inu!Rj0_m;@0&W6 zJX$$~Kw2mO`_bh2^z~;#*RTBNt*H=f)Hjv1s7eiTbAJn_0L|<`;@-ogrQ3ZDC5j-E zwpilm+v!Fq)1#OCR28mTubcMLb5J^=e25B4MYL2p2XT0gcQ%8se>tovVQlB`6lR9!1D8<%uW({F_aqsEwZ(;|z*cQ>BWC_N zFz*f2zu?jCttM9`T zOXwwd(u4?zNrlJ%|B4=B_uwxOC`pB&`tgmQXlLf>#sRTow+^lI<_{2L8G_H#KyW04 zO=_IrxPU{1hX%MhHxCVLNE0{S@ZDc-{9WT*^5&neJon9~w*;NVNDb7$!wfjvIW@;4 z*%$;`-+-jARqr-X%?6y~#P)uS8Ft}F)*i(`)sW5^nPld1{(O#C<@rJ!93`zLGB&73 zBp(u#!9vWCwV=d8ISCC*R=410S(J6!SM5%UiS?1H6>Ez*N>ZjOvz$K@A-Z4$LUv4m zRzizf#n2c#Qp_%vr_mgKmGJk$T-b?+8c1MC14LS!!Sq5PGE%l+NR){Vf1ZQ}Lqu!2 z-UeOxXZI0gCqvR%%bT49PkrcYhP?;246`1AKFtx`EX3}{%dm+Ye2$!eXxl;4LIwy} zXpzXWI+bCMvL+?RtlF!u(*H2Z6=l#A~PYxm%{{6ODH)lVu&D=ET;y@COYtpP);UGM|>sz z{aGgOuJ7VnuUM-~6SEn3(zS+lgI{_w%$+3u>st}@lhzB?x#4#vqo45Ci%+r9{{uMG z?fh4s9gKASY#(~|EzeBssr8?4oh$7>i(S`SPvu81t^X=RPb%dgLZ#v{&xVReFAQHB zu67^xKXUdJ6#1i=f%ouVNln&?f2&AGGu$4o48M*3+~}S1&2V2n3WYB|ZiTCXlUk2a ze}A_!9jyj_QX(CFd;JEF|I0Ovo=2!x&PLC5ezOfuy6!pUlO}&K7wumqU3fi>-|?P# z%JroLCq>@@cGCU*XA_gH*S&ud)((HXDZRhX`)BL%E0s;_ydISH(f;TQ$NwBHoj#jxfAR1;rPjH_)muG4 zGurC;#0p#_>fn_(8xO-i3ILQm8!Cg37tM8plYeITYP9P9SJteDd-09~&WSt&m?gS9 zefC~zczR!l_3O-8pATPs7l2Z8cfPH>;x&9#yulm2zJ3FBVcO6!oZbf~%*|9)R(T#g z+>h|wyKqPFy#QhYVI^igJFHU2Yw74am0Rb^%J#kOY4UjA#UQURo?X>6jIUUmm^Uwb z{y*B@J}!>yJR6=}76kfYXBg0ckTmD)fCdDTSg+l+<1{m82Q(lgnGJTZ>cnDAob^ZI zN>vi_)y@nDG(t!+YvSNGA6|?ck|uFcC=Fu zR8HdLy=K8lp8oOv_wMg!FzjmCx#m9ieckst_jP~Z&I7kMEKTfcee9E|PiJ0!p(_oY zr7rf?qYtJ(e=B_;%@=!@>To-j55B+Y1>BDOuBJ!d{MwDHfsE1_d|4voY=Ed**;_QQ0@D-RNTMjM;9Khc!wIiuakH$QWPUxTLVvh??pc?S!d zDqZX15K)>~tbeO(3mMfRIW+ZP(?kD6+jdD4m!__Ax+B9{E#o!-I#9A<-cU7^IvDJyo)XD=NKXLhFN$I6nXcN z7g#S;36DXn_Oq>gzcj{gJ4oYS+_A+S9q2j9p3qtTM0<0c{)TlIW@>b9or#f0_L1XV z1#nN)H<6c44tlp3dtqAd-FiF_IXav?Cz+@(J&Np;VGI1l+>hDO>E(1AG>m#4HO@(k zxvS&`w5JZR9HNYOJ-r!QCv=Hn*S#sbuW1{TZhZC|i(A-^$^C5G1po4>wjIj-%@@tA zSu?!&KQ7Te{ok4LRejjHir%&9!-`yn-_q39FeekJYoH0K2wUs*?d|mNI#h=S9 zr`$m>fs4T*?jX`ooOn=I!&BB&AvJ*k!d|%%Ho~LkMk(+{VFUlk_##9cVO1zc7u-;n zN3(_?2y4wyx8~B|Ni_6?RT?(%hTP{aUL+X^edSNWZ{o?7M-cG>iMUYozutWR(jQ)* zI<)$PDOh%B*bn|<$ieUCTeRY56v}DPK*XUxAjnENitZ@8L#Zij2pHI{L{$6>2S0@z z2sX-QnB$Lz4A+NS#w#t&wGb@D&Uw#48AupcHQ5S_GJG=PaM)7``hIa)rRcvAtO#lM z1vJSBW$?d3$i{n@afl4{GJFKf#9z{!h~wg_l{HH6|}ARuTW@#_>ES6KO5%4fPp@eo)I!ZhAp|LdyKJe zrcp&hKTMAA;cTKqnN!PMxrz(ZvMO{}_WOKz!N?x-`ZQHIXA0(FF8I=vVap-jPFzVZ z*Qz9|hjuApEqXMa_c2JWM(iIk#RPl}=`I{Ww^WY~Km*{R#FWrx6w1KKugj;Y0oc zrVzZBs~N$s&;*0q;#-bZMgp7CLWT)TDn2uWcVGFx;*YC;hsE(SLAc(rEs?L>hNgt# zqu-d5{ODARG@G%!U|w#aU`odlOk(?Oo2t2bU9;vjq?s9c)-y-k7MkcCgNnt3{56dX z$nTysj!2cG(vKuo$up16fYZ~(hb?uSDY0?*AjhoO$*B<%CXEB3R<9w`-_hT+RzEsZXtvAba;@fkzP_zF z+2XsGa~CnLMyl${q+B+|Oxdx*Rgm0~wDiDfjQ37ke`nRXAG|02YFqY@nc1q}n~^rq z)@p60V@Nxwn9!^^+ZLm}Bs0vdH~!_#w<{YrucBDfA9b^N%wXQ@SMJdva!l`D_{XYk zwD+vSF1dQd-~DDL)UF?O-|%l|F*n=jR&0_BBRHZ#%h=ClM;6H|>Sv>8?I}IePW5?K z$hD)OW0n6aS@yl@dh#0jYZht>$-Si0pC8!TH)@5PG>Z=0lhj6Zjm&Ls>z1ZeS$65< z32U$HrAB>$u>^0#x#9_~8xLqtDZbFaa9+B4_Z2;38}IKT`ut{=uI-;Y#4h{ec1vb$ zcXPk=0qR{yA375~8)Hl4RDMrS^O#)9<~F%(b4)&9v(fBVd%3r^b6Pu5L!Fs=*H*9gH}ZUUnl*T`L@jS){j->&&a zwsDp9k0q6t&R}RJ_uEOSE9(&Q>GIG_E|7}03K4CnYmiE_1Q zYZz5D?EM~%O_j((DDePRdZDjC=>V4l9<+Sgc{}Xk;XpPuBwk{5HRbcJ;u47N=x)H z6+Wcm725he-VM!|GZMzt59xz#y2QKID!m6Noitd4K!zyF%gz3FKV;%OF1pm#X%|B1 z6|U0VP|Ajnf{akZeayRH#w8*%Pi)tH1+iu>iWAD1);i7hTcSClp-b#6hv&*jb(9R; zbF`tQMevV~3qti-eO&C6s2iSlwAj>ze|gvPrs(xkt6pn^EFG)Wb`^Lf8yR=5V#4it zF+Y|TSme2rsGqKr#)gCe^*-mHy~@5uZ^5}EiV3?3s|xE z6K>%@=gzff1o{~-=i!)JOkd2DtBcG-|G!^1Gi+6`EGDJebI{1mPZ3p-da{SkN*F)g zm@Sp2I~X#j^@_Kij$=+co5&xx%r-|}5Y_k$-^bK+v&4nGJuI(lKXi_f@q6ZIopsH< zL7Ls$C5mHt*?skl^=uNeXSA-=wW0I`@%`>tUze-1f+sto{p-YEAFMp~#nqM66fwkE z{|!GJjr(2px=}^sr|;9l@}{n6&Ui`H{JRb0c@+e)C1f!(nzW5bry{2P-BY6K3cfk+ zT;^q5lLSo)`QlWQ9kyA&7ZsQv(R^pE8^#Q&a=*szp-#w|G6*fV{6mxNIt>|RrcP|G z3FRX$gq_@oO5GoksWEAu+8LT>;~iBc3ezJ{jX}=W=7iNH*XT1yiNYT@4r@HCM8mqL z3PRf-MjXkK2F10^mOPi*xo9aD$PrVXHtiO2=RFZ`V%w|T*MGhH*MGNr<+|5c$zk7J zjLpQf%%6PZnf_yiOUm!ru6miC_dnKhXLIe0I<;r--O5MB%(2|SpJvT?v)qi!oZ{QW zMtXRJKJ|I&pWONQCvkMT=3HC8*8Jwcz0$V2$@nSpq}6dMZVqXOJG##`4gIXDUYq_g z8(=$oh9t2KEe-x-rg^ez({!gUzduE5^61ym^}TmW9d(WQ=w7M6)0@rGo*jO-yhJ;# znSHXo$+LG#Q#+MzEwWA9`}hH(ES!Gy`X!Q`8MVX@9vW0G#&h-J$A0iqYh2lsV!A|n zM(_4tpX}fBozaHnm-VeuN+Lg!#6`@i)t8$4JLZn~ZT?QZYcf7LVeaw7dsF_!hNllC zl9%U>e0uJbqSfp%H+b94&HOX+*QD2!YvSxw{!_HeZL7--eg*}LWOYHh*mDm33`hK_ z+$a~@pn9uF16#N5^;@m2E$rl##H8v6lPF4kp+?aD{bf4r{p8{b|vz1P7K zjOM9(T-Uoy*d?&N!TO_8#2VGw*_`vdv0kdz?a-5+SJ_WM1!VR(8!2#v7;c4TFQB|?~vJM zTVtl3*o=N;Q9Ek&R-3}$3G|lEY`4@n8)*r>-_;7;%0}{l=1n%<&f|v^abk-e{cN*h z|G~S*W7B@A9A~FH{^;#`Lx-1!6$wgLTH{^|vX%Y2|Nx#QS&5bx} zdZKECTpF8B`DJZo5Ka-+iAHxBk34zAE13#Ack%5*j=fRh)zX?RpOtd(U=?&jvpuY> zWs^QsicAVxeRyJHT{JHad$|i6s@zez^x(RfRt*7Dw7Kp+;)YaO#?4rXv_X8l^DyVR z=`D&Qt&`MV|FlN@`VHhBeGP9r{}x zFVM=K8^5^u(~sY^ijJ5?%%u8aqB$Ie_;)oE>StWR~)jH5?QlLwTzLmNJtv-z z_N13bvTQEODn#A=we{Wa=xYu0)(=rGDO>()fOe)h{fqkVImsk$U9+lZu{Gs}O{HWf|&SSvK@eV*xE*Z|!yyRynf z`~Q+_W8lnPZFQtSIw-rD^mgvS8&64I^oO#0BbW7*Sj|_zI*{Mjqi>mZ4``CyZ;-G* z3?uVBCd_J)8fjMMRq!{edAZ(Tfr;xY97#P~0 zSpBYRQh|*}+nyZfw!zIhp~MQw(vyNeC$ZFsux1!Hj7q9j9+nR)HWb1pX72m^xSY~TYQx5R zTiQ|!)a7bY9ocW}-2s0;sF#VqPCThES3@u;5EnByyAeqQU)#+fl|^`NYP zG-y%Q7i$h{>xs+sgc>;^){o3;YuMg?_$}HZzRL+y=OYgs&ki)n8>(4tqX2@Mcg;*E zj(AR%4pmYqQUhVo>@z;8eCgtqtCeHVtinPR*OgExvrtYE!m94}dTO{?1K)nO;Q3L) zA(JhYT66*`CzMiQPNEG`sWL^4OG-1s${mCLGfoQFhFGRB|m-K#}K7M?MbekP7T z#A@hTdBBOJ=Aev9#WwY8Lug1TCry%$s$4IKteuneXvQ^4Tu~$WXV*?9pAB)QPPtB4 z(Ow}_rysCBakz5f?$s-%5$*e$8`0{O>_|U~ZisAfc0#z*Vv*+$m~U^gV+LDsS0T-#IeV8cmqw)!m0)>jQJ`n!RzfM%?VL9B%#V-*i)M5 zn7Jq_hX!gxrb$q1>XV}diz%WPHLq^J{_^+V{^d_suS^rfInRM+YAH^Pnu3r8=pi*^ zS-nzC4Vtq4^s zG{NdkL04xz6ULQjNIfb?)Gmnxpg;5-}Cd+PvRYd zM+K$kT%#FjLK#xt0q*Fue<=;#py=i_)VFe>%ifU+tXPWdf%0l%@yju>b z5s}!tq+p9 zf7s?5;ab@ZQ8m)hjn9Iu+lc4TnvEXAKAml9O4^|7$3tp&J~|8*^}L%(hYU#;%lkE1 zbd54ehGc(amJxMNK;!QE%esp|;3K26m4DeXcz{Iuq( z)5L)i7Zz_Rtq~%sFSy#g#wE2x$!f+#a0E+m!_g_(p|gnU!aP-b>ZD7Sn6tbtDw^MZ ziJBy|iF!@ea9&qSL=L$Wmi|K*a>m1`+{V1QvG=wamX|(aHPS@2H{*nC;u-7tv>dIV z73O8Ltr5rR%RDk8tKlDWnf5q8JNxCCXP2+s^Uj4!nxXkZ$Qd6Q5+M9DacDg?S=zuI zjz^`41GTTnv(Rb_Iat*=WN%~XW(;9ma&oGhv7MoLZq;NMrn_)!^ zjSa=4>rcl*_e9utG#8b7_yq@dQjePG2*ZlqNS%%CT*VH=dhD93Iru(k(u_hp=Xgj) zo4gRhhB6I}xfX`#l=6_zItU4OyJf~S+S}39 z%OgT$d?-+q1Nf!hxMVu(6vGZPJ6eiQTPg~W4R5sMwk}N*h9YX2mTa)@H^e?8@~Xbx zj988^&fiPQ+5vze^sD|H(oBDCTEY(d`n&)SO5F?Cp+L)BRMGfNy3%0irX{rZ2ZYtF)`#%SkWtf zXdBT(9ePSh942PA|xT%$yrkD}_vnTw8+URK_v zm$DF3hl?K9E1}`)u62qUp`^>lWNS5Kit?ejrP{)j8ksZ=>h=r;(Ma}$YV0RK_oH17 z`NJbp`N)W%&%&V#>%E-Y*KGB4B4lN`4+kZbH_A{CglBh3SicjIB(+VmC!#&jB-838 z>Fv+G`>wzES#ifKxb80MMcRl;G}*)ib0OjgLI~f~tlmkn!O~XCwpH z7}GcUS}J0>*olJ;@*GH%02#|V z9<2qriwFBc623g76WpzGRf2$XGNV-~1*=1{#n6Gezgl|k`@bR=gyN%o6a7cCMY-MH zCgvBn(r@vl%cogpE_#D@c;>hGnv zmu}R2=*G9?k)Qk9lhFqb#AlZ5JNXTXb<4wxRYy9mi{E{&vBWM69Q*ujcDnaHmVTw9 z5{IXjteuj)$=9943o;$pRUN;Q6lZplP4(tUi4;bqgZs5TqvWyql%8SfR@z-NapG9J ztS4^Mmc?x@9Uqn5E05l$9k)NGEm7q?ar5uLXbo$BWR1sSjHJJAJ*C~T#eJV^eOU9W zhx%UK(#!Oew(QCERk#1y#6D)eYjYbpHgG`JZcT4jHmALo#9_0GCEx$S#^;s%{+cu5 z+=!L?@{}umSC2o}*qx~HUiJ^_z2B7vymJp<5@*EI6Vmj_*r|ap@%J8KYfc#X4)>e< zmRmD_wKw-Js)p*^G!Av5iB@}+*GljHyXgnW5qjrWC$gJ_oPX|m}mduZ&UoqxXboPNiqnUf!XV@vI;e$RoHnTt&y-rl*j#e2>A%c;J< z*T&K}^r$fP)JJB$t2M9bnWoyy+NJ5AFQ%${_q03x^dD+>HT>{0Gb1cHa>qq&qud<( zb|Xd}EJ+{jI;&rkUvGZnVeuz5 zi#4Unk^EsR{wWJ>zs-sJ?vU#yxsMovhlH8C@PK&rXC-yJ`6`1ZQV4>Z+luYG#^ zJ^yR(o+b9|%8jX@-Bt!Ak-N5gTWGg5_k@;-+^fy9%l^eabe(ReV=a%2LV--#LQc@H z);x8Feyo4?@%Z%q4=z65b1|9RK@Zf5($y|D-=4Uu%0~QW%3WV0Hxivn&n{CdSf7*j zHgD6QHAWbes@M(bl>KKdom#H(cNU`{-+Jy%jX=Q%la+CD(!~gTJyS8(#y}oak z+@EMnF29ko&Uzmu^C!A@jXwNZzc`?uOg*gKs2k$1Wv*%MKkyUZG?)9gOg?t7QG4^Y zjJ+%AkDRCJea&0!{cXc!a8IAKt4f|d_VVXsZ%6a?WJ9j+^WLVxM<2Prt>?i6x#3N# zw>Q!scl~56-f-Ccnf2@vN>sTU!)xw z(|s|2^(2%jb{wqv`Xc5p+-%<3{Qjm9eBX4Ywds_&E1iFIOY5j~b4QI^cvX5a{|Nd8 z_8z=%ykH(k4cZ)l$y*PYW>Y3hUyKN11nVt4a(!GC4f9Om1o^70w?#;C} zo2|o7E>4#!_TuwYv6u4?vkCsf4(X_V&Odkn-?G_=M8Ux^=r;=YA@)c3+>t^|Fq< zcVQ}q&dE~5pNZEx z0_aUJs0}2i7;R9KwbCoPAe4eD(_+FgyIZEQ2cq0=sTky_T zNvKJl6_M zqZRfne+3`s?MwfD_2ZR)z5=} zKW@`HrE_2~lgCM7^;#xNi*LqWQ+RS89ZJn|caW8(mU#3Ut7PK9Hsa5dy~N$6@Tno@ z(!qlLOBMy#J^#osH*#J5zJ9YA4M>m5w=yz5HBo*@SdX6?SxkDfywYdm+fy~c$KIH# zF_rHSY>LirQ}D5ym*5#ZTU`98FTmNXYyaceJ-_;2hwua*<%)V4H(Jd(!XOi{ zgTj)91`mHkO1q{S<^)-m6>8I(ETIC2!ov(rv8kS--??%TBvjin4qRNFk zh%Q#hRMX>k6DDdEa)c16Ru;9=T9cJ9Y20)wL{?vt3Jv>00-`>e(DS5aLHve0USA{W zHRemkm{h{on(MJ7X_&1* zU>kHmy}_xrTQ;SQTrJVOyrhXhK`6+L@Qf=cob(k<4W|MWj=Sp=v)-*BUK#7d@sk+S zCn5S3ui>E{6vOA7OvNtiQlOu1AQ7L`ks z3rXfgIyIPta5oBHMp!Mwt)zV*1e^uEpfHG1w;)HeEX6%RoPgMzS<>&Ny%?KL@f34K zK18)-iWFox3of_urkZ%2c`@knSOgR$tY?Q}I^|oLSctLE@TAb!!e&wZ>v=M6nW`xi zL{+#~ z$mA8)r-qy>ETZG=jhPV{%4}BXHU{&+S>7IlhV5#Fa+o2OhinE0LQ_2AloZ$iQIp1W z)RT^CVSL^qB7uQ46(LEW$_ADbw`mPCkF3DLHgdqyD>`-o(;&2DXBHyjdB>DI{xt}J}bfRm8P z$QYpscn_s~$W5r8q$~5PfcTn6qYaJ{hfG(~40%A!BQgzB7z~6XGk7k!CncUx_W206 z18M^8wc+C~PFR$n0xpHHa>|`?*2n`F5|WH0PQ_vWZ`_so+aXw=(C(B6Z68uNY|_!> zkP~Xq$5V_zf^MS08X%Mh3r9kGpG`{THB2Ii#U8d(NZj&Vq%W|Sh_&sPZ+`6KmDwj& z&857NnBpMSO#z_1SH%Y{BT6X71$LH{>e%iR=1B)j=<0wKizS*(pt1;9P~I$Wsw05S}VXPMlt}Y1|w># z6&9~zsqqf(C+&K6ek^PnCTWz8C~`uT4<9eJaFtIL9gKt)0Bck#mEq&0B?TMa;A!N% z78V1fcEW{jOa5x<-Mg0_y08LOg%oKFq|ov!29$F9xLzZ%dP|bcKHj25NQ#w1FpZJs zWSLbGlL!v{n?l%7Xhl;(4Gc&|(Y}VmfTBuErihbMenPMIdTliu&__;7xUS@XpEqe) zMjTm@m?h96P||N~h{X79qPt>3ja-s@oCnuuA1<$g! zb__P90kQLFIU=21A!CUZeb3g|gTG8wo~&CzNRTLVd#kO88>2t)R3wif$K;z zE(iQ&K--MPR6C9DOPDW=r zdT*qHOzHk2p;<@erU^02AZq4(nrJ<0Sn}l^KCZEcKiQbr$!d8*Bki?F_$=coAx3BBeR^-M6d0zkL3}3O1|u5g_wkHKIpZJ$#p3>aV5n z%ccrH4I7EbR)9>e<<}{)08*ipx7W_H(g95MmZTWWCg-?od{Emi#RIb$Vl<={HUS;% zpB7}T$Zb+k;3|i;xHNoc0sN?XJf!4(cGks)?TN}3L0c|8^~G~gz~dw455F=?uD&y(jhD{B-) z9Kbii4AHQ{oub`hL82J9?QP(ei_>+tSbZD#p8dX?tEgrf1G96LaWqAX)K zo5-1AK=`0V3qtQMajjemWF=%(Q@&vQt^vUs^rHQM?ktR9Z%5ODOHFhsmM!P16-5X< z3}K<@a~=)O6faKO#}D@@YC3rVAPgPiagt6c#}!|GKc zRV?foa*u($6VVlv5VACJ6N(o|BS-@c zIc~oe;~`>lXVHw1Jv`W8wWKL$z}i9M-3;6Hf&j0495bp3Mki;P2VmAfdsGiN4?1`g z1iEdGpTx-nqIwy_*Ki0(fv?pmYmFgd1$LxkFz7X=1cWd@hIn|b=ylq1L#we}OW+gG z<1Uf_AIlr@SCR`b$#n|2PclWlRqm4_8^_(MjNDVLl+Goz-I$`sbMaCQ2iuTKC=P||kxbNbj2J1Pi13o^nE&*txBlbM&2JrAH6^O4 zFr{M9Qr}C=z;L1=Vnh(Iw2TN3y&eH8##T_ejlpAMrA0RoPtD0r5u`B^ZU#S*l|d7; z1APMWGK)zveoiFsI3ukbee8f~JEujQBn}cJ8u>5ca3`e-GEA0-Ezx7CT0I#AcdoG% zTx)<6oU*mxnjkmfPI#k$0_`Y5Wwwwo+);{SM=mb!1P2-kIMA8`IFPH&pd2$_^hoB4 zK^+Ijg)kyaW}uO;x}@{mj+M&g4k%DJ(XiA#GHJAl-KcgoQ#-Ql`lVZ)fAQ8=)!!IY zgZvH$3cxB-pyWIPe6=4a^|^!KK=mxXhlv3TVrOFswHGw2xb%vUJrf)a;Vz;)h5aXC zSvWQ11!M@dskPo&Hv!Yq#z@APp(Z;?MnMg89h@#EL#7jeCaD2ve8q!DSB&enT3rr! zqhu9rOP#Fk7`JRUMU*tM!eA9rw$4-bCJpe4DP0XXQF4z9)IhI?$Q^;oZDdZntw@UC zx{GN?p;}q>Rj@MfB5Z8K$^v(VFjpi-`v}$|)dJ`!@oX|_xkcn!+WJ)U+GD?}{prpB z?fk~d^lEd}%mSZPgzg<~w4F&E1gy14j*b%PIY$Hk#-X|ux4vR*V@jlv!)r;=vjIJV zvAIf;0=b~72V<*ZIHw4L6nHL8MrF-i=+r>D1LWL*4TM(BEne-v!;lJKNWg9Zj+w4v zSJE-d;lC2%uwKSjC{hVQFK!ub7A-_kliUf515HW=w0nqT`vG2AK}scAmn(b4q>WI> z;{T2lJG_EjCo1Hg3_SoaCFHc_PQa7k$Gl*HmSBMv-59XD{_m%M_;}^(2UoBY#=9u{ z5x93oHVYCQ8tSm+3rZuIEHrrezy+x{$Z;-V0cJL1Kc1Mw3moN-DxTOWskuU(w`f)d z>@{>!(ONwtKdLCx%5kx8yFHA4CRGzEWH_q%E-#rg=A&8Hg^;S|rnt#a?q%zVcDLPt zqoXWAI;c4wtYQs=0@elPJ0x{2c5)Arhy3sy3IXAu@;u*M+Y7=V_IN`i=TH<`3dt0Y zS3zYth{!Eu9>oCEBiFNXA!oD$K)1sY_SY%45colB58r_jaVP!&B*_T0@YvP< zS-vQi2B41H+viWQI(YH*m_AnsaE)TtC$QyH=QGt_2o4ZjKSsSMANK_IHr`Aie6-qxZv?Rx)MM)QCAkCc#SNQdlTRqKFKJ4$EYw zRf}GfbDmn;r~2XJvNx@qkmRAUp5Y-Op&MXx^_E|>PTcCN#P1_}ZL3~d=xos_mLB&c zptnO-GnxqVRC{FyVR>HL$#>aa(K^=@fGFg(v0E_4NU!*q!WxwERDW(*r+rA2ETvNp z3=|PK?-c48gw@C%cZ`jHh)LVVff@8>baP(S4#P6~M&0t?2V235UFH5!vlmKguvl%L z4U6l%b}(I2<5whMtPHC>vxV1DBm?ml)-w(uALe&PapYOweH1YM*U+wyxNB3Z7FrW& zaYf`)AV6YYD&lL#?86svlHFTMk0v(m$YwT|}a z0LekrqBIX!l9}ds*8B$&V5wT=RotA8)@r@o{Q*U#SIHW#PQXA1npzUDB^+o@(;#_t zVWCyy11$tne|C8gkyqffx=G|aBo*;e{Ar(U;lR>(Ls=E@CceWwp`_USUig=&9N4CX@$q{pszWA4 ze92&2!;|Lf$sNt+@7QKg^=j~v|3FUfI(6fr-M@PM`zxr1nAS4hyJ;lGO_(*-|GcV< zZET}3O=#fr30*y>d<%;K>D75nT$eOHZO?-Z?q|hy4YGn!B(r(4-ON5Bdqr>hP@OVp&xlNGLNP&Cv^o=LUeYI1?5c^sDYx=K@@#61 z;F3`?)LQ2|IMI6;0H%rUi0b8Llz`!-#^F0_*w7jq=W5cx!;AC`BZ99vVa$!^_cdNBEaT8P^7@OmMYraow_t#51pn>w42P1TZ_sfJxXk$ z2L}(f^6fmRu$jqyV_=e&Cdt@rYp9Ak2_}iztf$>$+ zA7dLVFzTXFm9WDI{#}^3?2gfDxk{Jjt$Lt4*2Q!!=^bO*}4v>V4YgXR-SLH%@U+1IJJ*D|7>7`_&nW zrVNkyOM#D722-J;0ozhgj(eKJGoW)sEE=$Uj`tKP=qsH5)`A01yK2EcoCB)pyhXak z1`A1+5R3SNVHW`=Z&!$DS24iMPCa8 z?ioXw?xD@tI39InIH}3N*_JBPo2*T|$(}^p^X9-m1hE?K_NdL5CADI{Sgpg~q-z3B ziflptykXR4d3Xv*;!L zD=RtH<2rH744Xywuc!8`R^my-bAK0F>t$GO)+{=PEqt>DWZ&@Rvu4jq z6q5_9u2&AHI6QBRWV;5&Q^8)cRs&i9m$72GjfF&G+bqvAku{qEvRJCho{qrv!f;!x zfEIw?4i;VSsJRT1U18zX`v}~ZDg}1?DD2iQ(X?c3Pz`;@^`1O-^TxBkIPng=UOlUxamcKdO%$fAv!@_HKyD18CPzw@VY5KW>0UDh}L3huI`aI z*p=yRWYx7O#xu+*7}q^^jm?QM1dU(r+qU0a^BWfs7%I(TC(+DoV&cw}BKr$lML70> z25;Qx#25%bd3?ggXWz&+!_y*cwig+!grxVkU~UjQF&#y`=v9trb{^ZI%IP*zbU9UX zS`9}V2*${Rz~*wMoxw?&V;U;ZNbO}aFL&x-hp{3*s9`}xzer$LAcdLYQ0X4H*a2OA zV=mHG!!-y<1`ZbIM67+jyBGAe)J!z7q+PVqa{-`P<7^PYXmzZo)%_QA?u42n!_v ztpD}@Y?~dcy!)?L*1sSR09OkJs(>4Onn;)8^7`WHM`jiH)pZoYyvp$)wSo&m{6eO@ zFi*gWgY+ct!mWbT>??v=3wE$z`N96l%q|hZBVb&v2WBDUkIMzcNIng*yY;vz@YfhW z#|!n6l`F88qLGGc*er81YG9;S;MDB!u);2rf$d|cLMrN~iJ2-ZLOM^Y&2t_35e5@2 zRw9F$HzvpCVbMghm{#4wP@@=;2bFo`+^i>H3P`0C9_|ojg_Q4PRak#PG63#+)XeT< zD7nuwHyQ`>hL;#M`_*#RC4o7LQ>y9F>A*tYu(UfNih&*J#864ZIVkTVW0t$U*Y^W>=plm4C{&neji|oR0>ACvev(( zFjQ&me6VXbv$G8u)bC)4<6{H_HVXqqeIzi@H88u0ZUPGp80?CL%BamA(Y!lR0Ds4i z1_lZ=%hiQ>d3Tnfbj^eCl;N<>P8>Z_!h5Y%_PT1EQ!CN`iWXo=_GxlMzzUEF^!NCfFuG#omK|;WA=AN6a_*lSt~B@(er?zH3$p-u9caP04FCh53F;)qh%$W*6mv5_f*v=(=s zhDvY|fBF3N+rIa)KY0gP%Dx@V2EZ1bX=_Ta_Qy7gjpRJeiu{f<&00`2VCOYlG-5sn zv{d6oUn&Q-Dh0r*i()Y;z}A=N2MfOqQM3Lxv^9wQdC=CTCQU{?;5a6>WRMo<;GQe~ zbVJNTO6oS7R=`^A9SHm@$d-eoUMBD|$&M7PV@zCuwH+bq3yAzp#mJ8$`$|m|9(5Ap zZvb`(qz^e)BmW`(bl(_;RIFedBn3VGoa};k z4QPPXIE%w7tA2Jh4=C=O6cd3}A`sZ_Z}}>0cZ%BPp5PGX@7iwdMGr;Ycd%_k%=!(s ztCkzh1=y}|)<#l>8mLt?-IhMOg6@AeU8DmQQa}bkuaFKXqeC_d5wA`aUHpFo>K(7P^UvDmWE z=`k+^tx+8Qm{DIfqqT#mIEGF_K8Hdh*AtKGwJhSdNQ85A36AgaM2FrSQDjf9jrnR@1^_n zT@ye1WzA1-P8Y))}@lLkV`xoB{kW}5I~ zRts5U^z;*F^}MzaG6aEyZ4uwZkRHXJ7v2&j85Q5rDU8-RqudAw+lA9;_A>E+kI(6wvt!JF9wd(&_02h#HOzWvgvGrJOJmM3;5UTErCe&zT0uGZ|0 z>0Mo2OOGC4b#L1T*u<^A(-PER4^-o2FWinVr(0uhPJian;AQEXk3sGAmreT@KbQWl zH1X;m-1X0R%~$Y3vg1bU_NPxDOkX|oR(4-kWAmGjywSImg;eg+K}gmvAN*(e>rH># zWi3y6?$e|IF$8rf;QBYpoEYZD{)0xfe*+W$hDespX0EA~bH(X}q*%_SWKQ`P`4w%jr!M z+tXj#(e--WKQ;bPesSdH*PocsZhn3L#E#7ejz6;0wXKybr$0CGLIZ)O?n7NS^aGD| zy{W(5vg57?(@)>(+FbYG@MFQN9>J@o12Np`7alrzx#?%OzmT4Q@^1B+n=MbAJG0bv z?;ixpyN@j|euuG-+JtQ`CYG1XTCJt8fX_cT<`n$Cj0uqZMe5J zfAzIpO?%&L_#}I)tLDsGkA0wK+nJ`NM-H56y59HQN9^nAe{0$``=PgL{$HNn1-^;v zO8g(mHW)&JW;~Y1LSWs|NFMp6$Os|`O*B_ydn^o&ghLEXLnH(>k0fiyVI7)HG~=;6 ze&N_Cshg639XoNJRD^7k{dc!mIN;Ev6osZFyT9$)nEpr~+sYV1*mmf@?~x_tiMwlZrVth@pb=(M1V844xjf4YlAowTJN~ z)7?*Y7sp=hnCn#popsboKP^RyB&Y($hgSHCaOQaqawiJwM^RdXawvO?RjyydGr?K( z^w$62KW7u_dG-UiHawS@ougi;g22a`N&Dbrd2Mes5O{yIp`{**L;{KU$JAr!htfT& z4Y##C9hxi#6YqT(o|{J3{TUPX;ry}B7`hGba5BC&|14^B2FdU?bu^96sx~mRFp1Gn zpRGo<4M52*XOMaZ>emFF>Z*O}Um^TKNaODbr!%PHYISE(%Bi zRp$jhm6`#EL&P1V-RLa2pr1g~NkkJiWP9NSppl~-OlJ6|ZcE_IJf@36V7Vm2B$iQO z;Ak-rpt+=*QR%=60DWgbH|IQviU8FHZ`VO4ED0Z!dsCxXMl1w_;hkU{Cx~o|c=I=S z5avwy#1YH ztL=|w9?aYcVg*_e%b0Aj8W|@H#0*Pi_W+?vrLC-PyQUM3uhZ9a%(=_g0j>I;^Gz! zYx=2WY?NHA5gaQi+C()PpNeKPyR|Hl(tE{9%ZKVB>9HC7K1iO@Q>Y&tDWl|vgt%0lwQtb}KRi`YmmwlcW z`Ll@P>I#uBLCx6rqmzT={PP6u691C($&UPD;I#kL#+gILoU)d^gu)9FRJWn!-2{X zz8o1@lr>EpSu9n6ZWI2Jq8Y&|f3-pA6A1`O@BR{GeB4{%$xV$BSBl^2%fN#4>F{r!2bSNA2 z(S=lH@yOj0Y#u7T2Op&ula*FPxwsl-umffAcOHvQs9tBJ@3O98Y5jKfVdT{wwh(TJ z=`hni9lbduX48$3CP~qjpoN;ER4N|T1CS#Nh*u4A31CE6pZ`wR30Oe;20J+J-~%f6 znGh86>1$iPVC&Br#8w}TnLbXEbK0bLqN1o1q?zBdl6POun;D0o zZ0+F+xk?cl7{r*@jJ&2SaS=GOW^mYp7}Zc1Zr2ub;eL9W@^lYPOIof(_Cuvw|1UWD ztFQ-rNZsIREQFvtFCDaGJvfO9xd**fi|$j?e6%^H?Hu<3FZj$dO4!6LcI!V_ws&YDeukfLsZmm!Na%tdQa&re$%Q5Fy+9(0F&4a@*AxNV~8QnY*Yd=-3;U(!X%gI-8P z<17^C@iulTxr&39=;Ppb#e){u+>Idah!pUiv7`W0IP9ebf|BKI#iHrhBZJk@gM2cv zBSrj>F`W9w0TEDautbP!nwgcb;IY8)1IC;}s0_fUa^$d=fk-4?W^)-E4g6pp zV!L!aejr188sSB6Gc9O@>ahsMgyyC#`MHKzc8P#APdlB%@fg-_M7Bv@B3+=g9Uo{A z=T}SV=ok`sBxwO&Lkd;DlKxxIqyNj_eY*k5?dH%Eq1BQ88n&_|PtQ7Cc&xwn*7-Vq9#gf3xS3n585Ogfi}Za9uux?aF;}zBz3y zW6w7~ELQPty+iF)YN}vrOJOV5F;x>0izIcb|DMXYO)({{n!dA&rIxu$DQ+v?!G5eZ z*Ima?U^Y~QyTx-~TzoMt`>EF0b-IfkQg8-_|1DA6Dv@`M1j&F zYZ0e)ZsHeODXt#}&sG!F+15{z=D~8s$)T_r)?kq$mWGWDW`qD;!Kr9X+2lkQGg#OL z7~Vp^XM4MYpRYV!zd~^C@Zsc8sjr-UlN{tXbT6lBCk`5$)01YaSXrgA7258mD(k?i zNmrb*#if=s^ESYHKM@`Xy1$-B9;xzC+#Ebb@H+ zMHwvJXjY*C>qduVt&mxu4p-0?sM}qAKm9&qsjG8$U8yVv8dgQ|_o?(&*!l&9BW?M(3$(3y7T3+hCgY0HzrB!x` z-)UM7j6K1_RX{Ax&^E4Vrjc;7;^Q5v_jnT6m`17rb%>RY?is1EkO`|odoT-A6RsCG zk+U2nDR&LYW=+iE)D+LW7>(5NNVmSrlD)%-o??!vyz)XZ_b{5MlNa*`qgw&hxO`>Z zB(u~Nh*WPxS*&uwULq~_B)c>^UX$M~x?7^*O4>f##^sCu^NsV_SC@{o-qwsAXdv3~ zCiU=J)JAOhHMBreG-6dJMmqg5GWuWui2icIB|H9>y{XSUr@L$)NG(N(NSt$DP3ve(=cqG^?kB z^rMKL7~u_bGK$emh=TR$AP0&=C1o|rsML5-HOqg5KCO(@HoE%wm@+N!KzxlRgoV{n zc~e0?XL69uz|1B2Mnk^q$fl@~yfo$M66onZ<{Yfgp2vL9&<5Jpf+U@BVoGNEO9vK^ z+_6qZSF@HC^QjS_Fmln-5VXV}ZK}FYf(QAC7b?{!8;m6>Az-Pra2Oq!-bxuQ*bQgFvDKvU45C;`2I9;}suCXrZ-99hFz;OgOk z9l35;G@(W9l`9wqPAIjF_l9kicK?Ng>nf(gjN6dG!m zbcIw{i7qbDdz-w3bf@H#jypp2EY0lTD5j1yKSc^pP0++}lp1m}NgchG33lSU8+J*u z$3bT`N|BN8k?dJgf$-UduaQ+WOggV>9TDplGt)^;c5yNzjcBZzr|B;EUM-<#si20L z9v>^%*sD|x*UKzmrkSQw%m-arn%>ArASp#3cH0L4v7i+L!C!0Mh{ILmn+!7%q^F;6 zR)m3W(X)XcdH53Yh#PgF92OaAUg%eZ_W5F!g zt6uf0m)QwBpGg`3MxbSqvt*F8QxYr2@E0^0m36GL zFj*ouaikp*rTv>%qc~^f_1Hlw=Quf=d|n^reL^$Ew<4`U^Iqp!CQ2}F(Lzk*L#mia zUzD?jnsJpI>ed-Xin9&;VmL%5>ehxhld9;!$0!2LF7ur~zOJfaLJWG5#`rMx) zOTYNL*Y^CocSEe8iL9)V7PTD(~(NaCgB%(j(6EK z*GBZbkTt*r9QY(aoRzE$N#d9?uXx#+;sy~P`d=s_`BcliMHV8)g7!zhnWC|TqRu)h2Hl?z-p^N8&*q{V0xq?km z+4Gc}Yj0f8OLChSNDNZd=!mg9sEl+*wg~4#Fm@N$WczP04G}kiTEsQ8~6kNMAB!)3+N#Ij*pB^S^!F0=4iYQymq`! zGKzW{%%Y5vjgU79WjENnISLlWaQhJKV{o(3CBY`uWT6E;ty+$2V4u>-l1N!;F=Mr~ zWI!F@$q|qtq9Sh-tn;nl?ekc{)(4Aqb^yTrNG5X2DzijFk@a5Cc*G*A9o$7p(EtW9 zipgb2o)uUFClPuBe3lrnWE;RbN}i%7UC}KC3F)iY+Fa5uZO(^Y#0z@(LYZNSkMAs;dNw} zu~V90ffO@F^G;ZF8H*h-hb`Q66DHK`C<;@M8wtRFh00UZMI!K>#D)?%m!xnBf;RG2 z@<~`?j6nK2p-25=;!I%aTj$|AnS&9ml~I8R^EjFtd4V?zXeK06te#b)@_3X9;!$s) zk5;_7R5a}NGL@hQ5Tk!hWRU(*NOYI;+$=-YHf49?!3%VBgyAg%NvaI2wG3p|7c);_ z#KNi**yhpEj-f7sXb)4*>ns}0ROu~^LbZ^DX+>s;Y%`rGX%YKps6z(ZhKR)qwPX4Fk}1U}hp9*%dw^jz+u~;szCiNgd|Eh*l|jGxEb7EHUhQ z6yObk=mamoX0}kIut>cob}BPl|#? z3!^5I1}CKq;poAJC|;m}H$fQk=Jvuuo&d*tE&>EJ&fz&K3lrc4V8QH4FMVoguI@<1KZJ{@5WTn(xkBHM8F{>WwCLYr(_jHjQGg;S{~Wd z97fk!%fomz=zKho!X!SI&R6oLNj-T)sO;@(tinw;hNl zuCO5oVBLy9=+~oaW{C;D5Hu@{fC;Oj7X>grqik5Z6GKrnXw(EH*9}13&w0H>M?-N- zj-qDANFr&0S5aO`1z$PA0eU7}S(Pb!A@~)AY*unch=7mQAywd+n2J1n{XP2@`1%hgByxaeOt}Q*a|Ms`kcPej-d=RdzF5YXg&63QJ`QzQ&%l!v#U8yhb6Bl0DlIo zBYtRN^Hyc~GNvSyUm1m-RG-P#4`ON7*blU5H&SFl4?ExQ)|a#`edF&+ ziB5WhAoUWUT`Z0fKx4M>1lYqwkSXw+-{uVZP{=}ry;{ywOYvH+r2!m`q72T(jH=D$4Fn{N!@w|WRRo7H%(jA#w^R`tgL4(ju%z5XR5u#3 zXeN6ZX$eQiMVhIX0XU=QWyLGv9h_k?O*sH$9keJpJd?xfdm%x05fn-}!6)yfkBrNf zY~CW}XbBZ9fuS$v0%niPgOH*ntlK*p4Lwh#fYUWyB?!Y_f>r1p(7`q`UJP_@X3DZ% z@Uzz%9(l!k_2P-!U$n7w_wuSvX{sm>d5Yz#(XkRPirFdf8M2YK4 zRV&+4JuhukV`PGznHq`Pne$pxvDEN|X}o5l1JAKm=~`(I`snj1>b|(#Ew(Bn<&_=b z%8v%6ik6N<#XJ@LXzG-0{|EZz^x^gq*I{}*5x&l`8?@7v6~brK;2+6pDf@?Q1E+e8 z@z)*g%vYX|CY7Qod=Zw=##Rj*D^kq(o`>7Aq!3}&d zSZ3rif$s|)sm0==ri8dXPw~9b*xQy2cZeTuJ;u?q!_)Rw(`IguiTIJCiA)S z^gt({Uo|(tcL>)9P8x$n9Cg09F!b*#8@=ZG{zMVNJS@iPz!QAl<%q}JJn((>`;3!b zF=EEY)cfzTIiL{SjBuH|GWZbNZW=V~tXUt^(%Xu@e0b@s{kC|PwWyH_rKa4qV{out zs@$TtRF*VHn((KzC{0;8enCN9j&$_AKMYbF9 z2wrQF^?-o%sfru*7o_TN_yQjJtPj@)tHh&9(r3HWRjoNv^DU^H`KNfhQx2mBA zeZ@^~2e;59Gk=dP*CbmfCI6!~D*FVBsW%_;NXt4O$3jC#eOR{qnD|Q9Z ze(6a(`yn;BA+&+(;c8y0PnwclDd-&=$<5s0*>eY%C_n6`sC`veK944?;(WZ~VBB>- z!*#XnA7EBe#GdbQZt?Qepu`XloFQa}oaKVG0w1r;mc&ENt z8WA2j*i+NBBdP6N;7w%~x4jT3QAS_y5KZuZpSV>iX`7rfDJ4xC<&tiGM!im#c2fuVdO7^T z8~jX1Gyd5d^vny*_+Q`fY~=Iv-XDk4gVflo>hC+AVlS24HU3c0863T%BewNwNx-Q! z?l>A8qb^K^dQ9+_bXvkgg&;XG1?Sq#*>stj<*#uY&;c1j%&v{z{$)Vbru}ga&KosGjqGID8caY8u}9 za(VE92j4pLz2L*>DgW`YUqe{cQ@i0jdZtK)^YrMM0{qt2{LJai#{J@#ROOqEbKHjE zVq8)<&pkG5$LN2#4YD1(AW-T8CAy0I)z>MTz{8*^sq zsf)K|Y10D zqME?+5Ww1md#m-r`bJ5T+imVgkE;YRYSBX?XNf{^kflX<)e}c%an|yJSP~b=MM#8g za*vR&4KPqOR3T63RQypRgpm3hCw<}~C=4fd=<2uL^YxnOl}B!CqwF_jV$nEDWULz& zeu+vw1bB?Pya@l@N!*=Tq<7tM7*e2@hEP7Mqauo}%#_-Q^{d6S{^u*=J4Nx23| z7J6Vk2iwbB(UQXjYc-jsoBeuLu&Ck2sHcikc#q)o4IBo&9k?=2Oc+~ zajI*)h5OP&rR;(Ae6%uTRVFv8mZ>`5OTE=Mgy;&v^(O5J%hivKv)u~b=UK|}$z^<# zlYKEOHj$^^rTVFH?9iY_gN?_`IhMaVZjoD)(^_j%bVCM08%8)>09i&gsEecL#HJ$K zP6(1}Scf+&M)YLwc`h(jKz~IyKfan`ec_fsZ%d;V?6;~dKp#xD$2`6Ri4k{+$z5Dr zBJ5+9K)!C~S*1CaEJEEQrF5CY%@$G3dy57`S>(dXEuK4F!ur+i_=@o&wx_w(YPyUr zMsY`1(jmQP9+Zy?)qy{g)onQO8!AzQhv-MECJP{oQn|EFNxS)F^h1C<;K1;cL*s~% zyyJV`mh*15TJ_+j*jP?&@*cqu=nIQQz5_G#fSpdn=mBckpkWiWx-t+=G24yn=faK;aTq9N&pANbL2>4n8=E`XQ4J z5@iZDmNEr?*1Ef)!2Y%s68c=7tKJFKK>Oo*TlGM_EzH`%f`QLpz?;f}cA?458|CKR zcDudOzN&Yt7DIDus`xp8dU@FPUnXYUCF{7BmeGQX-2zV0!2^O;j`pJF18HSFU4gda zrlP^-$o-Un^L5MFi487s+3Hu5S12D86{b$Ec2Yu)1Gf|&l>1rwMS08^cmjrR;Oi0H2d>r_`j~21PZjj6AEfM+t^)TVBy3Vwmkrdoqb2-mlbZEi(tY%e_kZxOcdh@) zt=5MNZr7T%m-+>Bro&eRegPeRhhm%+F5~IpscP~<M}ea_fxP;%`MQZKo=W zwnK8`oa>LFxmE9=T6(5oxSrc7u4e7NExmYi3~jQNZ7TMhX!b8OUJS8K+rvLt^GEap z>1O};U2{z3B?o-Jd~b#uo~ePvmuEddv4GA`AFQH;z#mro@X0)k(#1yM8#`@9xbk~! zbW;^Q4XA9F-E9r0If!EiQCvsya^(;eKj13lO<&VHOo6cPH09nraaXlrQZxpV%TdM3 zDQ6y*6M~dDNs`Y9#78b6C`|E3$A0T#6fx?xz;wGvFB`HE)17=y(JA5Q%dfKxK8&pf{ytxtlR$ zZd3PgmZ7*EDaUa4azL z9-0!p+nMKan1pKpkIUyDuy$l^{cyO_$RP%|(8+3srrp}6Vcb$c4JlePBaE>=eDuK?dlSB+E2^`;R%$Y z7Qj!CCk1XJ&3Ye<;jmZ8;V7^UEWj}3EW}DNLp-%M)(y-tj1aR!CvrL zQ1iT4j-vdXXoR(^T;LG)=P>XXKb{AzH*A4+JAey@EdYioM;hr(nZR-{Vw8a0JsI>W zSsay8z#7!(AaNLCn4rAvQiLJB+HLV{M%ms`GuZeo%~+Y{B~Zak!+3{40>^!esu%i$ zVCCvzj7a0juvyNw1dfc#7HGQQhsZD3Mn}K4J+_&2{s{e5Bkz0+n zP$*^Ujp~`AfO)R6lR4akuLr-_+jum*;@nHejhD~|_UU0rmJpBM2p%xL^16|{G4Jef z%zb#2m*)6V^INr#xBOO(jr|z{%XfcotjBaJIK$5Uqmy&Yy@9Nk_xKjz#M ze1GWL`)`HnpIq_Z!ArIqn;(nU+ayoDZEdRYco^~xf=~Oe@-@euzTSXyXW1;e(fF=v zGqvrQGxj6LEIT*akMR#m|K@;~TpT*b&#Z+2`Hg4eXk7_dInva-xX9^gq4ux6>Zln# zy3(BHd#%&tjo`P(ZK+q1MWlc5Y%n+%=%<9yB5G_uPK>2_A4<8e<85}-RByApUsL97 z<&L)+?#FDRrUj?@-%{!vdIc5W!z`Lt-?bH+cd=iudJOgB)3dg9&w+}E0RK94F)&wI z6d~u1L$pxZQ|7ps50Osg&u(27e`t2u&_hk%Lx&4~^fS;ke^ra|;jQQA;yn-6X#K(8 z{LXQ`ZiWBH+C!xfs=xxhHUBIYkx0j0`a6?^(SmSaeZBaqLxG zSx&+H>Mlml2M=56co)xnMqM*M>JIy0A#}PLCWGGv&a4h3*P%4cSFBWf=;s*hE|@Nq ztA`4j4^8Z2dILQV*Yfi=Z=z~OOW^Pq^6r5=*VH|s-dB^$h5x!%xYImP%MEAFa*I3VX#xLa z?c<(u_L5Q}SMFuBStywhy56jQC#EiQbn%0*B7AjXxA`*O`O7%!493_i+vR@XBnK+U z_88~cLsh8j;YX~O8`;(-hS=24bo1xf(QZ=%Um#l1$|x0#0nA6}4>O^|5d5&h;3<{EM9f!Obh*c28v%PoxRESQ`6mUXGRK* z;eyxS#rgcEk9Z#l;VUkX5yIel+AgFyY8!>{b*jN3gAm%Y9(OzWy>70LqRJ^ZiWj3F zqlrGYOA3^`c2UK!E)aU#TbVQK(0t(^(KY4?Bo1tZ1xIV%aE)ww5nZpOmbXKQ z5!1~0=Mz)o_U0VcqF6Adswp2FXw4PoyEauW7eu-%JR z`kot7@GRf0Oj;U` zT;;_Mb(0T(1!p4_T-UUH+vK*;~S+omxa9KDZ4^+dNV?oFD-yC+W zx$}bMRy*G12eV{>??AZswK@BS?Q;3rOXjb~FW=AD8_Ss;zVY%BFtah&Lw!4s#+bpL zz(=O5jKQdH6@OyKm)j%GDfiA2p&HHdDeh`TAM(YnJiKRx z^Pc3y>62V+I^`N&ejhAf9PMdqGOlg{#&0vU~iY*<=xBZZI{dbKR#@J&Gj@m|w1YU9Gr3rZzfFEsr=~ z3ZM^MunqWu>qN>NSYP?tp(_Qads*izC!3x-Jh8g~8PNrD&LN%k98w1V+_*7y;6l93 zm;N+#|Di`_xhe4pm6>Tf-#GVAeX?5gUGdJMGEbrg_OCT9RKp7HLE$O$K7LMky}5&K z`Om@P($lmxIpT|@Yt}L8r_5UGnz>^IfmfETVy?M%Fz4@|qrWmXS0`d=pMNObeulEn zH|Kd~_dggT2Rj{|KTGzPigcp-o8Ef*cUJ+*Hfy91ifw9TV_6(AN@nTh`35U{XeJ5_HSnfirk zUGL7~=@`DAjBbB9bbxJ-4fmsJxpMc5)8bdv5$8spoqrGV4aWbS^U!W) z?mz{-Q%xrel;Tw{$@_V&g}JijwCli6yO~6{XTAB)<{2(>67S!Q_VK6%CWRIzFa?`j za*p|L^K0t#BW<`5MA*uv$BgKMRjAdKDx*_o;XQv_at`>hP4%x#u<2PNB6k*`Wnf=& zNV`hTy*Tja!c%!5!+Sn@cH;`wTs^h1_+`}jbRR31FRMdWeg@9zM3t1N*;^&-BQFRK z^~E~T@=mST@h9j)R)xJiPxIe8=oEWKD&v$d@23myYC+P+c$r?CAuZm;?C9h z8uJNzjr_=C{Opy$*|r06T6v5qXC9*g|1_-4yZSqr`4Gr%uzO3Of0OyWWM(IPOLS!* zy3aasXR;SB_7kv(YRSnx=7>gHU_^;R0dxa{`adSKUo<=9wy~FljMx0t( zq(b|rVjx~Mw=-X_DM$5BewoaRU3sL`>|8Yil54V0q=sfu>Tns&_%1F$ebb$& z!#uD7MV6!grpJ_vumE>CO=N?!yE&Za3PV0?SUm@r))cKvS_$LpI^qAN3p6BS5QaWVTT3j>lwUB$(@Sm?9?DPGBFJ<ZZ;wWYeRqSC=CW?pvE9di^^etNJ|tq8uXcE-CJpe)!c!NaQR zep5*MH#oX*t2%?*f(f-1pi?dJxu7xLLSM%lP)T4K&(W}#_y%vZIsG`H-UxP%IvSc$ zn|~N+PYN2h4b3CFe~yZQas~7HemvY~J1qGxIW7lNgU9L1(j0vhQ{TX4dZpIUIe2?1 zv%%ImYUgKQ6Ek{1eLY?gT%jIyNVB7mev3NCN{8x^<2as1aIN@JO1+Nr{L|>q0-g@Aj1WjZgoNejl{ zGNEGi9Z2v&Py54%)zLvnJx+|Jn0cE6lA4#}(!vc~=ctnc3d+-dS4MnVc$w*;I9x^p zxx(HlC4BwGZy(ydbc5;Es3lZQj5x$EL7S>{N(sEtkfRKgQhq@@C$O{V%f<(zcY;yi zeV}l|#-9?W{nFAgK4O<)Ng8!+Kzt#@UwwtAF>yH76q9VQ%*8EW3!vmghkvRHYyn^u+A_&oCFYU?K&U;;W~3$LMzCKa zdK^WMtAh?IV-k3y)MNnS8?3>QYNeAJ*aWD7Wz1$ZM|7)8YP5?|(9ZPur&d^z@%V6*d(y_N&7t<*GnAtC<@CSfbnrbb#Lan1B7pW~=&M z5_W=Rz3JWSdRzG$gR$_9&${?IKn}eU0}sGp`Ky^E=b0j4-De)%{PuUGN?#MpysULmADeEi+$UW_}%`;v_2G4hr$r zn@Z7l8uj`nV1wdLnJzY_GpYUOl!oH5JSzRF5v_!O`EELCd75i12E}Mo(z7dJPFsyg zFx{IR%8VnXqz~<4uc@JekDmm^I2(#UHNcIq zE5oHlYX@ECn5(GMk+hnV#pGFD9jFN`+Em|@6>y5$#RK! z**6QE*VMW*%Xalm%b&JgGJW=MupBw3q?J7Z%J~SX!FptjnQ!iUUG8szk@VBvM3P>I z?uF$@dUshyjwz7i^vfuE2NdtCmJ_jodYYZCu6#Tmc5Y~kI6r9u5q`v+FFU4q>MQ;9 zTKlO1`>D*-#$G?wUkx&xYwnV+@#UfV?WxS%*0|@^+}6@$pPWk_z(plI_Vgo=W5&Kd zcc6rsi#=gRO-^&DspdVc)B2M9B=c?CX>{P8!5;JPnZ%ja5qI$!%RW=M;I&&~tU-Og zI#+5%duqirh_Qn1XE3ZxhCz<68|_M$6s%f+9;!X&Mhnje~8t{P`A zfH41~Z6EU=O|Y6P)11No=uVslaXP64$kLH7U$?I4DGt5zRv9|KLb+C04C|9UV4+X< zcn;G~4qM6VEec38*hx+mll>*Pq?tIKk>(R1%@qe8cy`lM`~JG`AO7~+=q+*TSE%d! zmwj{APo}T&p9}{+-9P=OwL6q__pO0xxGFHv;5V8x<1z%}((y6>Q*+rJWvCQJ<-Utk zr*Z#Ley8(Y&tN+(2+e9jeeYC4N!Y&vY0{DU+Gh5zr#oO%CBR~b?dCsYJ?l-jUCbTazCd1a3a}_*{Ne|%ZIvJn#Vn&kiqds94nljQX zE(S3c_C9I;wy}!&(=I;o37`1o-jRBGWML$R_V52AEHclpYhgCkxdLyvQ!8!`N4J_* zi+xU0^QsDy5jC#@KT*JY((?4)MkoI`)DC68n64~;#eQ=4LC3UucES-x=zw$p}!mT6Ts9 z^8u{BsB<7hXTH3z@bXCOn%^Hw76~horrJ4r510|Ejp>tXsq{(Dpt%!WZ~hTKPs*KS zUuiA<1659(0uh=)gzWW8nO(w}C+8kKa`F4OL`cByd3b!8UE7Ec4Ku%vn`b68elxZ!q9XF zH_~l7uYmc#xWhYM2B>kZx+J-blW{+b-4(PN>&UKyoD6=_DkmWXyq^!sm7>~5Q+9_| zh+qf(Yp_#ffipu@xoQ7Go1|1=BMNzgbtv!#y~IjQOHIDu6>QiYB+CFOpG(%f5Us3H zQP~SQBVbjyvrO~Fl|hFJ6BcZsdoRF7T5N!%e4A)@Tws!r?(YCoa}Z0&I5t&--^S>{ zUioT?*Bwo$l-oHl+-S6Ap@}1JT8`%@8Q4d|ZrVvZauj)iV`+y&5Aef|NcKwraG6)Z zv_Tb*dZ+5gKK?&fmL~rBcBAG(03n1B-a3@bDf37!>m_odnZL551jOd%di8==55K|z zj$sIpgd^K>^N*Xqz(+t3FC09?l4j1C1AN2;q4yHE9uYGib2BEM;Isj+N)X!3kI94q z!g2F=g7~_p-@IdKJA|0tJgD(5dcr$HMXXY!0yh|HWe6R+gTdVjpDch%6qu@AppKdZ zkN3KSHN@BE|_t;Z*geo07C!Z1X&elV(v3#j8N zGa_?jlxpnXs_Iq6G87G2_Dhu{vMj}_>hWgDLXJd26s>$mt=A(lviJZHp5Kyki-hmq3cm2)BTmohUZ=NAx0*)|AM+pQWppvL#X-K!0 zMMV~qHzh}idV?OSGkGB)AsdoE3B3iPceA7LY6z;#gP*@g-gIE^BK05&W>*ODjj5*cpaIKS^DwW8+*K$?}tyx9N;?GT2BpLG1b$v z8FG_t&Um!UF~7s<08AF3n}X`>*!-^gmiWJnU*YTDe>?H|9PM3iG>eaV_|09pTH+HgU)!3H)@={oX^SiE&0ZD7V1V~!n?5PhPx*j~6 zg8zQ8cAMkOm978I^*i>YZBBmu?Ay-<;q89vo823OhcEFNcoUxve(L!1syD9DM;*0S z-zipqzBM`jdPQavkvI-7ex1J>+BoLq%2R`Lrr!$EmH5$MLz^@^K0kJUT)i{~oUEYx z#-@H_hLeT2mBJq9>~{a0`DpNSfOrKJaMLiOaDU(f+O=VRmvC>{!7fbKF zKYJ@FTH0TCyHMKm_ItnG;?g^JZ|`~_?b2@d`hBAA_xJsc5+Dgl=6&9IpFi*Oe4hE| z X;c-6nCZ0b9@U0(&A3fU={=3n}7eBfAoEO)>I-h#PQMEw#e(Tf4|N8ikT_4|^ zO~ev(h}jZd<8YE0YkeD3{o$#)Su`4)MMrESI{qRW+;u@1+lmx;0D1vPC&4S6=4a9G z;8}~i>qE?>1Vk(Pc*&x4OP!!SjxRXda5Fe?sWsFK0bpfi z&BLd+q8|4YGjIE6-C3~!I4wK_&q}`#1ow9K;LXQ7DPTkLT?@Lid|{|zBlwhhg{=Wo z6WeyapjUvK+)4c_nvxfWs+{2WK@<)&cg4Uq2#G-#*{n~iRXI;M;307MP?>&+*(UF1 zJ`t`;b7Cz(YTxZIO-)4?ki#~MX2C95c+IXq3m)s7ymhDmJuY)?!FdvTcprpz+rcf2 z@Q3>V2kwyT*5^Gqs8|HLtjRVEc4WJKQqsy10IJnoljZe@jn1DT;4{#sPmJMWAiKw$R_ASP8I6a0_*@cZJPoD z=FrP8>Q$4KnU%}~sMi56JrDS?GW}a&tNuIZLxp%(Y7v)EdZx%SWxHWJrGHNSaKrs8 zn#*s=7jBIl7k~l@1M&(6O#y8p17^s`DFkQog7WZye!4@D0Ha9cdqEA+P*MeJkuke& zLEB)^Oi&T!H9^q~91zVnnK5BZdSP)Bp~MSnh_d%fkpGkqd&oC`gicem3yG2$R&Rnp zuo!Z*2&F|({Yn^nvg?}xHX=-HTFGY(aF+s!_9A53|KQM9fB5gOzISU;B>=QU7-SZ6 z@K@Q|1E7>b9vdh^#>fH{iOoX>7HWYA9%`M8UicZHh52R~J{;#I(1gsIC@)l+5b)yg zI4s@-6f8lH3IhuzG+tPwvC*q$%qn~qcmvSMYA#1}1gy#gp{v>Fn4Y{zJ4B#jjaFQ0~w?_#fX{4Bz|JgTEwh9tMSIw0F1_Rm+ty zrQ$oeq@YlJrwJT~5#iaq8e&+LEzs_dHwrq6soi=b>4jhr6cLytmO+rCCV9MKxE&*^ z2C5G4(!zkvlJBAndW>r4iR&m0Cz&%q!H#}i)T@PgRE}fH3aW_VrC~IaAOrE%J%pB(B}|GWp(TkV z;0@pjEZ9LtT2wT6POhGPVpgcx16XJ<-(Z?#zXOSKvUfgs`o_uc-ul&~IuPA{_e)SS zEIiZ0E*~t%;ZFKY|E#Sw3EQSl5=j>|ak#7grPbK{(V$ktRWsdkpC3}8$UFembLGZl zt%hRh+VX@v^pkKhDRF{ECTmKDm@OmBtbYMEm+rT0>nqsgR?c|u;QtNlgw)t6>3%t0 zE$occal?Fq9(zIC7URjxQ_w_7y0(7>B#~_6de!7)bYGZ49LJYYQknGkqU0epD-^be ztpNGZc9S#YXxDHN9xHsc>v%-8sGhT;Faf0_%y6why_Lk6L|QXFFH__rY3!2MaRcS{ z=tm;X=)9;YcP9X1TTnSg(P!%90Z(0@FeTg>O7gtI=R!L-w(S+SR3>(y87a7(-p|v` z@L!rqx*HgA3ExS!qpOq(6R8Y*hen~gXVQ2r^oY+M=&Em&Wy!EWN2p*G*yX0VT|bT@o8^LNqaO;FRkZF=^}~@ zh_MMA@)Avcsd#L{;+AKMqJ^)z(Kl56C!}LvH$%pf4r$PV$tB(9I|c73mGQ=v3nDrL zon78~@>e}ml$~6GxKtrty9lvOWs$1Z15X6P1AGHT=ko_h_J`ES;)>t2-5xg`El1`& z?oNgaREWj^qNk;FsSJgB5|_#rb=j73hSP&E5_9*TWTULvNji3Mq|B`ZOvh0<}DD&Y`7r&7r4wu8TI_I}#N$G2TxB z3o!)Ud}GkHu)BE7n@dedOglq{#3K2Q02KA|7i2?9V$=dPq~xIHAt?0J2id+$gXLsY z(>RKPs^ke(S4cwhCZ7?if78AA58lzgtQ9U@l}-lNnrLMVld;;MBGRD_JgXT6euzd~9e)Sk zcrSc*0-U?i6ivFEmKv{-R~VWhqS@pzlg!Fet~RMtPkVQ$n%W^zPz&e+GB6}hSq%;- znL@xm9Xn@5Co*)_{?pG{QF)8JYHW zCRd=LFmqSAwNF>g)NXT7{qT2Rc3=AY2X4;^EpE2I_2gIu)lop-IpEV|k43rz55^YemL%dadS0OdQlZenSsxdW7T{+~>9= zsol+-2nNxZSJD_)7pHm>r5^S!tHI_N>yYlmf?TN9c?|7|N7bhNOdFbu2FJOckXTWw zT$8CaOOb9;FIK@=os-wP?87<3F>{Xw?cb;~1&8TshJjXP-YfN-t3xtXG7)yL3wvO9 zLB5pwm|TYF(kA&)o%$SeMYV?m51Gp7GCN%l)x_JF0sC3kO3t5AamM@k_}9hHE^oc9 z1&roCxzH}Q^T|w4(pc7BBusYqDajEY%lUPT_?8#yNuyq%Z(u0J1QTLw9g-AUYSd+c zF%yhKPSP^s?gCoC2_`)#moZckM>m;GA}v`p#`F%)fX`|OfMTK}2~D2iP`(*TI}JTh z!O0uC5%HD^=^0`lnb7w>9R6yf=Cj5Viz){x)gcOXZPTGu5i* zLBZ}`Zn>r+^M}kb5d&nw?Jd7c7$Vu!NydDa0Jh5-q!?Haa5H4+@(JlTE~|Qtp?9V2 z>ylKPXxa;X;OIhi%~KCQzxRv5OYkOeP_C?Zeui3hRCeJb>a%Qz37l~)g`;J2l;S**q zX}+RXh4ezrJVsM^gFo3t-RbGy>f^|JR5|iugl@7FQ$@y;zTObI3VTiX%&ZJ>PTbv0vXBU}9IP7GvWDNhpDv>CbDsTvR*U z$qes(LTIe;`NxIw@`JQ4S=ul5OhTLTF6e%IfZJSOW2a-*aZ@1)-1mayBo!$a(V$>0 zA1k1SyUAfxn0z})CCz;u`p$A$&Xrp-i6Al^7FEso5?YF7X-mJikv*!#8W=uYOG;JL zASK$l0hSqzIB4zKRFGau)rf4HY%0c2*H}o&*uRf?s#m|JP2myhYLV)?Jd^8WOfE$T zP&N18&qUk%>|*f}ELx?^3wBb2#ML^^V4eySfPaBz$ayh|gypi;mCS4ZHz8Noq-4F( z!WB&q*2mREvK0cTJ%b*8B@N|kGPz4OHoo)HZq{Pl#q*}r$pUL-#KE`K-+6A&3x`$= z{;%6(Cke5dDq3rI8x-I_=BZ89R+6rlzm<>{DE+v@JV%i*`Bk|5T&&G!++rWHL1FA= zMG(`vIj;r+!ZdviO$`~mGH0sfW2?)h9^Vpr@6#Xm$LmA+UW!4>I20J7rzkKIRf;e; z^t`unDwm3Qa2@6F4W7o0{92y*jw0l~ms@P)t(1tc+~_s5llY=A_PeB5Q(s_!s}$u0 z?&6r32oqtwg43nEv32Z=Ct})rE_g8cLbwu_v1!(88#HK36p(92A; z)EmsrDmZio%0+sONiuyCJfSgW*`y1kH_V#60?+YKYT4PR+I8GSLiKZ#MSx^v!M5Iu zYf9T0FyQkbnVDVj$@u60^xcKPPi~#=QWW+r33LoTKAXYr>=R)T+}22rP^60;e4ZLqsUjxFy{}W+)}^-VBiGx)cM4lL z4JYkR>WujIKn#KIJ8M1;>7_uCTwAfClWmfL1*lz+SmOR4V8bN}S!%$|; z;9NdmPH05D6d8F6yvm4T@QRF4h4OlZVj9&lZ6ol~kx}&X{btUHSIX^CRUkH@QfFA{ zYwuLaLIdhKpM)^eR!HWwFJ<)C7|dD5*Xd zyV3lU$qTn94e)3fE`=>A<{IkZdJZ$LB6=+CWiBgGb88dCJvgl7=~po|t3`jTYn=5Z zNEseemXc8p)(VCLqr_!bDT+I*2RL_iJsp$l^~NMW)+rnkcz1#{oGw7cOD?=kp4C0I zO$g)0PKx}vfE0;QYM0gx>`y>6=lXJF;vni20z4IfDe?nX$Ae1v$jYrglr{^wLUtNz$*~A;yZtsMi9}pk;Ke zCN@yf;kB(y_}c;kRm|Je5$+lzguKR|Q#21W0#8B~q`!g|I)aBKTF5?KUfJ~ZPj4(a zc6&k@DHajif!?R_b0H@g(-eY66kwB<1X+yk(2U*WdZZA%N#THfGLW=cK-n0)oS|JM zzzL$IHV9iWYCD%(&c%=eBM4o@IDiVlK=6X#%#jE+pI5!3L4t6x0=|Rsl!~nopE6Ss zhE&x?Whv$Gi!wnhLuu#&?`93&CZr{KC@oPqzs1O-1C=;++;0#QP ziOs$)M-1*YnIWJCks%1^0E(C+qFz`a%sNfP?BEA@-QJ`cl2}3j?FW^ZGQ{WzBg+9D z1t2N;Dhp9P!T={s5QIS!0auh0Bnu0kvoiCjJ|Di}VzNkNR1t6M<}<}==Ap5R%oU*}d z;At;OwE_#r=p`r@OOQ*YBElf;m2*0v=RuS;n#DY9R9Vr*u{1~OIi!gtO%epTC=@3Z z;SOP-@bMDn%!+lD#H~XrC31LUAy&YsDVAcDg1|Iu5&;UES&B^}Ow1ff5{NwAR1AUy zzjLXG07GRO>$1tIARgo$R1)^A#C&rU3i5C%sf|ivl0!@7H=vz7X@n;5YO6rIcs5sZ zbv$p#uw*_dDN;bx35qtV93`!xN*Tj86p$o{`H>)yWyKtr8{YNm4$0y6a*Ru-**L%~ z6@!B@DN8iumosK8#!^1Q~;&c~7o9f9S7SLi-GNPDV7Zve! z6JI=6-4|GK|Iyp$4!Bc>YRWh@LWOR)&}uXrFf=KITOl-qGN!R=UZb>BZ6eJQ!AWw+ z!OM4RvKfm_W{JvENyA$BQjA{0fD}Q_i$v*55N2f6Y*0I_n~`Cyft4s3#*J7en#mB4 zd58uZ+Mxjv?EArUoxljEe0Nzcl5nMg*+axt78I%pW0eJRsAiy{A)HAU>qwnPoPm`Q zpHEt_QR6wU5Jw_w6xngFN~-X-X5cOjfAQF*FP`@jw+@~dQS(H|ABVX$l{AUSoG*I0 z_(vSlxLF3!a7~m#^z|uvp95G&IXcW(&g51*L?R!XO-T{5vakbaN4x;!9WI}S&u!(G z*#;7@zaCgD(-<|y&W9H)WB zVl2q>TyCk`jQd~=rI;7jrRpPuu@!>x+hQycPZmhL>5|bL5?Q&0lY##Tmp^7r3N{AS zYzU+nwC72I%@rWBx8jKU=ZF9M>ucY=b;8-8jY;va##0)Rn_R9V5iC`eiz3yVBa<30 zO~Nh($AurnE{kAPNVmTfkTLn@dV97SYwkS=T^czXYa z`C^OttKiyOXG%yS)(8N10mdE{Aw9dO6BECLn`r@VU>S?U_<<7=b?-G`na$T>x1NF( zQA&bvq3XgAfaC#HW~jShBZ1|3g5dL6h6aBlN!<&MN?bh+E&|R2gV~#J6-g76cjy2n z1C<3xu{)@x(9cXAHWQ7kVB|~q1S1+@xM44ain07MjwMXIw~{D!v;KVEY+#KnVQhyz z0HPUA8Wwf9iADu5Q!q4y0rWfrQ-J{d z8Vr-mYoN^3Qlxz``o}+i{Q2O2!{@klaD*MC4e!9e3{kM9AniL~ZUITmXr{<=ilOhw z`lK!epkoEnQqsWljG1l%eqJ6k;RV{A7xsI29+;G(Vt{?ZG02{Pm!J_;wMqo^B7@#? z@ai>elca!0$Yo;*Uc$s)fLRY6d*HDqh48R+*js1xdK5R$5;-(XHr}*WrIR@mgb`lU z@MIo2q`+r_KZF?s_5g*$UiHt<_x|)}D>hc&IuW9oY3;6`)n=kjcV}skk5*8tC;f%t zlZ+s~oqC1QTJ??f^0S5VUunm=c4_%gSz`n9t{O`{q=)+-h?dC>t-^vd#Y(=aWE&rd}9CCoQeFn=$P6i79_j6gx2=ozYS>q2iS87tH4^b5}|1XXA@HA@Xv zL<=U4JVdoHAJ7*Zt&KGkJkotf_cq$0k4CwgFyRMFC3^D}vTE7PaF?+E-ZG;WI@=D!*A zRfR0v9AC>1u2QYk(7G1~J0tsAW(Gf)l}5F{Wtw-?oNC&5h>u_WUv+Pso07xgrt;Rh z@B_X>@04+c&pDD;g3^Pv6Q{W750gEEPq!Q)FN)FcApL-)TDJXBNWIkRvL~c(yy!MWu27E{+Cr_YQXONHzrR4cx6$;o9Qo7(snT}z zKUW$)omug(FW(lAi`}&QT%PW`+8yES$qODKzLK`!Saq^^2yHELgvCg*_`S(SNgb`Z zdu=o90c@$N)WdbfBS2&S2K5+(EJ|#lRyEQtaqVr)#8#%r=D9LfT9FDoKt`*X&UGEN zqtFd{_axPv>e~=b(2eyZidQGX@}jR36_iFh#$t6?JX|LFwb8Do_ltRHPS~EDx6;q! zoO)@KpU%Y)&y2*sRb0Iep&MF3;2JN?bKfXJ+xsVj$RMtV7~bUC8*c5F5w@A0b7S@b zy1-3IX>P2YUbjX+j$W#pr!tARJX`2m{r)50mj~`Ufu2I{LVs1|hIb=x?2FfnX$NOJ zHq8_3hgXx9<8hz0<$=7);Oy3-mYQqVD4tgPysfaXxtm`;9QJjXN>4%XASCUqY(sg} zGU+Ka?QcajPXT1Em$%4~bAuHS<3sbqACxn$R|I`y!ufYCpH8j#{d2cReGQKDgG>T0I? zRJ~W{bELwvIVCuUoccnagmcwpFZ<)Pskg(NAJ6sY^nUdo>E#u;TtqMa;@pOywh^G z<98g@TnOc3vbr{4tD+7hhVFh0jhW{Tm!Z4YT}@G*_bF=o2K=_RzP7Pb=%fnkE~UyC zyiN{tvY&x~$NG20qjW@Y2;0qZlCHK4Mb51J{FN6=Bg_8dm$!z0slQEzB7j$*gyDL4 zQ*bM@NEHkXJuSnx(V-vfy((H4jQt)j+6w5Q;-SUeR&|zyUxQW^b;E5}%)E%LU1*>H zA`8hH>Nz!8Q$PP11aePN@XhIgIB+3!dj4;ledra>1u1@n?5=FZ9Vk1oog!C*{{r$KOX5%$a597 z2@$@%5_sC3kY~!9w#zjqDQ&yV%?QI`yoP!!YJ`#_i&EY)-fV*({WhlYZv{Wa%-FB; zA=FFJQ+&t{CFNT=KULEenc|zdQRs%7Syw(fJgUEIFCR^g>eq&9UXe#r*YxZ+8omv0 z5o`7KVSSWlYLd0!akN6>a3gtAT7y&7+kUY)_mi(reSBLiBC`Z6_LKTvlTOQQjy_b} zqjC8a+FC-iiwuFfVoH9mjwbb_0h{yN_2FWj$yaK?`bJBW5^WS<(zK(tfEe+jT)vU> z#*xHyaD+%38R}^Srg?0oMv>5Hk3vmeK`^~5%QQ0~$_FHtr@<(NUh-g}io8j(Q-iV_ zd27nS)Z?1AAO$=^@HNv(T~*v_Qb9a}spS|bG@2Kj69~3qsLrk9MJgYm4!e=+qhV;FBA2LHfcuef*5C|q$Gb%2KG#G4*i3u_k z4_@GsV1&&US zQoYM*0P2gT>OD`-{rKLg_imqMV3_wFO zU9zp!j+S7Wx6@%|6jMjA;k)!PvK8&7{hRMo{Y~_d-Nh~M8raQ|QVdza5))BctW1lw zX>6~xtuIobMkq%N@WNOFxQTa)9?_T6DqP(8n(_$kce{e@}HiXv*~L7jY=_M}7AOx%sg!nZfXt!T%S zj}?u%i^-6t{hT@1gX|f(O_L-Xd7eylY}DTvAMJ>>XWD$N=lICpR)iuJ0h`L0bNt?x zD~7e6qhpc6v_%Xhn!>fB`u6&?Z+A{d&Xp$0VQ)pbO*PLnDlMm$phiF-SUo#LmqlE% zuip}5#+`yb)sco>3wZ?m0caW4f<9}mI%8gZ<+i`2hf+nCVD*0g#cR+?$m>LUp`oQUQYGoFNIjiN);qf+0alKoM6U14iN za3z3+FqHeMFsUDdg5YBqYGY2vBkP4c)R*2uCIC2N9UnWgv)~9!(p~7j z9~UHGQ%n5d_-4d)YIcp<%-4!fN}+e;BMe}V+ONz*(^Ge$d_dnIxi5=S#EN{baR=p& zor5-yojJ9~$cQB!v3PbWJC!55>6Yy923iW@W$8jpTI8ZfnI+D>E!LPAC-=Pxd)wZf z{g#7(qZ8^%)u~fyb){{6dx0cL;S%>hd_DB!7cK1nnXz`}L3Vw;8agM4eoEa`FF=k{ zrbn;Q$XcwbVl6#PO27fYGO$D=3M@_SDcFLHA}4JqmAOU?4j$C@g}wJf23W1m_eeE` z33gh}Ln1V~hxinr$01VpkU7mFu`m02$%0gq)J^53^PmmQ5~j0D6orV&)k{kdo9BQT z+TjF^VyLG0L0_1B6wULTW!Ex}r;8wdahxO(r#WD5nk-DJ6UjZugO5WwLOe|l`Nbwu z)w#$HTiDx*DKZyFNNZXO>3kVh8cE+n2Co~Lk5F+$v#r7srxy?cG0}e3Xq8QNrnPH1 zE`pHJR5$?yXbT~&nxA}l*MI-#*;_+Jz*a;lwNdWCIeHYG%MuqeY$!yUUX2`W}Yn71mGES3Bmk5_)LUo*`l6>`|t?Mf3AXBw-aVh`rC#09%X?abkQjUwQl*Rg9>LUH`VoEb!!iJ? zJ;KX?DQeA9jLvb-jQ;!3%gpY#owez0dUf#b#rmpbt@PKnhO^;$s&hPDc(yE6O(o~l z4swn>pWqjet>dcL+T_`Tn0e}?w6mZsyrH6~_G!4--`>3~TyjEQ#I?_#(;6Vh{1lWN zU%Sv6{$9;oUG3Ae4I~3Si_v1>krZ+tteLV+{>v#k zYaO+9#}Clwu0Xo=eBqno!rL1T-j_*LZ5a|8@C9 z&>qOrFCgEV??wyw8ETIloDc82GDWpaPyJ{i{)1pa$Nf-7JvXt{v6Ac+k?X}e+*)xY zv+K-9Pzk(aAzg8DeR@v5@0|vRGo3i-`$4c3J@H&qts~uwr)SfP@*}~5)}fX{$mXXK zJ5O+h{xV#6_JCf7_R|Y!ziSacjQ##~TOB_t+FDwSruSVy+3E+JnChQ#Ua)?FOM(S` zt`hP%d_+{`P^*7+=#QOGe*LH03wB=Pa4EL8jwT-*B|p)cweNL|B|36C+EBclx{jM2 zi=p<)9cnE()BbHk6S==U?xgBlJUcYU)jYtz4D^Xr%s#CzQdbD;NUK&BQHsOB4;=IB z0^OQvD{akitwHV>7Q-46QBf;PU#sUU%KKh4N$OVlP>dpr_hccyAsS8 zT_UV$%hVKPqQC~TYZzA0*nnIlhwNA0*p`YXr09ma7K>Ql>SAh=d#G|7F3F{M@xNC1Tp6HlV<90OR zb3{6+M3iZ^iOs9i>R$JEVCS7XT*}?At+J>m$tRsr7+#;&_ica>?UZW@rFL{w#^G@X z(>Er{;v+hy76M##Js51k7-c1yw0X`2rnc7)G2eIql5SCUbi=jGm`|qLZ}ue9eqChl*{p!>53>p7JpMhh;(JmwtoZGW}t|xSueKQ=l4@3Wkq<0PBZIa zUo}(H*~7PwQR{hLdQb+#?IhVHyN}l&a#u*P!um+3-1;`A58whA;2ymC2)2!8WIAd; z07bl@7D<28c7Gew^6nDH>CKV$4oX^1^Uz^wQU(KV3CwA0ti8j%L)>5y?E!8&219H( z0nn?Em+D(PCz}g$?DNB)c8;wmUwwO>hd8F+2+>|Tx8LB6BJ*8(Ga?uW*PjMI#K2=V zS2jLL(IypWKKbp4&y^u@fCl6crX-FsVY9fLGDFBN;)M+!BMWwZjt+dFJe@Grh=n#okL=ro6HkWBsj9Qt_M$F*suwts4F>aA%66(((AEFfn z4Lw*8nOOnc3L0{lNeCZ;%|GJ=oM*zov3X$LLkBo;1|X8lN2p4OfkN1O8G@a-6|`z# z$v^(r1KUpj&(!JL=ZhrbDzgKSf;}jOy_5%brb$zkBN566q#o4lbKZ19oA44xp?g-o z!v^l+K`#J+nu=3>_vS{)Sv^B4G?ng(^ox~X8ehQDgqNClhmBk5E#SCGInY)_ zM*Wo-c&bT;I?h+pd3qb(k*t@KVq%0*MZ@oSK18|H^!5pf=VQ`f-IIN>aZ)E<@d`)5&-y9;;w$Jq;bIab_4fn!#e zYRs;R5I$iA+$TZv$Ro zzjqF=wE49ay0gZ@&42Klf1F(L^uX=W+aGL?bA_Rw`#bmai~(irQ#3w3G_TuV8o`me z?b5uqTCb}8XJ2or{a4XUN$6z%r_Cpu@yM0U6{{bH`oizGOXN}EG1uG&$xp@NQ^!N^ z7rp0c=oL;kGyQk(d4yc!ciwV*qe7;!s0< z={&$r=0n}$hozJJCk2!A$oS08^ruvOtTVtN$2%)Zgk_DN`hXAcOf zHcvgy-I#B-qlMV|^h@rl%T&p~7XqUILEM3wr#|eTd&-CJuIROgF3!)+0NCij=Izh* z9;Ew^Z+^sirRnD{@59Fm`Ngp(0>d`nqIjaV=cU;l@1ov)nP&X?F=1VqTJyvDBdzH3 z4l6$T&Fd|#_gs$^&wn%aDR*I#f?=^=c_K4|k7T5H3BU0u^MNeRZ~TOrhr;_`cn(?t zYtpwkQ9{3bb*!earC{S@e>c_ESZsH_U!kAtY}?{#V9w5E_R#0%y4Rsa-&5#ct4A$2 zu77u)dGeM#O~Lm4<=RotdxdrH!MvE>JkR_;@*&z@+J-$49@oK@t8M(}P-n{yx&Qsp zd;JZeU$`rZ4;5DwKVq{_)Fw+aHP+0d!uN$!KymFDW#*pW*D>=veC!|4TbcW5wD4g& zn!Y~89APr9pUV$-X!n*N35P;m*_ z*i?qgw1V&tgeAY#O7=as;OY9#tS5ckjT$xrC`vE80`*a~o}TG1UM=>0#+>v4PO@(c zlLmkgV|Q1(L7E3A&ZS>ZQmdtr-oWU%g}PkGJnU?){$^=knYLPbto@#VtFh!Vx#BI$ zcrp60c#3%(5}@Y#|JY;47w6kzi@j?kYvU~1|4m#c%(_6HKXi7?Gmjj^ZN6jRlV5(q zHxUGhuBz=BoR0p&Vf!4^;r!;q%-xSun|`=027JWMLR@&{`Ht50*Aa*mZE;pH_Kv=a z;sYm2@e^;wOU3zEr>~^c10tO*v3HDgcn;C~I_Byh=qaIHAkmUqm#d`KnfVr8W!Fl| zJI-`o40`6eA7JibUYhGJgd)3d)U4F*;m?2Z(@Xy&?Y%A1@^)@`-Sgvx1wCU^wgu)> z1W@NTys3hQcgT8@6SXbxCzwYaSEE^Rf>=+Ezy&%274Z{yCX&c;qH`3PL0 zz2ZPtrgP8R!Iu32NOkB>zo-V$UMa=L9+Fz0 zm};69rl5W;HCz&6##R=WSQlO|awtu6RuD(p7uUxCdpQ96EJ(>rhRu`3e$~r2JXN5Z}?%yQt|E3S%iRc~S zgTl|Be;EDnAa-vUtJOD*{h+>DCuc#jCAG}E_xPE29U#^RZJ%!j>7AR@?*3t*8Dv{} zOSX@?uE*&4^;rOBpS@#J+kD`)yYUkr#LHqOJo6|yAJa?hr^IR;mfQm==h`p^y4w{v)_ za57jhG><#=YD#Yw7WBWaYXCHk3{|=M(BpUk*?H)eR7Gz?Rl$m)ddCxZ24#y4&tv-c zIQlyEqk#q?IGdh5`P%9GrE$T;+VuzX|Fy&sH$C z@NVVegVpedLKbbb2Cq)_A}HFdrul4LX2rl($8rCfa3R&1`s-bQ;IU>DTub-LRO{J!>IM8)MOza96be5B2&GiR5Zbi{ zzeqc53w%X4pqa8TCjA;7g<55wvn{xQ;H;;p1>g$papDDsLjv;9*=l-dDzuHRK40`_|3f%J!)GV|LvKH!ska#n`lkw@%N+@*nbK|ceRp%Yu=@VGyPo@T6 z6Zl%-4=1VsK?HvKuuT+sfIbz{92+eNw+cq8sC-r!rA0NZZgoOy*d=;dxLce>6Mi5b zEg*js-jjBpr;({0M^fk1N}ZW?A`VJX#5lLr2`1gFqm61s%Q3YoQ54ZcaRj{gT^zh; znO@#KWJOZELX=s?GM}nKPAO_lP_6o`It=j`oA|CJI?hf}Sq75q2HNtsaU=kq5G-n8 zn(b#BpVlBGQG2!&d(6-;AR#saDUoe~Q_h+@j;rDvmbAh!$@4G$tL|NP6UK zl;5JYBkIqqzn}cc|NPUXTO(~OZ*MGbZ}#+j1C-A$(qy)bZyF4G=iaNFPYPJGKd}o|_tSpaT$kWQLwA-lwpdo8Zaoa;v(OEPVod()R54k|T zMsCR}NLWpGmTA;|n$>^QgIYc4bMn7@T@Q_Kq;Cv3=@0s6GHrO~io3c&_=C7`hh{kJ^Bz>J#+n!^;B=HZp*YaUO(Q37kkUa{oj(T`~HURKOxo$Q@0n? zKy<_99qq?X&AzoLuFfv13!$FhX1_w`_i3T?+2!(E-)HQY6xkq_|@R z*S~_Bn$+AkjUQM_VMWcZq@CF%6_(O?iT#B+dY?XN^;FaGt7CJuTYF$BMdU)b*p2~> zSD~HhEr+k{LV8bjN!@@~YUi?FN6%lsXGNRimUIcQe7NBaTiz2v!WT|13>@SZF5VRF z2yT06PP1a}I)2P?RerQ`M;HI*uR&A(8nonN-N7Shs3U?g`!`O zV-4gLk3TUZ&1uJ=*kPMiy)_DUj~(cVYe2Btnf9SSoh}xgO~m&x%x^ zc7GUsYD;letT!-(FEw|W`g)J$or#&=fZKIa`e~?^sRTV)1=+Yx&Rv$3=`s64t@$h0 zPV}##to3ub__m&e>hiXI7cYQZj{}iqA1KRjiA6%{mRt|)km`Zi&dBO*2XLXUSbNMhv3K5ydb3j9d{e4##mm43%1X6EoND_2 zgqpR19-)tdPy<<^f{sKB+l%ef0081?U=L;Pk(yiW3;U>&w=MXqQ1&YQC~M9-HdklWN?UCB4ZPTXQ2dB z{Y7WT8@2PPSmDn>me1V0My1===q+=0GdjO7^Q`?`AIP!;ES|T);_=}*t)_a1?VWstQUN#PEXKt zsM|Ag`})ijpn*5g#fmxkM@N78)$r}b*90zjlYU21o0f8^zA_t@j&l&4IBL)3cPMX; zYPNJQ352~Fn`tP3)8?asJ~ZI4UDQK?)E%pMaMx>A%fH;AXUWIY(i9C%6h8-c5Y4TR z2QXU3C%1*y-a)53czyY zF6S@meP9bLktYjP&LPgKNr*@3?~<@aJL=+x{$UyqIZL7@AVd#ptccA3>@8>7>&i%pr zmkR@eH2=Q?cC52^WxpUL>(*iPLf#+@J;B}j9dgz98u&GP7`<=fP}xBKis}CvDqIWOmp-24Y%;`>=$dkd+RrYoHXYqBjH-S8p5lJZN`ZQ{Jbh$ z6o|MZspT?y^rU7^)nno!Pu|5tk}#8(_`4y!k@PMdG$cZUTM>UoOna|mC@-kzp%-Tl zf+yvv#q!1frh;LMLnM} z=g88Kzpv4%C-jZ-*0cyMl~P`5Ny?mGFNd+MTj*cOm`w?dhYGHyl{kPnT1+STu)kf0 z64V@O*qlr&PhAqP(SLfeaM3*Xn)%is85NL*CKCk2)Ux9qWg)$hAWRq%{V*Y$yt-gw zYgA6j_X$RG8-}d88YK;N5+FM@pEEP#tkxu(my!}xn$j#oK&F7E3%ME*f~pxw(iMUr zNEzkBR=mk9&(@_bef{mW3*N7_;7M{(Zy zZp+kssye*$GrhWJXs)W_xd!X{d8(Ikd^vUE!50rvuq#oqd!Bwjd}=;bAy)6kU(|04 z?*Xh4-t!uo^X(ZrIN$hUUHGW;d%J<9R6~C+_-5*}p-1R5pE(*v6LUepl+tIv{OBHj zBRDUx*axR-W;Wi$70p#vkLsUQJu-TBZsNY3Kcmz0AH7-kRifJAT;Qt@1z~Ww-Qe>9 zL@Kx^eCmtc+h^yk@T|uVP3>Cvq}u5O=WI(D`dm29f0X(oh~woO(7)k?=V2#sq~=q9 z`%!PO<@*)zror%M2OF^b=R+WqFLyscH6&i$v}Yrp+5LF%=%JSFZ55xLEgP!-XtZ(9 zsi!x-f3|8)cpLAroNaq|F8sa|U`@a&YCH58qhowq5Ma6$+)2DL2E%b-L~pUqF~R7U*ecIc&syMY zAfD!cgs9ZXOyNicBZ0U4Ch&2e0fbT!*%oXS+i>UwTwwbH+6@mi_5w4E+=?vz{^Qhr zdRa%I?$|wx)~^X$23v>JqmCB(ITe7yy9;$E401E~32FgU@BP)fV+0&(7^j;57oBkY zvtE|I=fcy=9$tG(xHpxN5i;}PR|XRArQD=JXJIu`VBIr7trZ6g6$LrQ+51$*@Z`-b zd8kSyObXls>t&7EREZ#?8vYX|3MfTX_Fm9fpnqU7qjku7h9{c5@JgVV`XG6jFnR$L z16mOBm^q*#fkG5%lh+S_0TiBV)#%M4b08H;5hTLsHJM4szA$krHgr9c_YraH$Y&`k$wN7W1%^ZoC0WAC2qs@6R4(|L?dByCtExoYdsh+~ zNkn+$jj0&2ts|n6hsH(PTMR{B1ne0Z3V8(Oope6s8u~OLn)pJ=3w9rZ6XgsNr!W*jH$Dl*2S_<3utNC#s>8n? zTCqKG`-HOsG@1djm;K$O7+6Rs|Gy6yhMQ9dMk9MS2$SFqd$S{$l~G)QZ!pezdcHUN z(@jGrA4cTnFWEwF`Bna!iSzVQ`+%R-U>P{*{z!mm=FHoVZcks`mI$ z@t|A~p_Wj*O-xQR!~kpP>i*xvy?7`#rDpAM>=>!d%fz-w3e zQ70m*kI(5jHV+|iuZF7^`;&$C57e7<;c}X1e%Ua7lEtnhCt}b9GT*5)#IJr;TVXMyh zabGNZCEGOT&AqDaOE#;|8b`+DH1Ctd%ahA{812~CEK1EHCZ;noExpe&{(L@s(SusW zT3c77B>NjzWyrm~sGm5Q>9s%Uhhs&_-`?kh@}gdU&oM7EL1tf$e}vO=F?lxAI3icy z_m>-TT#(%KDZAHZHP+`&VIsPG!Xnn1X!djS9Wyq=yG~b8IlG&s_0B8J*16cn`DU** zp7E8%+q~7DdcCu@eeNF8$F9C)TJjNxx|uGYCHKk3LZd(Eo$P*Za*9UZFAlT1u4&H# znTF&X_oCFfT6dWZ8PX@d6qP-Fd#x3t3GpArEqYa5wd~K1nfkI+Nz$e==QR$iGiJQ%$0|$mKh~P@8mQw75tK)~sr^%RKMK zX3@tz>Bh%Wi7E4cXegFLJx;G{Y-$uo-2q1xkIRBMXujg1eTCGnX}-Kmmg0;gavd}D z>*z_s93f4O`+l}(_C5Xjk8eNx^uJVp_oWR1PAft^j7%Ekl5GuYMwxTuVR4jM4B*i>WIIo7BkIh7!V~H@;l#H?H_t#uunaiWzxt-7- z`&(*M$v-oaiWna>9d)r;vU_Z#*0l~-QHkZd(nr(b2TY*I-d6QU6PurQzufs9K`zVE zI}O2&)bxXZ=q`4N8cT`}5GqCE#Pr+&w>^_=B|_e0vUxys50cmbZ)#->)>|*v(zSlr zQyTXyHEQQ2Sr?N{vLGFEH^)TCrduP9&`q=^FC#Sx=E`^YTS|hSqx(kLt z5>zjPTGp=<3w>o3Uf?vkRpgmBS+Nt>1mQgC&`l-sE^y5aD@c@6g(V&^S++YREPH_m zf_u@Ureg_DKX1 zL>V&`V^xFU*j07wQ9i1^{{E$&nNRdx(1%{hrhDfPnjc2d*427?*~@BD3-7PH)!eH; zq;>qp9S`}D22!7GlyVJZZttD3;!Kn4T{Cl9!*yOpCpR%?=3Dx?HVteTZH>~3b#9-o zK97#cTyxiqv2=ph@0CZaMm7$(R`E^efRdMd1uGgUI_MTRIP;-tqUsw)*+5I7Xc`~~oCdX3*-bKuK`~8|U zZ;;A~oilRACN#LIIo6ynSMAQ>9iMf4VZlm(zI7?K$dypf$zk(W`9-#wQ#wKCYIR9} zaUd+lR;SNSovBXmT^A=nhiILlM)^5)KtbNoD!-%&#I#*4s&~^ecN3rDdIhMv5J`X7 zm{q(2A;!{O;aaQ8Ldi2qc%^m={hh+1;pdcu6ZVHV zt07gGNFZ9%#v-q=?WiNT`8r;djgV6uu=+GSyk=?d{QSpXx%lJn8SCN*_t$+;{mr_a(n-^MH!)AE^9PfI z@2>eB@BRTXd)hi9@2vM;u-(h&Thl$(-KT6{N}2gCsJg?sv?&u!RiX3zzPqgcueoHaCJ?luvW*6gAN1q-` zk*^-uSprLr1L z6c?n#H?=D&o6xf2OJfc4Vm)1)Ih#8UH+x6#?`-$e@0EH;=aa6MzrDG<_LxP;rN&Hs z=eXFUC!|yA>pNO^T94LzE_K-&)F#H{;g-n*WA7$$*0V->jbZ zm{;8=pBgq+k2q|lp$x-fq`a&i0t8rend7sD#TIQ+MTbFQ0#1IZJ7*?39wAWug~S4i zRq9o0CzZ83f$a7&I=`|{JV+igJG!hZUf*y71{@B@WGk|rK1^KuVbRE$Qm69hafMX5 zqh$k6pOu@ok)nj=C+as)b4XGi)-8#UKKt!-z3rVC8#q~aQi^M|Ka+>GxMf5(>2-IDD$f4iT4PUL=oLgPj`Zry z^X81XLm$;DybjUH3>gEXhdfuBe_wm|ob++?=l^ocjlcibbrEj5@0nr8j?z9tPj~7N4DsD(7b?JWOs@i*?>L?5Nwe-%2jCYa$3!O%*_8yQoYvaC@XG z=FytI6(wy|9iCv4>|-b*MH@!H*xJ)q-N(y8x~FPm_Pn81w^585LyNm#E|s%QvX~ODpHnpub)+$fe(NJtM z@9iQE*&>#cqEj%fg3`biNCc7l&D3_vh(CW%=8f*D%tBGhIuFHwh7`3T?n`vo5I4(r zE%3^wu2J*G(ZBuBKm1sIU_-AqtJE^=sOdVQhkXN)xEH}>4U>wFC6bN|kfiP3mABeu zEp)Q)H<}b_xT8rG%Dqcw4bv`(v7A*Whe39&UD{8@OU>EvnEwgWw&zWyRXd?-TR3X& zt$KNkMWsEKB1F0=n|7UGqZ941s^Ahu;ocaJ(3nY7HL_&IlG_P$I(ee2M-ZnCKy)^4 zN+R5Hnrvs!u+7q(jqQrBN6s>oywqz%7*| z-Yq(IG?t*Uw@&Z=-sNiXLmQV*Zn-qH+jPO~>+*T_!5Nf#?aA(Q~DM4hCA6cd7*i;s&hlyX4m^N*=El%CHq9}v}KFkx?o>ZQETT$o{*?1O*geI zx}&wUrZ8%iS$d95%650Fknl$@VV~$EjS~KBaEz(mq_}6YVX%i2x>|i(O9aC6YX_BWcc$McT;;X>)frZ zsc7pMZzGr6S>!n`W$*Fc`1XfR-Sxk2QWRdMTU0q53yp&eFQ%y-DKuJFNsD^>7|lu# z2<|zn)q|r>0-xq;sa_`Ej?%kJhU*U3c$T_Z^sy4Esb7xW0og-eVcs^@FJ}x{&Kn4h z%M$vpJH|~fd~)Vu`c+%0O3!jhW@z)ik`+SSmigoMd^{y(6|2IdA-W4B1yoryEZfF* zb34&I-Lb=(a+z8IF-T2#z(7;-5Eh(m2(zve$M6H{H83sV$AmfXraE3oGs4Y1;He55 zxo!@CB~Obf9rOt0u0W@a<3m-X`qe9Mz3Z7bnjTx%nJ`g8jdnUiYG6aHNRw9V7#%Dh zOrPwo7mti17G?RuNdDxS;kwi>sj ztl<{I=`A0*Bbn7YGJe`95w z+5WDV8cis_XVT1{YQ)l?a272ZvpO7@_bpO^?Vxt7A<c7iWXSe;a)WA2xj-jgqQAg#ei@K4(WN{f06 z(G~?XEo~a8gUVb1OA((NG@8O11cJaDW=_Q1sMB~}6NCnoV+#W4B<#EJp~Z|OA)z6N z<$gpYk}TG^9OStmsKG5W%u0}{2&z>5qc4s8blcqqexY=jQRSRy8dRn$dZ)2P3@N4+ za+_GChBLI?K?5%Y8$pDU78QZT1%dY=%y$)ETHY;OQWc?`wF;IOjfLiTY(O(j>PQzn zmsuta>ED#7OE$o5Q=8Ks^}o$1q>Qe|c@&Wy`ol&BSfwVnxI z1l~T|69U18S}-L8aayNh zAOevc^_3HKg4-!UGF~OohufI0g)VlAeOmN@#TBj2mu76UMiig{O=S>vTiC(XYPWSF z^eaG*h3**znu)tO7!x`?^U){3y8o~59Qr-H8ysQx({iX?7sGc&4a;K`!#P0Ffkb8+ zoklX@Lgq2F`$EfjnXHDfC;~MN8wpKP!cuGyEwyE-A{OIND^#R{n_4-^x1ki=SWwNL zgo3L#GsbkItA~{Ey(+@Y&Em{6E?t_GTA|;gG=$&P3Na5k%*HBL2>Dh$DaxWEAu>Fu z===moVWjyS=%>|?2E@d7PamsKYp~GAD@VKG`h^RcUx7`fYMOjsCJu7u z_tDzA3*Ji&Fa?^Ke^!jDl*d7k6`qjrF%n|LC5G4Ounf|)F~;3ZAf6W+I(kJGEB9cr z!Z9(m=m?vM;t33G!Bng5@Xmgy3;BRt6!EkP>l1239;JQA0xx0AGqVS1v_d zMHqCdFmWKbCz=x^NfV9)xoQD-iYY`qw;r~g3w?UjMavoyf$mR;c*iXtgwh!T!ej;9 zC|%b*t39e9d?w@_m=p%0k_s++SPgddAKm-&y6;@O*_ILFOu?TBr9cI>MGcLMp@U)< z6vPr^k*p((VRCbL7soxM7l|fy7e>fL5))-jd)?vvg)K8R0)}O z7jdt)%cAaOO$@XaIt^E_2Ys3Eu$UMN6PY*bCKdpM7t_d)oU+4)SeRhs*r&7IX41Ns zUsAwP9X8-xBH+`Tc@I+r!C!XkHdzmZv9XwGgl0#SH-6)=b#-em-V2WMq^^UT5lmD9 zvK4N@fY@Q0BSbckNQk6lVTc(n{9@SA!myoK<=JLjNR{qyv13W zJA+9`25zQZ2A~x5_=ldsnNB-)!NJEG%!p~z7#vzDd4+dwjQ-XOd#ZnT5B?h*l2@h) zNl77$CX9g3D5@hL#_L0-LMzV+GYSf31TfE6Ah;BIpUoYeSs*6BhyjZfBw()5jc+5zpM`YLO&_rTfj?<-uY5g+u%iZ3tfaRjmt z)U*t>)9C|E<8rcZ=O6l?iM{u#m5-eJ>-LXLo!IvVZFx`JLd_FR+i6Hh0oGvX$9 zbhLCo)U=@6IUf5jay+rSKJnIzzkJ_o)%V<-Uw8Kxw1I_Jtx0;daBe5N*Bo2yO<7ZH z%~W1jGf#g_^3Rdh+V4sW?g#9>T#q-nGkevOY7;o{hrb=Sqcc6jg$aJmyqqLfnLU>2 zvVUIT-s>PA*TbeEiOnHU0-BUg*QQKf!aS%>wIBFHMoVD!YI2iAx78v)A&|zr{RJyh0NDS>cB59*%n>|HGE2W8JJt0yN8f8njwKUCg=Q7RqVM4s;Y_%Uh35^jBFD@sD9YG1Bvew?xYneBRI#a!x6 zXy*`%HL*49F$;eqcGviG=ER3j$l=3-z4fxZCX1)+?ds^R=?5>XPkd9q`l!@)`$@a2 z;hM#d>uWXrtnPd&!yD^5U*0A0F?4FJnSUaE(e5#CBx>5ov7wd1QoBWRf7|ki+)73! zmgQo6Fi}IUY7JLv7cld>yZ4>0)Q!LFKD14IAJGqJXXJb07wYw6R$)S2e&PaI`P2Wi z)4luTQ%BN;!;SS1ZdbQYnfo%`){Vtiz})@EV=1|))%eGc9V2Hj~-(9yj_f)fH-k^;y>q&Yi{ei7r z$)ug-r(b?oS{kThbg5U|Rku@H>E3>g-FG@3Z@(5zDg;mkdHx-u2tQ~!R?$zo2R8M}xT%B%~j`PfJT^erDQ*{2`ddzpGd$i`EU(d98r69 zW_*_jaH_|pAwAKgbu_BUK5R*jS@W?9n%F0vNyQ>v+^i%+VmqA@&a>ncJ7=g#TeV|b zDbPfyjT}cw1|q?g>Is&fWcM{oQMsKXc`Le0A_a>YLnM@1F1)M0Jd|}dasB-Wtjgn+ zB=qPP{>vNx_ji6lFK&nfKvA=>F^g*WM!W`m4I~6uQ+gj5Ru`(kd4#QgP(|SeXc-?+ zP-qFN!r(LEDZnTkvH+?fyrmieGLVFjf)@k$4w!@o1Uno&2Y(6=*bYi+#8L4I-W4N= zFk?T3k3=|g@QF%G2pyij^Q$MScfE?w1c#J7fPN8I6;Tq;Vm3`<7#tSd0#6>KlSBw! zmB)D~c(sA^qQrzp@KGnh%P*+?>VQ_Q|^F?3T zNgaIo2xg|xZG0aiQ=H(tPgCC*VTnebGb-@WONY3E!l`BOoHQ~i*~=AI#aHj=V8kUj zrv?h%3RHj2IjCdX&8b~Z$Pdvz1;Qr`)x#-Ob9#d2EYrcNB)mwwFf9+Kv*&@!2GQDAz4R{Up|E8VTS8RpPo?DI_F|h14(hjiMpMJP(S@@59<1G$6k|1GCHnn8*ldLCG!kRo(5|;Urr|8#oZBB(RG8zuCr<; zUOG(uBE^c5M)>?FbJdY0`yCd`?3!w7SfLO^S@AV=fjf}*+Zoxz)j`%Q?RSkYlg&71 z_UGP-j+_B~S)o}}G9lFOA13Q3-MQOm_6fR)jxWI^Zl$M}P6ZNJm=Zt1=DMg&PYhc> z#7Up&iR0V61-@x~523Yu6O;af1b$28n*sqWn8!)!w4r2IbQwbd$fcH|9;XR)cab6> z2m!=`6FWG3_;XD^{X^&N)IY4t{{O$nO+Wkg+mBSIfBCC3(1JB8U^^62)@=b|Us#z@ zCn(I*@ebbQ0=6>2yAcKN#DW?vig)lX5d8YPVFRW>2||HEIXd3OG7sMMOJ)G?Vv%pW zyI};d?I~FR0X8Ri7uH4=F1+{Jt=0eXL;P-VB>Oc?02@-kVms7K5&w0|`$LFGcoIda zphpJ{#Gw?1Sc$=092QObphj3tXtyhG#h9FPO(!RL}@FZJ@TJ5R3N76r~ka zei_s2keqIkbemO*GZ7Qc9!#TO8&6Y%E#U4`1Wh#1@wI%UN2}3s6 zf?H0?&5%<4sosD7$LfxoTOb$$t4R*wx@oz`ke3UtTlEz>BPvfBU=fG^InMire{QuzXE}Tf!mi?%uqXJLUBUw zi0KwWvAI5Z#>wRkT<0KiD#`-y;&a_*9tL5^>5LT>>C>3UiSV{w#**frQb9_qoTDgm zB?P%un-}{U6)wch)BryfmBG76{OFD@ouG?Ee~`B-SLsg83NlHyZhaLdz9LTskVZZBj$7H;x{oYhXH zC@gd7jz{Wn-2#<2lNgDB?ZZ8Lz4I5}{Jl3Hd1n2ZLrSJbwxV^qNjLFk8iL7O*YvQ6 z{I+4a!3~!|`XTW!2E;j8&2T-5rCq(3nMzdIA|{&bP%?(dC%W;C9zoh-hUIt<7hK(R zL!M!lS({BIxOS(KSpuViBh~U0&p&V=dJjZ&L zxGyoc0fD`=p|Q;%=kLNz!(u?tT`MX%vnwbW9qiN5dvToch#SBUtilCoeNpQtuApa? zyb@}y#j3X^r53aOQ#&|IvXn!A0 z)uqsWWv1Rd!OI{7hl>dvJ-*GRoJN^&M0UI(rNEttB*um`(bWy`;M`pG^HkaTKX?CS zbM^OcUl)NOFWCnn19yhUtRbycCIyU~Y6z(gGXGL#Ub6J1m>k-p8x=I9%$n`ew0Q}# z5z82Iw~CBfBNYEi2snbH9f(Oz8nE6od{V^n*JOPebqS7zxK$vEh)rT)Pv2vqs9-uP z>#<6CFfaiSgaG?Tb9u8*w!)`1qLeWW1}T|pu=unwZ|{K zLl9E7&Ag1zVxSE@9NJK*C#3}eg6%RgYicRt@mV8eAZiGGNI+H%1&PK2jW8EjA+Dhv z*}&aOC`5zuuF!BFNMp7jWIlM%^uP`|idmpA3n5eMCW$?=L5s?w9}ADOv||OT!Ch-) zY1*=gY}Kex4H?rHvaOa2OMAkc8Ph}Uw#-U;QD)2F0gVaMEat*II0*&vu%$fkV?V3x zD8!)?h!&bYhjg{i%LSpU0>h?VzI8E@+Ljn!yzb4_O*y)nSoq76w8GH@tVj528z3hKr$6m zCBeXTmIOm#Hf6k056Ofa$qr0MLsog*7_sHFQdB9Nh>2WuFEIkyG_X)BgXt))FPnAY zz;?iEpo$B^QSBs(UmzT#jF&WKD|L#>WiL~c)#jWuR~sqJ$IoB;qme}QD-#>iS@4#O zH0HPmNTDrAEu;1hh3>+=Kd5a@AD6Cz63Kxp2GI3P54 zki8AIGJRGA@Br*nAqA-^z=VV{9NRD$G|a77*mC=HmdkgmaK_iH87HL7P3T4SB$MDV zZ1ENzYC}mgK(5HPqrl^T3XuyM>5f}y(6Xtr zE}UzVhY^!b5?38I1UT{l76lg_GMy4AGS`uT)(5ljldnJ8`TN!SdpE8-*{+qtD(F~X zn>g-r6?lDj@{3_#;qX{S&lVcoX7i-wh?vc0;nHCfaK_9`sM}R$gvP0gP6^0j+&QfM#CjzK|98Z80fDW^9UMFCs z>t+H7vkI9#nOdk+vf=V-vH?`6VCfo9c&q1oaliU=pZ~QGSlTk6Z9&svAx7x59UFuY zG_Z69x8F5OQs72N@8jBr0uZWc@xU-b1%mb8k7*sH^F!@MGedpHCU#>$r?^|T8R zD?g_ffA#{l4Z#uGhpHku;>@5P2}7o!-Jy#ZD;Qp1@=L@F*1U#v4(3cwn@j6}AzE(^ zzziB(1>2g1u5lEul>sp%QK{3+=VTED$|mwSR@k!8MJ1aTByL^y0@Tn%@>CK_xPkQn zH_SMexh85XFa;G8R-SRA8mPeu+iXI|wlNkMf~0O}l`sT-QuL7MXjrp+C|D9>J}d#i z6C9@L`lbljU}wc{?5q%1se!x1vsmuQkO8&;10~!PNn!HGFaG|Ip8rAhpS)EPfgsdg zV_VlT!@3X%GG{P-$&ynDKm#j*2KsL>!;+*Ki=>gUD!G7VVT-n+n%dw+ZIMnQ4ATWx zYCmFYlcHH0VP?rLcvg__nhtcra;H(V#hji(58D)?-;RAWMja+dlE{nEkj?1 zD9XTj(x>w|ZUpYYO+y+9Wg1?8TH#lr6%a?sK$N5rpafAJ0D7lYqfmq$p4N(8_mEcftASO`~myEmL^$O880W)}{ymSG`fkx|~*9Jm&)@vjO z=-}oIZ5oP=og}0JCuzk2EDsVS-~b;+nMI}PEV1PtxXC`0M zcp^4fbpsGlG9|wP5eKO+8&}ZKZBLsH%~CS}5$7?9x{TR?C49~+p$In(0sTsCZUiub zm`nsVU*IJifn{W3SLRMJOcTE;A;1VrcGk5gX;3TTEE9l^5{{@MTPbg5oiHhH?)mNa zIBQ?q*nO7qYYba4bp*ksWx{NGt)r2ny5KhO3^DZpF&(ySR?rvuHY?_BYn&#crJrmgA>i^!wi(k=#8xZrvliT=8|w4Ev=wv;?bQG7fd)72yH zf##iblnmB*+T0W^s{W|tVv~4Gtuj@OyEGs-%&!)+KXA53$wEKWIX1v8P7h*d$~GE(tZkLfMT;9NUwlktTqY)CQ{V;bgj~c{2F^AT`ZZhXFNc8 zP4$KvL1HZNOFi6`J&15~Rx!0?JA|@}!^k$0C>NC>FNmtrs}q%0k~PhS8feFuIl|zx zm+H;AucJ3)oPEM}kEz)5sfjjgjz39dgnt%zRt2-!lH9TsXUD*D%vWG2s=04rH)F|i zyOwBY`Zh}%BQ#<>4oI^sO7sFBQs&%O=w>x`jC;}wok4u)I=;7V+Sb}U#H?*=`-gUa z>*?w}hc{%YdxaV~+dMuES#k}mVO>(v@a54=t*s`oGZapkm}P@1kQJ1}&9X)WnLc4x zHcG4l+of(&sP{T!Nn1lwQY-BAAjwlWJ$+J5H2P*dBk$sz>aT-wCPyyU@Q=c=m;>X5$! zdN>Z^c2w6D)k*Z$+{P?DeG3<(cCA?0L7L1$E%2gNE~cHVde{WjCDP(#ly)QITb^fuR#*ftJOlN}>J>G^i{x2s$FZz^J& zx3)*Jmct>jY&)9-*56LHId% z**&7%O}>KgOOA0F+PKf|;_8g!n|Z9}To->03h7o_vUrT(quRo>8)@^(*a@CKQ~UJCzw^_pHy!E+7)`UAig@vE zn8Qgj%3uzgv07$0>E5u1q=9lv)t(&#*llf;iaM5YU=T4Mr5jqsnO9}bLJ|EMWKt$O zH7w$UR6`1{vR%BHL%M0-nD@G^Wf;Y$^y3J(LLKu~t!kNCb}t1Y?Is=V3%xJf%aXqom5-pS;#^&8|Uf4-vSlKyS za>uFFDYhU&+Gftw%j|kao11N*Tljn)mzPZB zoG&+W3>g7bGNG7}J+mfkB;+Zt+sJV`)1d|O3^dcn>bWcfjRi)s50O>~l>2W;QZJ_8 zBqVXNAIfVZcZSbe=Y4HMpos_Ii(n=}v0$aW9wdTq8cKx3wqS;GR&ULeld^HM*d|`b z`4 zNuEn`$y8oI09`8t3zi3>jTJR!Gw+Zk0lHhpc@AJ@mi&!1h2eUE!`VdaCfuHx9kRA> zTus$Y_fzJdM_S7+?won_d0zd|LmR7Iy2ftW%2u8AYk`V>11frggG{2NqPT1zIz7Jv@QvZx13>7FVJ55VIJ=;!i z+R;l;)~z&H{n&qCS30_(uDO?>njls}NNRoUOS{lTWXaH1_qKI?ZMRFd^qc~rLS4Hd zRY|ELeJfOgC55*LENLlY;8AehqY_8>BPfhElvc`wk`aRRRtwCF&FfhqOlz-=|= zx?ESN2pCve{4sWJL$JRXIUE1$>Oznc3l2mu7*>DA54<`*Yr?5d$zC8D=?-N=nB1#lMCQx zrLM{F9<4K@7P2VGKC2?IqUy4aIHt6POMwjQ;EbdS(cUni`|NKLmXM~nS#s(4bK_X^ zp+^Ydkv#vQb#Mt(J_BBGze9)pED<0C;K)5PX(oGFEyaUmtmDgO5fKikyt8=&UkZp3 zXb6NL3I&8Y7{eLo?C$tFIFi#vEx?#qes!%0br<3^vmhPAy?}FnkF>F3zApyVL%=`#x2K-cYN zA&_FsNAk+na3>%_HGd$GVYrEuAZGCttULsB%8N@SP2424(}L8<3UK+Gj%zh@o~Ag0s` zgb6mAV3l{1AMg3)*IG6|^?U1*Ec1X005RuE$_S9Op^cec+*7fsGdVCO^e(ZlN1CFQ zyfY4eezFK*MraRbGKS6rWCr@UmpcDZAB(Y!9ADbT;Zh-n63FbwDuzb(1R81D`=nsM z)2gG2c3maqr5%Av=CxiCh!RmZn9H_)?07uj%)5b*hG2JK#pWd1C#yq~1WM`Em_r)2 z)+V&E zMr~dKn+VBn?0F)SW?g`fG60)0DiqT303ebx>lOSYzj#8wVOt=3`*~}m5}B#{E?hKWg-eN0VKO4P|GKwuUOu4;LiiSypu-J z)w~H_XYRD=)r)E#f;<92K168A=}ZUWJ>{*V&GI-Y#I%wqr?V*&8=?)UnSi29v!0t^ zGY+NX0o1%H%WPnc5`N%$E^wPA|5IVg`#3EL^YrDzZF{Q!+p&$+4bKw-+H^~+`(hfd z+$Y)|zXUdy|BZcg5#ED=#NrLm<`z~SfFsDUGlm>9UV??(x#4lnV6$+ZYRQ+0mf66Y z+9(f}{bt?kwy>T9N1ii&Z78#?dH{}2VWs^VZl+IJ%J8r7rut3z@nr(lLM@oPSdvdpgjsQ5}$mN!j&%v(r*D|%?$Rn+MNDFlHTnmd` zma!q!{+-{!7IQTKoq<>ZII1=MXv1&<9l0f;!gMZ9U{XZM zi=WCW$9@Gm+aWyI5+Cv9z>TNSvtD0^tlNf6(+F?`*r+5^*RM)uTZ;bn66{PU`jIoN zF31~}#LD5cH^iDip3DhwXB#m|u^Et4&y|4ZudFA+9Dtn=>pJf2r?~vq1(w+bxjrQ? zq0+8|J6|Smiub?oj;#;9cRW|1X-h{=rSLLSKQ#ps>N-eX0AV!oFA%?7vmm zo7Ob20s-{g!mz*m4=M}jnKIv2*RkhhU{cj}m5p8SZmR5*Wd+#tHB!>qoYe)hI-#o@ z+oZ(*S84wp^t`FGTe||$mULFUsk3vF&A(P!1ZBtu`gGHD5`noYQc~It+5Q@SLbiy` zt^?42ueBQhbi?UmFxXAC1$L6hX;ro?m?2dE@zwNJF&#To`@6z1-blwd)yFv&YWy{d0bm zcV0+Ta(P%GOP0TXNE%@gXWNWauZEl%XGm|C za+aghuO_zeLQEg>HL}Mvq1a>URaT{{!Vt2y66z&<#yuT8#ZAI}4(RP}=th-!H5FC0 zlT6lX^U(oDY*J&nVzyn&Hj*uNr3JeDh+RLkO>aWrnr^d=zte`iY3;5B>S7R8Yg@J% z_d!`yI{I0_ZnTW)dZ-uMVs92x2%~lSJDW&DYnD>3tc+Y1X&7iPv{V|M&pqQXhMEWE6qM#!ZeP2Ps24#aG!xgB7KNUrfs1;E>T28{wD=(v$ z7k{U8yxIEb6YY3-jxRiSt@Z}S4y+cRPQCZur`XyHXJ6xM&pn%8$p38M+Y;z458Q#V z1M+jL`O|xUGj;#oqib_5P0znl7`gA~=7GLLW6uYl`F2NPm7l#8ANu0nr|$#J>5b=F znm~ZLGWVkcUwC?~?Z_(o<{f|A+H&82<)4{(I`#L>0cGjHjm%+Ndi%iPp8QV-&erCy zf%yO1Irc{V_gYgQJFs^8QJQ));M%<2b@X@SPYopgNxIQ@xDe2hwlO^Pfk}1kxkq*O z=4bcU5Bwx`_&({y!kdlvH|Zefd`@2-_})?JqpfQfo^Q3+_CAW@^n2I7xIeGm*t|XZ*>9v32$Ba(!ie_ zeDsOuUU+TQ-VNd1`xo_xTaT{J;8V;04K$+<5Bybr?TIf9d}?6)r}f_(c=Dg@6VI*A zU`)d5lb@mw;{$v3wXuB%?!S^>yYQu@PYvXMa^I(<{LfN{6PVG4p?LZKYwsiYRBPW_ zQ_I-%uNBsw`%>*w@@new{A%j(tze9cFyF;l@zk9p~xQeEPQtI{_ZjJSIZexQ_=epB-yY zPH3w`uTqS0=ARk=Yx1`gB%ADNYvKO9_VS~}VD9nmj>2p5;2-lJJoP4jMB68Q%FfLF z8QYiNXPs0}5A-*kC@j^0l=QRY%|c85^L2-Zx^CEqSZd}6N3$IV=fDrTRMW6lc%Dwa z*%Sm-4i7Ee@cUEO*^N}KhYw8jEq&@CeXZ$>xx=hBh-AGv)>v#m*V1>G4=>Q=S@tpe z8e5}#GiNfp`|$Q!Vb8fohCuypA1td&#QGk5P!rIH5*_sn+jRkpif z?Ak!Cg};C@ZhT;c9yw*6%6?Hg$oBX<>JB$)cOIs#3&W_4AO1hs^4AO7$Ie>vm9~G> zU)i4@dm)f(;?v6{KGHSw?(vpa&ZO|&KWfA*!9(K7)ZGAz_QB*SwKl)pH_|oo$jaQv zz;m(RFOZLF$EQB|_|)p`sm|?uPxBuaaNF$dEBmEs`)$U~7U^*WGZw!1+SKyFhkJKE z`WMq%f2pkBZ9G=MY;brZJXt|i@fMB-P*@fV8Rq8@-G)`N96QmLj>qL21evolvm)Dh+~^UWEwBR z7r@jB8T46#mB)>^T!EDfelOxgxCUE{;+DGVQy+Qfr?H=O--2Jp5q5&w5`2Ujf(PTc zengd!6O={=wl=6bT7MPxo0jUTVL%n6{sk9*E@Xi<6<=e9P_rXMTqML{wJY_gq&Z=v z*#-DwLDPl6$3`AQ#UI`-eKmdyzYcqizks*beY9Ys>Im-yZ>_%a=s$hD`btCa9*&41 z3mWWv$^>0O6yT9BjC-aRMxi0dbWFiTnF+eRZAUL7or;igjRI&FEklmz2C8S!Pj7<3 zMi7oOB9x&B0VlE*WMulF5;o=?aw}~DSvTlaI7mvuE}muDp_HrgX4$;7hj3$BX(uWw zcDSot!PcjYNtz9z1HBu>jVPTeVp%+5cQKpmmDDZs?$kOgrAJR|rF{9J&3LJGyDMeu*j#}4Y}aeoDd-!^XkhKmh+~0_?>Z|8J^cf+L#n*?O}}{(l@eTeF5mMM7$WX-op)=am&my0 z4fq#lF_H$;{FI2KtXM$wzQ1D`MGbe&Sn5$#Uy^Q9(U`E$Ls87<`FMQFC83vW;V07X z+WVg6<4g>lW~PtW&;`*OJZb)I`*4q?&Kt>ssrC44)S6;frNw&jO)`2-D(GFJniN~D zcS)ZS6E*%FedJ>ZmKD0)qEo2V26tt~(h1kjjoKRXy^kIn80C9L)abz>>makNvHV-2 zPvZKsL)+DvHq|vrV(;vz_c1SXMm+hH+w9J<$EbBe#b_fjp6cuxssU?H?j+eh<%`A# zc$*|$8J3E1?}?8}`QADa^TO+f(N@d6uf6|@`k-mJ6-*y|RwUy2 z+7KG_hO2wLD5G}~RGWilf(<>vh<+{SN@W%ry(vVPZ6K=ak!&o2(7qZKm&R>#ixo~c z^#~TVi|rWJPKq^VuGjKJwcd_4YT*{&$T{h|mS#p5WpBkS7mp*T^J(drA3dhnc0Q}v zb-Ryj*ZN$O4lGgcmJw8CgBL9v)xnHnWn;lxk z!pRg0$r3iqv!Dv$T%A71J|r`v3JifP>^)@ZW&1$0@&JNuO1M2Bax*-u;5^=?>KS9+ zxFMrVbF21D8Nb@+NB!8~cisn5hvI%ypY>4bYM^j`oR+(TV{SHarTDB?=CY3EZo68P zx73xJ_Q9o|bE(J&$O0<<=ez7sJ)QO%WO49Y+MD0|Uq4=`{^w7u3queFeM?h(*j@sL z9YtRZ&@hVP?26WaKAH?zCr`rE_QMM88fdN~e!HNu$sc7rkMto8z=+tXh&<+C@L9qWPZ+73y)@zSN-4 zo}{q>X>8U+xw90}9VuZp^KeScd6L>ZMNt{Q)!ke3H>6V^YY^Q~i`gKhh)`@=GW!c= z+#5P&{crZ(K0J!!%onX0wZsUIt?pJ!v|xblo|b3{@gZewj~$ZjF13c%AOsqZ9off8 zm_f#4;@ANz3GrrkyIVCw1L8yS#L;H+!N}u8P8=r?vX{+fcbP$uF}9OH*aZ7}lfaTJ z`8e4HV=Oz~_`EF%f80IikMlhDKKI_|=>qjsS6A1^TW?jp@B6E&9*#$EVJ}!0d8Rp+ zau0+VQflx#qs1;{S<6lSj0Hr-Ea&cK3}MqKy$weQ_mSDcwDfgcK{ zo@pr{r6ijmGT_QFG-fKtO;BU1CEc&6Yhn&8CS(%=EEksz6%=DYT+0)H%vl9RNF4At zjA)cLOklo3s1@1%n1scEK+#Gwni5B>F4!`mqWO#jlS_j>h}&HiNs!Xen{l$C+ylop z4XW$}YdHpnBG{muADcKPaDQU2YR`;nTPOt`H z``sC_#zv8i8XPu<&V0#ADVA2tl$Qun(AnstG&KBpy*3qgFIOj3xw;X;rgD9J3^!$MetUjzxEG)vwHyts{xsj*O z475GAOV*HVqjZyXgz*b0WkC8-to8ST4s06)rOj z6Q2_tKv#bD75S069W8S;9~3&t-ex+{VA}>$L+}x;x`L*L`6|A*)DGK@V#;z@QfQNE z++ixo%}*mXObM{z1nWL5nW7We&FF_Y{a&Gb3{H?H6r36YYqCXL*6fI64@-AC@L>o8 zVo@|o+E4KC0h1cruih>n$6P>$1ETaUqiW;^PT*lM_J3VVNe#O1*bu=3&HDDK#4R1k zMf|bB(R!>)Reicx)dt5Bb&_U2ZL{4noXNx>L$4ao#5T*RgPJXs7!KCXCtkpw_Ro!1=42{BX94pHn$Fya`d8S~2WQ^ouG578aktK^;K~$6!8l z+|!;EZXR@V3Oa0xhK+lu#`5VVN)eUf1-}Uv7dUYRriv!$+1Sgwu>e6 zVx6}RCgWHsnmQa0)JUzyW0E5^$VU2URxGU^f_3YPa#%WSXj@s%Rtxm#Fnoa>s-E7b zeAW_&kMPbQzfv=@9h#gtc1LcAN-P;0{nl8E;}gd9Rhkpf=m$zIc(n0E$6}pP3%}5J zCoL(<>#*2Vi^%e@sGo6Qv9VvgpEbvt?|w|!o=Vf{FW+(0=xypZL$yrRV}XwtOJYkE zuG8F}MuzM}n|h+mbMiP=w=;04d7}XaFF1^80ZFVSJ7r;B*!W1MUF=*BtD1jBQg=E7 z)&dfjeZ!oOO|s0UP+h0<+vjgODa;WK8b^|LYbf96u6gohL2ERbCoB``C9GPmi8GrX0rP@$(xU zrOL|dwn`{VsCBe1Ws-0W3}tFh3waYZw5a#$67&jTw@*k4R+$Beyf-4rd6f%VH{C3O zoKz&H@>8c;u?#&B;`l%t6S3=U(DVZ$Pu5Fnb=#mwA98p1>6wkbthIeH!(z_j?NNti zV1S|822x8I7?BkM5y>E&uX|H3Ey4k9#h5)tpwk6NjteXu;NS!_)>c|OB`dLVf>A7D z$$M|z`{K9%_^&3KaeiBa6Z7~n-OLm7FEnYNFXg3D850$pQ!4CISMe_&$@T+VTdnQnamzB?Hd$Rvp`shXW>vH5n6uK|CPB z4?YGp<$VGraP6oh%!rN zHbC3Kc97)Zj5^{b0l#2*5joQiDv*X1SdHQVE0hl^!ATU@2+d-`en9L--5oT7c}NAp z@pSPZVah)m_`$0qtKWoCbJpq1^Q!9uoy|4sWT8mxOwj~XRzZIUu>;EK4u=6+XJI*> z8+j&ETAwWoV+En`f*U*nCEw&u$7mR$!#k7-Wp$v`COm}mFDZklU^eGf1TsCm6d(l- ztl3cSV8qP@k}0cDjIwD_suct-2Qb436nFvEeeL#F?lh;ra1dT~-JH7bW(=L%bc0+U z7)IW{%uv`3hLRx4gp2E$bBql`!`;}X=(1IjvE6qh=)&Mp3IHJ#iH z%g=?wtUc$T`Zj~=#K+%w%e=Ntm-uLS>cE*ZE*N!#fWKU(gf!qyVYbRM5ga$Kw=;&UEt)c&Yk&I6zE|u1 zGCh;R^~+2F;ASo-5Ljo;zzmyWAY0C5wOMk`Jaz&? z-T9Ya4{f^e=MeuL=e{sgB;*s&tfS01k8d^bzDrEk&5+LZKwiL#G-OL11J0yOw~^rR z$XV`yA8;^SxXB9ddJSGQO!pZipu+_Y1qMf%?u(zjdadukRq22m0xla6Dlm>hB2z%F zgV0Pc0)olf4o(Gi))z4=>a(TQ^)MWr*6QKb3i9dp)ZBQBqgT6}+=s2%)pH6Oi^dM5kPXVS3z`W=H;{y(djW}pj*o`+0tfj+B#Vb?Lt2(1K%~IxS*|_i*2PU@wL8eW zU*rJlyRRTrvN&@})xm4Ky~ZsaWCKSK!z-qnqk|k6T6u^H(^bHPLqmGqIB<`_z8z$N zRe}(2n3?zeAH8^@Zrvz6bsfxQ)$V))hogdSAkqv)Od~i+S+EV1F(_MwfdGnRmra}9 z4W|?f(CDDv1Q>x*15QD)Mqn?I1hb*(=uqktst(WqOg&ft?j*p%CDmeJE-ixk@1C*@ zog9joWe7(C8mk&WC+JLN6V9MT1i^u{6m2u0X&ThQQ>MY3`CpU*W(zRi-0(O5@=^N# zSOe8?otS}bc?PWb>&1@0nT+KyipX#XJ73GC!K@^g7umGU!s1pNDH99rf?3TcIl>CX zMq&}L*mprq>=rU+Qp<@nf_*s*3k|VVk6{O}m~7<$C6PwNNl_hWhHX^-HonL^oY>8u z1HE;0x0X?>2(Dn8hKvoHRi?r?py5W?=}#@fY_I5xi318JsYk_vBk-V@_M3h%8x+Q(n!V}oxnP~DaDiqdf-HdDy&UOnybyT!>i-q-w|;-Aw% zLwAdB(KrIdpa1Ds`^`^%f0i`ptajB|*VKE@C|;~L!e{fotB=MLM zVj*8?k=`KRz>5udd-Nj`eB$b-cCk?(?QK1jz1Uw|s^i3x_VvnLOlIHY0&!&pY-2m@ zHxk3yGY%eY)22yF{v{`(|2J(#aTR6?UHa*Pf!?RS$7I$^tBQC>ynNQ$E3G7772mMs zy~Z#;GjJyHJt?)A3*#4^Os|$&nuJd*or;t4VrRwZeo=X@N0H9i=QBI-_na~KlE`oH zi}?KZ;+}waPB#Dy%B{=2@_}j(sVySlqWeEKNJz_*cf~a_Q5| zcg3OR-xxY~nz`8Azdy<7XY@|^*dc3umx*Qzor`;8cw*SOQfO^D(S{3q^`!Zq;P`hz<>969wjVk5cpk;m(vesQkR*ge4`>~XC< z&z{nhZ$GECN7!ezb}(ZG&$1`n;O8~v$1iB@$#(~@;p(TfXn!aPQLg(3*b~+ozJAJr z@PXg}Q?fz^43j6!6IO_4rYt@c$^7sW zxfB{HL(!ALLi;0Pi%U2 z)AO5N5WtrTH8NAFP=Yz}Zohe=5L(Dg6+#JA_b-b*!n{o+k1!s`cwNaGW4yuFo0Yuj z+LL2E0q?rB>q)+zO5o{Ap04zcLh0#Bp04ESN}jId=}MliXqn z%URtOzt^eYt@HI3Kzl30y(RPBUIK5Eg}3{}+m_?)SMv5Nc^kRB9d6#%KW|T@w^{V< z&9xq`7BLt_R*sr;N}5t9^m#i1w19v zQzAVj(o-TmCDKzOJtfjRW!}@3JYC7tmAo%mc%S(2zJ20-6y}{TgEL)XZCI=D{Z(eB!|;9(>}#Cmwv_!6zPk z;=v~#eB!|;9(>}#Cmwv_!6zPk@*eQOI~v=APdxa_o4@-*1Hl2NWQG10vhKTrD;8e}O$H~KvK5-s4N9SQrcw$OnN#MeW94I& zW2e-2e0zdNf{|c8SPXpoIrY7aGZpwTj3(-{>THj?EBJWut-l14AoZ(%D11>+9R%ahA2vZd!8M6XU?AWX6s8@be1Hp z_x~3p37!d_4c^6+QlS_FjpmZXwN>$Mqus-l3ZXcYMf3jp;4DRaZ`0vzJ^?~Jul-j> zc~|h;qyS!e+yDP@PI)(3PKcr8brOO9ZMvKg(0`u(u}7F0-th?Yu8!n!%)16%uPea> z^*@`9Ji>T{`QMXYJdW`=#^ad(TT}tBD|ubX>q=f%`hU{g@V`CA@U$LC2>}}ASY#no zuQL{lkd9cNvI*`3n-KB|2s|`0;G#3`)p76jfC_H}-FxJ~#R!;iA1KlA@EE+|Fg_Xu zOdn^$W6A_CW5F#QpfQ4bj2KjbD+(MyKALfpWOx&D3xO9g6%%0;bSMGUEgF4u#p*wf z!m}A?un?cJWK~DhF()8QnR>vq**slQk0GNK~ox6QY?W2YZBDW*G>>( z%bI))`J$qH%qK85Bqjs|AFuj&0TC1s^Z-M{6;UQCtAuh)1}bU=RDGO=CzQqu2BK7( zvS>=k0TtlN02$(p%|L-Myh@3jkfSys%;bVHC5AA>$MCM3P^s0bBE>q9GH1`YCaNZRJ zoJJtYfTPaF2Od*_S%J$CFuLw023|MQI(zBgt*ATm(ri4$y2J%HTb|h)H*AhJZWc37 zUFruI0WL1dq3*LyJ=-*MA#-7H2NiRp%{Z4OT=sO`Z0HP=xJk@9%7ju~`_3Of{U6hd z4$cO_)u#y1k0B>0nai_2AzjfipDsp4V$GWyj73vcV2)8vy=$9Z_d00L31u({$HpBskzzCgw9sW zt;bw7F+$T{f2;rAx>tJV-iN&3Zh@H~cifrr1VrI_>&^ttwESEeZNVJrD{$=VypZVwXyk2K`(G&+MH#^D~q2 zJF(xPsTY1NGEZ0%04vjLKr^}AA)j}#)_mw!CPE54 zoe52;bMb05ZRUv{#O}klos9g#wLO3K>uBA5-eg|85MjsodA}a5};QF)Vk;Y>T1)sFF&w)u4+C((pU`z zd6sf!+s9^Yg<3smA~Ytr-O*tuRUn`4QyLYY!8$I3bQ}nL zVQQ0~9;JtCuwO8$-LVnfDAVX3o^#BAWBTC#Zij^uqIm|*`%U0Mqk}Vz4q72FaLNt` zrNA8Wsm{&1SusmO!E~oopKK1O^?pIj*ee`DuXPhfM)jrFmM^{MqjhhEX6}K5v^B;V z-fk)%q~wDHPwmF2)+o!}#ZJzwO4Sg5J28B{%EB`wsrH^z*eUT|>(#bU{7|v`pGBMM zH|2hFOCu4tM=Ifu>z762$z6J0lUH}hF|oQ!Px893?u*%5jEtCND^9yz4gb&}op`3) z;Edd}k_{2PqdiZlBmnWHL0d7Ok4piXv+g!jDD*e$xw$_i&z6{gMLo)(GTE8&VTzTTd)NYSQTE)+Oi}oyjWGJk@$gjJYWVf zGT18)AGhbr*-1gRZlh((YUNs~DLp48a6tp{&l1U3iFLk+1DqJQ#G@=@7*{Q#kTxy2 zTQ6Gz$jQ)cMaS};ZD%QB?kbyOT+FVq?f-n?7iTZk)qi@928olRlBO-G1h0&mqw+2z zPU3-`4*#T4{1NXIkAHD|m^lY*&Qfx-vem5M{IT)?E6>L?WRGi0X+xH2v?uE(MZ~Nt|;Lr_U1*J}!O39GuGpr8dgVB=a7ib58%kq=5 z%U5v*738==N%fq_r5;e^Tp()&4xG31F{`=<#x&D1C|*bj<&B*}KxcsyEhH&bY&Gkn zHNOVw;%;PakxPvgL|FnV3N1Y6GeBh#3?%y1=>=c8 z`Ng{8(`eRBs5a~8?4|@|USYq<+Ku8iXW-!A5+2K>Yr=o<4!I#*tJJpEjL5!KTtjs% zx4nr{6cb&LgIdkn%y8a-iFM5CZiP9mv!^rK#szoJ&s`ZkcxHET5zqC9v?_;dmqbZA ztkp_b;W742GA;UiE@zCWe$e;&NhbOmN>>+{a0Ik+Ds`a9-ZdBI*{A(m;<; z8CLJL3_W3YE+vX4GCWt7+mz3a$|YM{O86k@XDl;Si79p88+_}@W3T*X;~aI|8HW-| zLNSk_`3HpgF!@z$lv>02gsS7V@q)pdWi=pZwYXZUBB)9N=^-Elx`vU?UBLp1*JvWe z(^gdVnUcSot%>+q7Mcj@es_e^QNgqktNAy9RFkWf9ozMqSnYMYac~A1opi z$Ozh5h>52J30E84z}{V20b{|>rBq6Ul zpGg_x*2pkxNL_Y}tB$Gqil3OsCbKgyuQU5{73Fh*RNP^wSPGJ)(0yWwF$08aAeEPNJ!B zSAb`UMy&kjS=k9`r|WV5LT+NAGr{GY^!~=uI>U*CKnw|S=iB5o!EKzPGqz%1Ha4cS zc1hrC6z4%=Id zwWS-ZFxLFM!su9OkVdp>+3BSY&L0m9lm-}a%6xZNLAGyoK~-XYwa_K{VFHP&#Oxr_rqOok)uDg->0D-PV14LG9#KifjlK_2^2wC6Qj%(k>TxnQYFJpV~+D z=oT_zQqT36mt^J0-8gOD&9+p^j7k~0CGP4IdHE_Mr0i8#u5OV;WXRdTN4SkiH7IHb zOO&}?)C=b6emre3gg&MBVEY!?p`X-u4>*@?L@ActdU66Bp2oLy{A^{yNj3Qh&E{N zjac%;U{_Zxs(eoJJLYdSN{FE-9TDxY@@DqW((QFC{xnM?bcc$ru$w})pdttbx@57o zKz)f-;b}tG%%oZC3<`(H7l&~T7oZhNkb(@t)>-|Z?Ol;JzG5P_s;kWDg5J*xd?N{{ z8AFI-dY;wF2O1??w2gplsoG5(Em3_UyM$XH$t@a1+C=}RiPcBy#~jWO_^K9E%yKmx z3J56^bOfU5nm&4mahzs|O*AV?4kAjOk2PL1U{df44OW9YmYD{~2{1=sl%Q*)=bOg+ z7SWQyl(S+XY0Ca%m@*}asr$EEe-f(uZ!_uw#O_oGSr-oNZk;ckIa|daVW%;l( z(5e_?t64m(mlU#}NEsE|o0Vie+oq3cdu3z9o?J-|j%v7BPe==FWr1AJGbkA@9>FqK zD2pbK!dPN-u4uA00txYP)nA^cHX-99?M%C!X2Vw+N zD`q}7pWz0{#(*3W9qFVkzaVP+M7ktIX|24)!7T$Z8(Cv?TZFJ1a*tl#zUi%&1G6MS zMp8vzRjDoqMwWUW)qwq1cNNY=h9}UDRkqKRL8-SiU{f>!re4CA)Rf?7O$FvYcxau& z5-6pP5C|&{5`CZvD3(72rROGTSpq@HPisI8MMFgpbRc=23D_bFV?ZxcrHF;R*3L^E z-0G5m=4(mIGHV%4mi)WBK}z_7c2~E-yDxH#;BRl#EhD;DW=zn;iz;RE{*7S%PX3fD zjcu1nUrRcFv6}yHK-zMaz$0evv6_-#Yy>!qguq6SMe$MX}0-!6yv%c1e*D=T}j((Sn?ManhmF8BI30{nFr|?T{9z zhB2Y~SP7Cx{Gg~R3?)=sfT1+XowOz@HDcKu6%4=7WhgAt@PR<>uwP#75bXbRu~}?!@u~_Zy&Gw z=0(WGb%!`b0|iCshgQd}PJ;wAHnadQRJreVwnzl(mxYe+Q63X!#af zk)W?zl;9VVa=V2Xn+pI(vMDeJV8I5|Y(hm~OF(uYiLr{nwSxUKCqi$Ml=4oc2ZYBf zl0y62rVtW!1fmxhqj)fcT0Y72>*~#{nZ}^aAjis>z-Nfa%Gj`_Afj-HH+(9pBo7v_ z8sz;pJfELIQSnYWVkOlogF!GJ!@Qep;R7~S6BZ4eL5%=JhDHw{!_SHvA{XEKNAuIr zEoPh%kX&^cBAFtL;{GNnX+jP@JI~R6D20Pzh*uG6tL9Nn9@=tmF~HDUBD2ow!7f#S!i&s2aPJ85)>P-S;!VI;)$*s6haxu}_d zAy8C&f_8TgZ_JkgjF>O+h~m}~;RCjFK(}}h!hM^>3tavfkW+$D9JD_jU3vViXIg?U zLVm6ba*}9Q4M65L%qbS8pgfkTwQ-hTH2tj7Zi7Y#^5H_61LGn>R;TF`5bED#C)5JF z)-r7g=?X@ijr=le0!soVRGk{*`J9q83mg43l%)d1_(PYE;!-d3lc*7?QsE|YBgo^t2`>H1*H(d z$u{M_j_HveTvqB^u65?_v_3ibNb6{rt?=K9-6bYha?2m9v@kcwPvcf`K7T&h@r2aB z%Ce1%YcKCIFGz2Y!Xjx|(@H1A-2PO1{JY^!DLxX}-z|NJHinF|y-H9_Wbs&ZA@}`- znG3(a{#ivKMaYN1}`3EdJ+8+Aq zGo8nGoyCuG-EVCB+Aj5skuNq9tv=EBMZVR!{CE2~?zWe;(eQY8WEIaxALFkKuZXPD z4863T+1dQHtu4hv+66Y0c!vG7&a9f!Kf6L3Sa$;dt#L(aApI*C`yu;pI-cjYeKoB; z=KLjo`7du=Y0kDp?V_&J%o*;2{pMJkY-E1cFFC`1b19z6R!Xb5$1}@HZAxabw!%5Z z-7RGk%B2KbrJQ5M$%$vI#cY>7y0$UiIeOAS+lu87SXBpKP!86m#Rr>}+bb#^IPv(<^#2%lb^)OP9f#0^QDmX~$msW!XNo*!_Qi+l3>qest;9F`~hI_dA| z+odNTQ!caXMkg9|c^X?WjeptE&-C8+;gOwo+`g}uSbX{`dU;4zn#2s#$Q`-+FgYK4 z;7ep_Qz-d(=#r7%Kz7A->zuaTiE<0#KZ@{tX@#C#Ycw>jxcmi?DKC3qcx;Fi)-tWV zhwSgh#FPKVt#X)1_{CZ_e8lE|KN8tz>}RvF%k3}d^bu!@7w?yEjjz%=>g?FoTbJ%%Dpqv96yi%i zY+U~FUwr<#8@7F7wheAl8p^d!$MA?I@t+-$CWXUCGtF$($b}K7l#MHuC9UCh_LBC5 zC|M^Ze&R7X{Xk=i4RdSSV_;y|{FSTUps3C8&!%1_xQK}!ffQ!N) zd&j8>&3-KL#A6jhD($g%x9L^6bRTJvhGK`4%9WOWr7<31*#&VSvNN1Bu$cLDZ=4-i z_Egu<<#ydnw%9kfC^uo6W1|{Y6{jcpY0=5*MuEuVt+o=yU0RG=dGFKAwz2eU z`hvxKtzoHSP})wf*%ETPeD>kBI^Q+(;3bG-k< zPwlsq`Ql6Cmsn9eSGmOA7PBfpw4TWCV9()83p-+?<88a6G3%%_dGLXymUL9E8J%Xs z^1RXgmei?U8a>BuhoJGVN$P`(981l>1#>@$TR7wlO-At&XIcC>X?BKyGI6_eXDc>x z(soWB9}9ErUqkz_<7TACJ~~;YkAHnkjA&o~LTjXF_tO)fm)DbL$i(CFIsE&$0@q73 z*XcO6d+giY%l4uYF>hD-syxdx+XCC8(mpNv_D<3rYV zlBthhH5Rn@Im|cp4=HOc>#6STWIFp~JpWN?YMQ|B9cQOWVORIzj>dhFoa1x zh{#{U0Zou2AaY&OqgeGTvM8{!jeOxEC~3IE1pV8uM(c^wn=}V@Db9Q=B9T_7T8s8L zvNDf|Bl?7{iI{gprpsUzJ0kAJ@}z6{N}0aAK|42SV7XEP!wy32yb{EbwsTXekBRH3 zO8@NEPcNu@=!`pcIkPiWCKm2azyD<{`dcMG;AWsa*G)GDsx;5OH6(O~0ffSsbVRiwO?M1RB{>>bl`C`+JG$wTUg#y_C9cjgw0^yr+pMXe`=x}NrEuKO zGWJhMt5Rjl(JgjF62nTJ5_S0Tb)s2?tFRmqSU)S#x_Hj0igS^RnxwUK>sxuEnCA@3 zZxP#I)oGor*TiIEG2v*mL)lU8A1=3C+AAOZ2^d?)zg&Od0?enIPad9%rk0ItUptZp z!s#L(t!UD5ayAzc*=}1pr!@mFq~5Pt<u`t($4YQ94D1+j1K3jzM9dt zfOa}Uf?4g{fZadU&^TemLyhO#oOC4zxmEb)CBU6r^nR|3jP{YP!3th#8NqD1nbWkg z*vDvCY~vz8x+-k@*+s4Dp|epn+7{w6V0etQ*f&UyW$%?r$8O26cr*4%LPiyndOP7F z2O}{(A`j!O_X2<7bG;NE9E#9Qi(O*==z$_^|awOXb}gz-kP zn!l<3K=g^+VX`K*%=yHQllrvA-mK{(=|hCayKqLgwH7qcPdAZ6BqDa{y=Y*5j*NoneZGU&fE#m%b8Aw~JLMfG!{@Z{AiFw!RBO|< zywqi7y6y8eV=!yQ`)=2`BV~R~o1$3J&ggCiy=)9A=Q91nHvE5J*gl_+w(J^T!gsI@ z+Tb#c=J+Gr>3kg%J4B>uV+Fq|KYT;h4m1Dwr$@4Nds^pcO2x8AdCmVk@!>4?#Yj>T zw)PUlPA5#RdZERow6=vckzG=a_$HH7I8MA;R^r zB`IbxKB+;&jhf%;9-q&C2H)VYEuX8_M6KW2w~)7q1qm7*2Ef2(s`X%lY%nQ z4c7Lud7soEN&Lmus&tj*$3lu9R>+NHGzVrHOL;(3Xd}tRHF3zgLDS4pO@GPo$@{0W zHDdMpH-7q~mCxPuySdq`#+syc1uT;a4B{D0h{lQ)6`dPE)u1xVti{PrK}avLB%zv> zqgVsd098h@dMN=$7#VO+h%%pz2>vievR~`U`@3}|BDG*6(k9gu$Bi&aXij|*Xyfm~ zXzQK2FDB|1$FT_b31#43P43|}o%sfj^--b5YQS7|PukMy!ZIR{*)60to2ILK&O@i< zJG<~gG$1ZB5;PE|wFdh|c_B*micj@# zac;YB{NnP9%|~-vH{{9osh0){BiXvW@oC&JQnr4>Ulsed{|k92@iC{X_!574`Fd-g zv^=*;7C-aDfpE^g+WIh?oQ^+~*g$md4XAQop-)_Dr8^hoKi;~6F&2n@9Ump~ua?PA zE#tniaD#}iu*0}x0a>N6h5dY9JbZQ<_a*+%k%!3esoU3!-)dEg549~LE2OsZD^GSg zjJFew-+O z4lg@z?7*jc3t4?zej2~^xx&E5nYG;4+^1JYhqa5=QR`9sy73j3G^F>F=1&)P==Uvi zp5a%;&s<^mPiYsWOH=VfC9 zcjTr1!gs`>Lp$)DiwyY->+jx=AN!Sf)atuzd~`|i%-xqC-J@~$XPbMjk`{7g?2vO+ z{9yVk1ZSgN@=N@or_W~WtNPaxON}$1i64QH;A(5jKTOA0-m~ql{V&~psCY$NBHrA! zt@Ud52l-a+^peZ18=AOj{Ly>Z*Nx5sJ5pj=_$8P5E^Nq|CTpHfkApR!QJELD;tO|_ zAAqTRdP@4(NTUdmcWvYO%iGMxNa}oQ+4I|$J-fYwTdT3(9z2lRW5^48t!QLeE8V9( zBzF%L7f3mAes|SaVHi&gcUg{9#ZO7i3V+1bNPBc;6Sl=A{D2K3&_zwlm-c8`C)&N_ z5Gm~^!=0=07EE3sMO^#HSMI;Bj)`BVr(;~w>(>#8vf%C;8TuW41j-}CeigTC?i0TK0H4vqM@#-`!R=PNyYxGH~!e+SGT3sB^ ziB8IzWLh~nW8-?QPS(UTAVu8;lr&T4SMvXsmpKaVNigfmH|vJmY~3#4qw0G3DYz&_Yy zqKuo!7!89_kP$&{gr$}~$yx~VDg!2KAH?>Xuwaxo{hT@_f=a_$er-AIc{r#2sr*-? zKdd`_Kbm!M2jzR5R6CAp#l^21l zvJ10$!=aT_FGpF;O(OB_OVFn_TJ}UQV1SeqB6-`a^uUtVp=LSK%FgfZXk|!|ZMfUw zs(FUB3ucCm^EIN*6wUHLoPVHCTxaMzv%;Ywaik>Qqg-Iom3*tBWMafpX z!pN_`{AbtRs9W^f9PPHot@!wUO@992)PpZaukh;^-XD6Q@ka{Y5sNH~J1>P z>+wf_9sTL8e@a;!#E+b5JpAUia^z#};i*rRo?5lw{NytH1^bh&&n_k8SDu+X?AbX?gd*#}x5e zYMB4P)0@BZ#>sko_`;#|mA+LW@s?$H!Qe8MTlxMq_D?&%xpdpoy-I2wzo4O|BA=gP z&o*V2YP&@K2ak;ZV)|=)B9p74@yiA_5;1Md27{}#8czN>GP1ocMfiJf=PqX+QN5oF047MUpe=Uh?FBc>_h2FU&~-@ z`?byc!=2ZFqh7r&%f8yU{rm4tU+?bM7cf6+Bl!)tOdTSl3-q-omnN+t@mwakc3s zuUrN_XGrW^xm;c;$}2Wc{vy@*rQLk$LXJB>CHLN_jV)c*G+597+qUieTicxFk;(T* z_Hky(%!G33P%gg?+&@~$F{Lk(MR@fK5}Yy7|+5{}0(L|H{~x z_~k3V%;Secqb=w6Zdo8UYL~>Tn$y?+*T!Z3TiVH2_zfC?HvF1#dEZ0&pAn`Q>ASyO zy7vB_OnFK8Iptx|&cvKTm;I33$2MzQRt@r-SIK<;{(f7#%9oudjf*v@J(HCnQ!Y4;Jw2^2JbKbaY zFEARk%32Vs?tb(BZ>~D<%9?ES=Tzb!NEchPOX zpYJ$(^UpJ5>ze;NG~d17fljz4tPhnYS2aQ>OpotOf7xm^u0?w?KV9=G7be%>4>}>f ztS^C1xX?~6wK8X-XCr5nvyp#|jP`XJ3zr;D7k<|wg||z$e(QSkJp;}6htx1WJaGtL z>6>l8q4Wi|vT}K3Me2cb*O=d&Q&Ok+ZBnV+7gDbtdR%k=w)3xT+bQmUjei0<;_}G8 zkloAIgezMLl~tJ6uZmgY2lO>w+j;K&+^UA-hhS;StJ(r<`JD^3tNr5}w5HO{Zby7& z-O-8ZFINf+P^l*oLmA}uvoZCV!@7&r|>CjVkIWM#D7Zp zc~rX=eUiV{w5k=F@Rj^>{^8K)#}^#`By_~9?B}}{)aM&Q{rffUm805*_nkaM4vB;N z`S5vTNSwZJCtgC9a2vk1r|w@`=7!Y&!PVQq#Zlk+1VIW_~0z1Pj%n}|1 z(XN`>nJ)tjn+38fQA~Z>&6=*!>Vas{zjrq?d|8HPSyxM~RTme<#~c`YdguRlfvzdh z)Lgb{E^WPQp`=FZuPubcnA-OD*(AN+{r~e~*`4Q^&u6}$=g)g)$o#GB_V2Z_)gJtm zN1q>~!D#eVWBMJwQ(1FXGgB#)Hu$NvM75v%qC=@^OE_1_oBkh=o%{jpU-8_*4$AK& zR`HW~Meu4T2G@ipT;yu=GZ#EfOcgFey30u|z+HV{JrO zjR|*>L=m==)z~O*VOrQR551_Q@~^F;i=9G`=QMi}Z{y#ji(<}+WhYn^`-@`3tiOtW zN?9Y*#Zzp@kgtufw;k})D@ra})2bQRD6uWSA%BgO-}W&3sIn+rz{M`*v}?t)l?>w* zrI;0#`&N{e#8ziD9jkp_@l0*Sxdm%%3)92^*=G3E1dxhJ%zsnbj@q1Tnr^XKcK$j1 zR70v@8LUDDd;(7kOAauETkET$NBHl?M*V%#qsnRaf|5J9%+5Gd=pcPT@o)!$s4ek+ z@+R*07rQ)*>;+Go3!h`l@Ez&9ZNJOO9;9bttMqrtcB+Z~ZfuMOH|BO%@OzYR)RPCq z2o^KIwhQQM&;2^J=L*wCW+KT|6EFzOYEuJ76nT#nu&*7T^_`RcO934dF*ELoZp6G1B}mE z5R>}}3p_~JLj2BVrOFA`RtsCfE(?%*1y|Wp$*0Yb^-Lc+?dovEdo_AtCvC$i#9=7~ z4l{^IT5~t`Ii(f6i|urEvx!{fQx7t!31LN$ql?A&pRJIMnec~MF7e8+a7$=gGJIp8+=;u9iNQA2Q(XVgr98%$@n+L)(w(z z@AI1Qh%2qy_8PIVz2t}3y|cX}a!D-81=04ryl!gx+uUWn)>_-lfN=EuO)}R0U&RJe zai|icqrIA++_AkJY?DTij=`l_yi~hTy*KX%BYU@>0^Rm13Or!PUOT_`Hi$;}q*WV; z#_yosw-k_$qexsF&YEfrc0Y(w`xr<^kc)42YSp>@Gx+a&$IGSz&~f6(sZ7T*sRN8n+MpmXeivdqRtsBcT(h7SnG58?CC10Wff zK|tQ~PW3$p4_C2ctiFm`_a-&L_JCt?N&b#-UWx=)17M;Qf_yx+VwB9mN1G`D*6ko& zLdqSiWJz%#DxIvoBp0s-0lD(yhozNce^0j77faW7*R0V`p*1R5C>^_I)ZKO?fq30b z%n;3h#3EZUT-=4DV=d>izw*jOVX$LSM!$BWjOH2czQ zd_b;im2V=&_tZs4?|Cl>#A5X&^xGX8tyC-q<^7KD@IM3`7tmMF3OcQRiqPkVBxXyVRu_eWV{Wr zp&@z)1KLgM2TgF6e!lN$_AhI$3`dXQxjY&@-uaY$ML5R(_i*evoVGGol3=M^`f5&l`EtTuQ(5xA0)VE#xdI0`r=2tx>^Rr9cY{?wk2mPw2=D$bcAj8M!8>brgrAHz}sF3knRPZurt^Rn!kF=WY zyDxJPxR-#B<{P677=?@RHX*P`N>}>m2kfcbd)Y_8d?m{PvdFC2zfD!9N8quB|2YD$ z7tY_@5;Ussy)pu?r-fjhCvTyt_CvP6tBpgzyYvtQ4j_N{lY|YE7ghxnO3lHltq>ug~!@$JBAMJ3frJ9%BJ$Na-u77vcygzX(6 zHipSO5`IKxG2Q-z6FdPB{AXy`0r^M(EA%TtKJ-G}-5jVWC~LQfx8?> z!z_+<^wE7npo1p5m)U|3`J!~;8``KJw9_Ec65w)owaYRN7LjH}&}p)c13!naan)>W zV0XXck&w@zJWj1P2lI;^>-!+%7i3O(p%ni;qIKeI=5?jVAL2cdv2@EuDQZ;7>iqYh zm5zVW;aq5j6Ldh*}?^3CjTkNl06m%brHA^q(K)JDMsOa4ET`AP)%@){n# zAUpW~pVFmSunKnOE#jq>)9R%!6GT8n`1Rkj|NSGqnm2WS{#B9fJoW4J98A+fo-%$5 zBh&44F2Yjc8EF%qE+I=OuFGCwl9Th;EG6l{ljZ21UNUdzdz9TpiWsylyVz+#(v9*7 zy?rZjqKv%w#vF2rPuMkW9+Po{&m+*FpflysY+eYFV%{LWLP1?I_}+G!*%*b)roy%7 zhkbps^V>QcP{oVBpRYu41`Ynf6q|XFSE{x=x`C z!>I=xN^qBvSvM!>eM&sSEcv5@T#e&20h@E97DU^TOf|-4g!Sj{Z2aT-_x^a9W*H*X z8d?nOz#4+eHmFO3u0ZMq#wt=)Te=FF)-+&Zh9)3~B^~vG^f;%QG90i)x`=I~&!U== z4wFfNxENH$npp^I!DdNShU$G2x5;C%X&jsbVxShykuGx8EGp~+C=%&d983^OA|L*k8fFgjO{$=~y zPhX^uF>|X=A7GrTPxnX1TK%i3=M}v6_E?npe?0#$b6#jW`1;h?Q^PBsve?U>J6s1U z!)q^=J^j3=E75i`*5�eNET5O%0!RUGN`c;-K%#TU-a&;M!ER^S%|W{QW=gZQ`p> z9$a%Bo2vM;tEI2)?fB}mZ^jR}l-a$H`8)4BH&We*zueyjzQuiK*uVG(e}2I~#=Ozf zdUnsR?)9uP-`L~t+<9)Q%JYx0gKN&JSn(~fi?yTvet&<#^RRwT+je}G8QXJWl^p0u ztxXj?v*N5EEBCcded8AXA|7KaPfV`j=eO_KQRV-Y%kwk-Twk@FoO4!@19=DGOnGl# zOpV>+I{)?oC%gJoHQT!8DvSNjx9{~YTig6kpYg2hyz3RV`|Z_}&vDhBJ*)obckVgQ zRCmQz6A|IDlNUVy=#T!%Q_RFKKE2gb{Q7;}*Qak>@E^Dj?|uG0&pDyZpZb&MF8Eh) zmwhU=XT@`u>t48?!AoTJuf6?R^D%DESFRts9=vwyoU6L7a;5by*ZvjHtxx}3rEBeU zS?YNYY_e(>aWy5i-S# zV|*||$E#VveJc^l`kcqX`0T5~dF=O^nJ+!9+!!lBwqu6x!RtwNMe{Pd%rCPAUn*_j z-g=Z4c7)%3X>GKhl*wT9;5+>}^aW2VU7X;VPT`c4LNAsPu8dT(;Fa-NTj?<( z9IQ*BPVnqf@>+r)!|kigt0?FxSkx}yHkvwvnG~dtQWM#DPxBhPpN|hx@i7m+fa}2{ zpD1+op+}WeV2!O*4qztWLC5*{n9_a$@4ASeWgb1e6_c5^Z6eSu!l)3-S1r5<|6nmpLRu7F`UP#q>Xo0c18ix*YKVue zB-ltxuaP!@xJyq8$Up~ZEY$+XON&ydkFmg(Ca9zp;WRcVQL@3DC68EC+`$2y#kj~I zq@4Yi2aCF|dg|A(4yFtdG$H?lDFfq6Tf-^g!Z5VXqzm0m=_`ZjlA<)LkcE)bOQG&Z zfSPL&dJUtn5bj4b#V6D(48P%UPh9#kalBPc(;%+GylzsQrt}d6Q!o!hsZ+uRweqm5 z>C#SsRUtyhx8qW!GAP^hWtsl)jSHVWv2y<(;U`Q`aomArT{LYPhRWlBI?0N3ofBDX z*a zs@=xSL8X0CG&FZxb@;0{Y+s(d+VrUx)$JcnZ9@$brBx%yFXOue)WK0lIQN7A~R%r;=R=S|e`gOARyF%~8DZ&4u zzQW&4kIR6UF*zl%Zk%Y!Z;)>keNW3#?J$qI+$th`DHRlu@PyYnQOd>pbNi+1zV@!> zCp#tRHtbWl@e)DuHDDTi(1ItG*+6^2xLmiB`4kmKNlk99Ah%yvv0nK$&J#L~RlSU_ ziw_n|@WMb2pJzoXIxbJz*g3J@T5Kpc3^9(clqSu~ux`D$lhTAn{i}()mWAx+;HkSb z)wI)sG&m6F28FQwI;|s5?{R7~io8wBDyIb{ zHQvV~c|nD?y=#7L+CcNiwG6~NX6EEhV~+fYYcJtTS)RS6eT=6%Y;mKUX;rlK_)f(m zUkLU&!&{dNI9fUG(K6-K?XO~WBb$g3@iAF>7$>Q@R%*E2U4nYZDM2#xrIk#8E5gR> zKB2=KRYC_Fue>It$i&lCxcSzc;xm$wRfX(;Z4t&1{GwsO&C zDJ&|d`Jl|-0~ygpgTt7WH!^Pi9*GYS-l;G;mP>R~z=jp1=<9^}F-~H-C|%i%8LEOp z8_LJaZj>8%>1z&p87d$N%ik6ex-bNq7s?ceY%#80Hyx?d;mdwd=#uL-~Tqja4FKB`tP*kL-fCA25Slua*LV<7RhJi|Eg{ z|Ld!}zC8KFWjd`xiqyD)v2Me=HX%aXhhSi9TwK9pbHwVXMTbl$6!+Co4HV)-;#CwX z64X=xvzlMX0dxrq^A>5AWFd?5nuK{g%wJ1kTXQl(Whja`&xpo)f{Tqt0m?U_j!dCz zM9T2npj%Tg#dXozOOt@jEza;}qH_G4<_PgbghqQq1&AtzFVCMF3~k9{29cGec~N-D z5nzT`#lbRu*|zA$T8+^vI2d0(<~LEE3cW$%l+cu6#%k@=>o^*9P2k+nt8?XDR<5NTGsXL9{uK>nCBaMpkw|T z4>>PH@@GTBiTl^%5z-{>qIj}!_rf(==ssm1rUoAI51bcl6>CA)R%tv`ULW9g1nc}9 zhIYk?ex-%!v;T;y6Eh}R&N0u-^~u~kJ<7H^OYj`SM)>($U4E=JTWK^_4&G$ESQjW^ z=L)%(Fs5^k<^&{($XPQeOsR1fJ}$?Hw0w9=g@W$yU-%9aIr`D0_E6+Fk%&b)(y{iFxbAU=Dkn5n{|lE8@#^zJjvQvMpzcL1cVDA&q@a z?8IR1L~m&@=gllVrS&Mv4U(wynToX4;$Wrqd}~9lkhIgAN$;HDQT%yiCOQ9oyV#Le z({ZBuwfvRa9iMhwA!mYeg~>RmCl+Vc((Sq`Y?MnD?2K8VhBQ$YET!^3l}#cs&w`s+ zR%yXvH#hG{h&()W)<%@tmeE28qW;NkYuD8IZz3o{p63c7<{@y-BEr=B#@cQWE9jwYfB)6m1z0BuU|GY4IhSIS0Q; zi|Nbtd4*t6uC0cJY6&hwb?;yU#Gq8@b|nE(g6j#=qTr({ICorXV6d4`wmfVtgUs6E zM?EqCSIHL8jMfs5!Y>rpF8uJ5?5P{Ckl`NXB`L`z%Hq3N?Gbd%tAZ9L{WNMtws>je zF=n~%8b>A_;7Q_H#y%GxytYn4Lq!eKkGz)}w8!Np`Gr$;oUe~zZpIb-{1C+llEaiG zpJ;m{aUAAKxdkaS2=&r9Xb=}Ut}}rq+){pb={Y>1Jzl^zN_JLu1wJU8qz z=1TZg!`YlEKNfgco8g7=VcfvaJrL<@&&)$EjZewpk%WihI_Zf4lqjni@Ju#Ki`y-Q zjd}U|Dhmez4JoDXE#mwD@=?GGOU!YB83%LnM>n)fYu+a3Yb68JV~Ke&%5X;qw@i;Q z_LVtT{-PE~<|_LBJo%5ed^h{i-(4ZGl5B9w9m?d3?&HEqP9sdq zaoHq2B$3-inbX=i)W9{w97}BIR_v!LNUcy-1JE8xrdig6EMcA-)Z=_fK`4@^>*kjP zMhX~11>0~Ldo9OsL51Yu@dgrApFE6xHg_JyooRH7lmEn;{3A8*8#ue zk8s0uT_8_4QEo?27iXaHHOx0?<~_8QsrmW>+}=ka9MjQ~qvSI^bwtQy_^1jwnVeS< zuieM$cOMU#P}jJ*NznH5^a~mz_EMxMnWSAK4KYJ$7B&Jv2VNIj#~8{ZL=qa;xjB@S$t|)jLA&2! zF031Q9Pw4On^vL~XxuTU{z&xSJv)r&Uzk(*jf@OcNp2(e&dg`$%C!Jy%~L57@@Oq5{WsPC3T{M$JiL5jAa2Q1m+N zMcu^14_l8`D8~t7?WGp<5=E%%b@tEC9r}mSE0kDNHq)Qw9yea< z7v6Mx8LK?T=)^VITj6Gp4)6bdcckX0hAY-cwKwQTx#|csBGfb7OfQ;oWOl!>0~phUY%6>-C1tb%z?c66V_Y}H8T}yYa=oLC zKcfZ9*${O4hUd%sGMX2Vzg~+e9xcLWQo#ytQKJ`2@tst^d@HYNSrnEx@=LBc%xvu~ zBu)Ab0kZ$=HDZ$_UcwFUzV_Ce*>^m3g_?F#mZF%4l_W&g^Lan36eV$qKCXhpZVu?; z9`J}YrAtc=7&_13}uhIdJH10 z!y6Sg;jqdcw1K{NLSaCKZpdNh?16pdG#(ctl6+$X+HaH2lKPiyGg_vO==MG-L+zH5 zCG$UalcVIX~$|0?SC|di_PZh|pTHg5Jc^^RgpjY^dSF@Ber* zeuXORd|NGJ%ox0t&QJWuS z6jF+7oyrMaXBM$FSCA}Ui;0~~4N(trL6#6(s^WyO#D1^$2ocPM_v8G~reTf?+O9EL z*ivpjU&%_Els&A@*MTL;>NR5A?yO;KII5OpqIFzMOgP%-WL*uYA+F!6ZzXtit5+YV zT4}8TPrreGp zsK^I*QzlZ5OEQ?9te@v)PH-xl$sofmPDgtKVaH~w#Tcc$a+jdvsX_|i9i4nP2W>-~ zwG0jYSM|$xY^gYOnRc6R)>|YJ@K8}@8;USG|7fHGH!!DNa*!)wGG$>=;NyK^tcUn9 z?&uciV7^rE*)Jr;gW<>J`O%zc*)|c-@%Y?5^~l0%e2Fr7_hc@06=u`{25Xr6T&R;=Q*Y>; zqA6EtBiyze$BZ#^P4xm75GA4@%K@tbDI*`dOC|$%bts|4rs)fw5orOc|T%)P>3Eahx z^XcddAT2;lYdscq6BaZBysv4t7b&mkHj{~yE+srN2N9#N8A5M}0hqB2n@_X^9jjbH zSio?=OkVK6pk+Y34sp_^1ZU0*01kkZcx*k>YuU zpM`l7E9;xItO^N=XKipk&7{<*CRk|-i>4$mnidr^M~ko_z)xnVWOIWGAt}aTDiFx| zZ~n(G#g)hZ4pycoxgbN<^B~Vd%-E4m_E`&9Q-^Ui7znzV=>ymzlMbjypf*kEc7BIx z6K{U9M(=3Q6`TR&yBolwIKK$N&`6ELq$_4e8UPt4memnJgkjS>81M{8G{uB788h#& zsE|WS01BT7K~D&N+0qLf5bbh06$lR0IYTuySX2!jwt%BZS&B?I@_?ZOFa|Qj-XJcg z%bXDxO$;FMuj3U(cInV1$_SJl^HztMwZ+wOl*5?jZH7hS`yQzD_TpEfo^x02|Iio-mne=z16!%%o#c z0#f5NkLLMW2fto%Sn$;V_|L7w>sVM-lB}ZDO|)5rsG_x;s$p0$=;Q#nv7uS|%fJ5W z$hPcnx1{eArc8#JAh1;_wy1nyS5&QlaRsMyq=7)phvsY+U64dLnZ*Vp%cN!SbF#`| zQ*+z~#AXB&M_V0ytpxDCj3~pk!LFQ12aFaO#vbRuQYh==Fd>Im6@ zakQF8%Ni(X&a^`Q@W7Gmi{FPEN>5ML!nhYRM6Nz5TBRnA*02DxI%9T>6Gw(7o`9aP z^>VYEfmmotB^nR;d278Ah-jJx$BlZ$qRQ?(qZELwGbAjUEcG&i5ks2?=?oc$6>kB= z4;$EaCJKV(OoNUk0)QP7(TJHCqAb^miWJ8xn<18%ERDKk;ulniy-QcoDm+1heleNL z`&F}YwN zkd16%cpGhH)47K%8Q9LtHN83`zCx&5Vky>J~~RBpvH+*KAhFq3fT@!6)QEfu1op4M-}g2@)-icIj%9 zpE$C=|M{O^dg9!a15SEr@(>f*mj^xnxUPw?LXBPtgenDQ?4* zMOXr+J_}v=fDJcpttd4C%8iw+B&3p1iUj+bOkrqgF{_ZC0`cpxs_WgNg?8)}H}P;O zCIMS{8%fyU0<;Cq08ls0s)zuL1mb}A##QT9PLz|Z3~ey7rt?a>6b5ie9)NM-z>W;n zS|W!4e+5!e*osAL&gJKjYRXyy?+4oDVKl=9!0S-5y`hWxAOGUNL_%1kU<)fM%OD^_ zVsE%`K+GTjq#vb3J?yA)bf{tSg4yP4oXF7C41*Of$*VeXKtj67Y^#9BWpWRr%dDp) z?65}x{t)sGGIl}(6`|lY5xgO2VKM~-=%qG`!kjFrhC&i5WaFS?)+{>!FbMX7F%F$VaY?e(*o+KL z&CnYlP9YFtmRXV8$XF$t21?R|)?U(8*2_4Ys?FQ z*Q2Xsw563T^d8BJf-RV=8`z#r$$rDOI&&u)+5Kka%fdFMmC?VxcUV|*O81VnhaXkW zgrZl^>J##D=Ba!8B>v{M{#*DldYoMIV_!4X-_0lPt5eUQ>mfn(m~;V0a->Jt6U1HB|6|D$ znXICj*QEM<<$60^$7;J3`uIy--zwWoA3#+#Kazg`%onq5qe`~>Z#B`YfjgP@Dy6c` zN$zXZg11tG!EVO0$b=b>Gu$Rstmkf@?mZ}X(MJlHrN-IamN$HZE<9P-(umsIP+~7a zB?n7F5w9>I84di|hNgdEy#>n?OUT_ja%LWBt{&y~DSekY#(1 z;QHUgDeVl`H4$v~dYy9vWNNM|#P^bJ{AnU(U&m|^nrHFrMghOV)VD7(zd48pJNlGy z>PYjKbxm@Pe4JaVsNtHu`@W?#hE|k#UrkR(7l$6Mc~3se+0L>?IbvoQdF82&?MPD( zS202#CR)t^^>D%;k!o_74ez`KctdWs6weoryz*7*g+D)e_oa~laW+G5uP=Nrq(kRN zjL*^&P4oJ;8gW7?%NY}b+B!7(YtnHH38@&K=$kmz!EIzu3_qp}EmC-ie0;QYnXvB& zOT;_#Dq@-PdA#d5H}7HX{yLA->+{gp+E2~u-GVDQzbwGWfZ?BxF?ScjjED*Clp3M-9f__;%ED zGp?lfbCX+>BIo0Sy!tjUjp2UZKcj@ITm>P&);P%J8P^Q*?WIyDpUf$Jrj==J{^wA= z9B@3&D-RVc^LVbz+a?cpM_1+!*M3%!cQ6^+A-Ic%d)>?mlczuz!Oq-9<1;Q)&-^sG zRBm+2-Q>%1w3l{u1!DDu!$+C|^_~;*Ev+Oic-zsqx+SeX`a9ZE|*rChhH(gtmsBY)i=f0WP?#E3}y*hc2*Z&dkZRx8XB=bM?-Ss$maZyIVg)rb=MO*omdx`n1QN+fg1ljk~UVQ82fR zgSq-ERdOlYQLZQW%3=8Lo_-bAwUF|8(j|9u(?TOuUcpSDEdeufar%EF zwTf%Y<-k^a9mm)7<2;V<>F9BSQ+klavStHRc^$JEY{ur{F0%r~%YZx3DJUwBKHa$FTP5?)mgAy&I!7 zAuqR`9mC_!Qy3~zslXk?1#mlB`fHgD6avZ=W^(JvGAp&eb0;p;`juz=%dD@jd8(A% z-RnAC63j&h;cJQQx&3_OA1~goUS^S5QbP{kD7kw*5g;H82fEGU;{anoXS)IV$f}o-583d(u#^nf^r-aMa+>0rs#)RWIFj?!!YDo_*rVz1a!C zCP~BWvuaEm-f!j!Dc6HSKQ%UomPvr_$ z=F%kXE$QiCPZ*M2BH@8LLF;IJR{Y&z>P#{#iBQInJ?uZyUUw(LZFadfPvhYBb>T32 zrt$jNToaKRhPiOClmx2g^SGn3D;X$E8k{)m%_2g$Uin<8ubn&c$du#WIQfvVR9_LIaB zA(dE9q-vC87cc^lAM2sET;bu_pk-jtYUFaii>?5Q{#bs8=)EUi7d)XcYG_1GJQ`q=gg^TH^daqvoG)dhr z6%7YbJvt%GER5G5{%*arn4C$TP1dtvx>Q38<=iIr7s5j^hUu95wt!0s7YGn#1y-T?HuwyA^tSlN=abM_e{+C-GE zF)xvDD|%R8SlU`b9w1!4vezi*|ATbuJu=-R^NUBU5y$5Pn5#ze z`eD(y#!l?>j#J9!TB)xhKETvDta=BjNQS;XX}Cfe5L?UBYLq7y8YR3rX_Nt^HqJ*+ zN_WqYp-l9(Y!& zdJl*!dBqTtdQZydp^`9~*Lum6dzwF#lTRWhF@ zwOlm6l^srIb4;N4CDhAb#UJ6qJB3nG@cClU-g;F47i0UR8qki=i$t5#i#l$mPxrA$ z#jm81ngKqb{g^rPHD*8GQM%Ys_3U-hKBhY24o>it_Mn^0M$Qv)agJU*x{0L8&HO?L zRZO}cEErxzqc?b%9(e|@4A=V>>N^d83*X1<8+O>w3m&^Lz8%%qn6d)DfYz?hCHWuBfdNL%R;-GsPfA#!dzr1(L(629(-Xb?T zH?zZdjc;*|u!L;^AIu_hyy4Reaa&xtN^0h3g}Bx#h?dBB+_4-F7S^e2tcCJu@H#sWzxd zsRdce@$f7g%k1U^*~%)=uD2`7c@(9KmeKMQTMMUBSY49@JH13|1lzL4UchR?&Z77L z?-Oj34f>q8e^?T90n;s3)sLt6;J;i)hTt+#QJ#wu;tADhB9r+(@X6&IJ(z zF#*TtK5h^IgaC3OiyCO3XsmfAE%e*~DMSMpF#D-OVOCzxdU*($wNKwn^i3xyKkp@v zeDuZ4zkm7j7p^?Kag%(4PeC&X3uVA8;dL93&+L+afqZ&Cthh?@0$95yN3bQrrj#un zCSSQoM@kQ88MCxKTCk#pfxCAB2QKOz5T82}w?JyQ~q=lt&YKxLX1AGxK zB!ejGWzk07S59R)=|v2bTgCk@#S!ZzOC-AeHZe?9Gi0N-iD#b~=CQC!Mp)=gX)&7baOi5^X(RR9&)BMq|7%H1rho4R1pxFjCg zi4eGg{ub-OjRx&OvO5(M0q)}?40KA zd#0XTq=)u2Py3F&vgabcD{NmPC+yJ?-1^uLh*x=>bhNpkm9p*kXq6rN{hn_2{8WFe zI%wa7gTEsD`_5$iC$1qRA7y(AR-xGX<%2GJ^Z?DwqCep_f6j+TqFqs(uM+7faHm;KHA9jy$Wc!ZF_Tw6XMwrGh_y z+SFHli#M=3hELEIoKbGF5Qy^HyAJk6lw8i_OH& z_!jXjyDHsP4($fTH^`4hR$f0wc}~A^+IeBPzVlu3^*j2;=%=8<@~$e+!_L*$n__LX zM`s4lRkrz~7rd?h0|Xip?$Cw`ZkOlVf}T}eEP!oZ$sJ*!M4W#5OWf92_r1pYpLeV@ z?_pPOE|%$8w&xbu!rz>?!tSIVj5*J_JhR#_p?%;^=hvO%Z@&50ciHR){_;~`O82_T zCjjj25vW|Bx3kfY>SdKL4VLTHL3|4NK3vpV0t?%vq~>vFfs$1ew6@sKj(kpvG1_py zo5iZ;jVBLvsQ24T?Dh89`Ehs)M$xk_`gcicVJ>%}23|LUzJ71_*@^*X=KZsW)AkA# z2DLD0iw^6Z&rZMqp47fANcRk9MY2G}o?+2~oWBfu*2s~2qeCF}ExiSw&(&0UID2ET zShj1-q;_a-;If|W&4!2mV=w4fk6`z`M3fh$iU=R?zMrsNs4J$|G%N0J4J#Er+4d83 z_%IZagbK7!zOgEKC#AEAV{Bv(ZZewb6{E>%Cz=7-$3orL#J|s+t*F=QcB1V}D}7-s zPg}rWU+M)N;~x-anels>xCW&LGt7Xz&{!X&h9sqw9S=x?yD+gGHP6;=l>i|1K=i<$ z=GC6}uMj(2pzkjts2R#pPoe1WeL4v@M$Dz91~w93pSxrmg1X)8ORAG<_;v$KLw3a5 zi;{<8$$YR2-44qK=zi6^euUhp5DIWsHq?z5y(bhsD|#uf37L<;HQ!J3D~Idl6(XjI ztQ*$;{DEQLKmrVzZ$-eM4eu48KW$Xcqdrz}Ov#6OV#so55jtD~X5r?>WQDBRd!b&Q zR_M`fN>**Hh5GRn3ybTq}}zwdaJ)?xS-RBL()I zelp?vR^mejOu~4R(MtD>(zlaqCVm93gY!Wf;7hth68v9r`Z;G3(g{ z3J)+VSTTwSY1Nt52t`;!5*)g_c~biYrXHawe|5pq`G>B4Y~Tt_!A~%OF{t;MMH5&r z4vxUwhV~4w%Po;U@F8>tY-g~I1yRo|9TJtggJ@GPBS87 zY{qaDx2R|q0g&yi1R%IgrqBzU2)xr-?E)VH(BT|b(wa_Gr(X@Qf!nCp!fOf6|I1zX z2R2NYE>C0{AVW1M#?RQ^A)3Zpu`)=T?F2-=SsDU7Ez@`-F=jM{oo0uXi~%LlA9KWY zU2c%W*hVcApNeCRvG^#&FUX=ID00ZLe^-n+0?MA|Oe`?>HUhj*xQKNqhR@Y5Kuf<{ z2F&oZ_oNN9Ge#z10?m|SZh%swK|MAgZ;BJQ2gq&2Tg=X1Oh@oaPhg|ZdrVSCC zDW5tn_ru6gpr|!ju7$WNZ1mHFZ6wGK&rl|xe8EH(a(&Be7PA>EoR}e~7D1x{)!iH+ zCZWUOrbT%95wgIaP)5p)TH94R_*kB^Up;0!`>%g@nKm2c1+Q!@NgsEFZd-^}E2Qqg zexldPeaUltx&Fzd@o@fEcx>M_A1l!z``quUn(Qv-EOD$17d=~@KLIKZY-uz`F)x+F8q_lnWqqoTyJwvDsC09ObWg;KQXHLt@ zpRAT>ggxdv`F>U4!p)6~&s|r&EqPoD=StykxLD&iv%{UwyvwZAy!-473BQJ#h9aJD zs1YRG(MjV+=s)GoiJuQg0*4+wI@1u|*^x&czwPtLiT9VGI_EF`X7Ac{N4r*$aw~d2 zvP8bwNaU{~&fxF$M6txfr|JvpedYw?`%Q8&_I2>n8eeyQ`b=_q5af#6=sSpJaSGSLg|KRNI$NOm5lFwAA0Fxldkm zrY4*6`W~zg&C?&$&B)^w%tQY5-1oKb)}Vi1Md}y%w+;`Y6;jgI^JK;2V|+Jdbh}Oo zw`hGrm*JoOcHS#|Pd&g}piidb#d#54lsB};c z@5AA}X!s%b(Gv9Y`)?jNp?4nD0!OIu&K=j0+iz+uTYL^MpVs`xF#1caC)_#w{#Mk2 z_K}WO)PO%fET4`*8+S#TYlp8zZx8#LhLx@MAdJP)j;c}U#L|W3z!}`d#QNRhTk<)h zjT-HddvN%-WbuQfM_(>EQ-A*YVSV|AQ{WMPk`Rv@fF~51>yLO9`P_?4i*!rPTJ2rE zNLs0Du&?d-V8=}IEzGZ!2xIik3r*~E$*p_g#f0<40g@er@3o(F zuYu#(m)8Tp!mHD`NrzEA<;#;yOE7;AL?q9fXGpF74T-$N@GEC68%<~%OQ(M=stXZ8w1SCHEQh2CFa?`aK8r38%#6r0rWd`6H2rJQU0N4 z6?~?k9iQ9r3UmJJ)7$J10Qai%m*xAfO^-N)SD{X~^SPh%4?Xwuig<5gM(-Jx(JnN-!WUpmnaJgoQ+BrQXqwtYX-d6@6v9_ZJro_({?9$98D1p8g$&C)>L zaq?DVjR1oW_u$1=at0@EB2{~TppVwSr@J3S$`V?c_M)EUnA6_n$v(`%C{7!#|rL^LOCwsD*vr zgMS8vvm>sTTo>>iu2H;AZ;B0jpsSxARd!A^+}4B72`y9oq?ds4p9au5CC_@2+tD4a zLFonsz=pu~PY7$|045K!OW=53@W^(+*J*K$U~tTG^_duY3<(0f5y`>=7&Dd7IxIrAa@E~0FDW;K^QNx-NK`=!9{4GTx0=m2x9UxT54hscsi-u zPE0Ne55vX2;crW@w+-HQ!LxhteHS?|_!dN0q5D!gk{K%anTM z#3Uep0%A~NhFpLMv42IVXV=KfLKDvCph=fWgrNa>(UY2hvyppT_+65s9>b@FcU-CH zalSj=qnu;6KhrR=JT=qj8&v?x$G3F~aF~2g$HtrRtpFSJe2mNjeA0~5H-@1zvxWbD z1NeTYAnDjT?WEz0dQv@q5K>PJ!#2nn)D{BSguB=)R$Gv6iX6+cxm4jMht1 z`bH?#3T;OWsZI!+Jd&QqW00&l#L~(T6>1Tpp!izYSJ9LvRRI`u9+BZi5P42m%vZ9n zFz-9+faEh;vm9dcfOj}d=a(PnuWvTSOA1~O31G7C%9`CPz9hcHAC&iy8492dZm7B?uMWShCS zi4umz(~26Z!UIsk$7yLl8kT||omkf7aoZY%x_o&o)2T?ud5C*JG@^?J@W!~gko4mM zNr&h`a}yD^p?cC$!K`@vBDm8*j zSqQ0dWA*lwJ5{vwe~5b@zc}hUe|&aX$R-ll83r71lgtbQ41^?wLk~TzGjHCz41_>I zs%y@+vaa5m=JcCLKbQWv-pufZ3`mHJ=+S=esvAiUTiYvE`>}VuHsI<}YxRQG%cozj zEh+Y5&%1uWsPRYJ&vO={UcZ09cOD7*dw1sfe!XA6-mlm5{YdYhp>r<{1=*cA;6g*5 zC!aVu{E44hlhBQmL(jh8im!W0kK}Z%j#Vs>-<<`2%76JZGx{-KOU{=$%iwVq*>hqJ zp%j19G1d98>O6l4y74XP>(XB7qtXA6c1K?^zj%aR`1c95Twha$^*5m)-@5!&aqh|0 zFYk@sxoxGtVuT*NvtCN>!2iqY{}aCsH3|LrEdH-hcnNiiceH7I#Mh6$o^%d*Lx*zT z9@_jz+84`KPC!kbJ+B;ZYs8eHQVP?6i&?zSf;d@ZRQuR=m})%&ru zN1B;GI^pZbHyp92?ec*gZRK}Kz3o@W#MPD`weF1WZLQ9&ei}*={|B31GY6ITOkYJp zQ$8=nTYIFZVL^WMMX1S#d^LI7rKDnDp;}z1(fV!|@$c=4&wqK3)b@5KSe0(6F%LJq z_rT99e_J~Dh4*L6?C#DA6Txxo+Q2^SQhs(C-pbQ(bg$^%-{79Kj)UPo@$r9K8EssP z{!qVqUn^V{S6ubBkMF^VO6SjxD8F0yTXTXOGw)9Sm{*U(U0Jremb<$6gj2q_q{CB* zz7>VBJLJx>*6kR}k76wE_zZ?~O<|3y^yW3U_rG-w0_Ufff7WvCHki6w&4YK;3)|`^ z+{@};71#K0Ou|i>@Q0G7HQ&@N)+yr*e#&9#^g-uPZs?Hr?V)rBziIjVi#5Mo@rUtA z?M3~3-{K9D@2mvF#j}>5OVm!RDCgQ%%-P*-qu#HsT-3Hn&nxcda`Q*seHcaUjjY%W zL)Uis1^&2MK2R>+^=xl<+1@9rbKiF7a;tY<9KrX@A>}m_Zp!3w*g3cVjSICz78 zWlm4fZI1KJ13y&HdvSI|+vIldPfzq=^qO8yeEe^p@<*>jpY!M9qZqyMsD}VyTt2@r z)OvmaBWL;ld#-f#)o5}Aqi1#TH)Sr(4Yy9^r{dxqdjkX4iT0@MMD!{1j=}i9FUV_( z{pL0G;#=3G%i31I(iUI+SAcUZy;J(;ENq)Eql1(-*a}NQ* z^M}TJ<6~*^h@?GyBHlQ2nLAFr!=j{@Hsw~>99?E--1H3G$N20=<5fc|pD(#(SjS-X z*3E8AIl$A>y&vOs&1?LN7_(vVF526}gGDUb(R_8gKV18+W=&P|%{#CV^=759hUrFH zSpD4#zdyaF^v>~(smA5T zoRopUU3kMXI5F7STtcj)q?K$NM(PUzqBtq9O5zCfn#U8c@>64$DLw2SuP2)<=2Ej_ zywD7hnXy3ZIebf#s*qchepLf+lzHk>jRU<&pIh%ttc_mIk_Ojb!nWs8?4-Hsiy zJmW^28?Z)2t6&z@tLlm}TTxL$Jo|b?HBK{S-bYrl^w3Uas>?ACYvKUvyV+*_E~Z55DoUjmUQcHk3#C zRka+}BJF_f-?98ZTwsWBX#>SdT+l$dBZ|cEB<=F0@2!70e-|ZYcoeep z54eEf*PI0mjSFc;z~Ha~`u_3OEjvEA5t@^NV zAcFP^A*=6I?LyPsnzmo@+{0qpD9~mq(ZfdD_&1rY9O)#Mb28#Qs;8n(u^Nm#CQ9=S zv1ub)&o>WKw|}IiKq?WcXo-crHv~S|crt{AGJ7~p8)9dPkafzXlEzw+G%K9R2EL}4 z{F*3^la?o4*_{!pq^Ys_u$+|x{Fv&u=XXqL@|tie=n_p(2?8K)P9ul(dbhRQ8`K7{ z)8BbT?bjNFzPNy0JHW!a_U;aam7bp6z|LtNLGCJJVtG64cqWlU)QbqnI-#~S^f45M z-YSP3*{28!qRh?20OCG3PqFo3NVmgc#FK~~vbij}2_$Zd+iP=Mqy>qL<15f6$wFmB zN3o=!hwkxQ&g#@rbN7s)hg=&HF^WB6QCB?Y|D2ex^?;0m+78ab_OnC>JtK83ebba2 z!Wuajtgw2;Q4x|9v;)Rg>|GaD{8xhaxY**;F75lrm#!&&v>e~$A3v#mm&EH=_dh2- zucTM48}2{esH{A-w)BfOF>;en|~Ry(h)x8`aOSqm?nSbORF zoj08P=JgMd#6Qj41&_A7?|~Zc_0CUT*;8}!v)0pb z|8%V9`j!jV9gm;``+o*bpvQeqpp$3a7tJ@> zv6_ibe@f|oqrQDAef`X<-EZu=6Pf&uPklqrbnAE4DJ#t914{gA>R0uj@BCNoz|X~* zH@P-ArKdSWHH}i)6=h+`cKUsgLSo2xyFY8xU)|Mu^KV_2dvCk!b zdwWk({LBpEiN_mPtuNO1wEW!>3j{@-e|2*2O7{HBZgTYGH(&ZenfT8B=Y^?rh~>6j zdHnL4UrymY)}>S5-2ZX)KdV32_uk&?qHBG}1@G77^~9&@7hm81*Hd@bV)RCi?_c^N z`IGjicHjR!;pN0?8q1llTR*Swew{p!?tbClE>!;l8MwThaHYci|H!89sHqp%CWm8Z z*`fLa`}7G?J_`z)@~=;W<>y_iBZc@Bq_9IL&$2aoKl`kX7ZGoYpA%k9<0@umUc|Zu zctP)O-mdRc?w|R>ffWV8*TBqWV{tIY?nRh;RO(Vz$#%U*=}5=MUcu*gSKO~$P|3v1 z9s!>|gb3w@4t&4({m$6fGB#gms03pVgl|Ug$H%LFtQ^yRp0<|8&d>Dloy+xDkvlA$ zBgx}4`drJB^k~ge>&K5Du%wlmHSBrM{CM@WdX6mX?~tL(K^0WF^n`T2W*F2wSp8yi zlXL9F6QD>o5zn@6s~@WWJn|mMK$zd`+omHf7d|cR?YLvAt`o6!X2&k;Gs+R`Q7{4> z8~A2lxw@*i>$_X-?!Du&okCBIKIp9Fj%&(+7)m0V@CBbn-b--bwf35KT)sy{bbr*^ zp<8RDg88(br0Nyr#+}ocd5?|X-_xYe&49xPuP@D~u_i#aS>No#>i*OS7@&U4`=H|T ztsB_zb?F{$=ZYentT_4hfxQw={4jv?KfUzvbi4a~siPWnwjlj2ytl{u zEnsuGMg`T^pqE3d0S*Cm?rxq)NP=xtJq3DAbL1ivCaY*`2tlV#}JB>M)EJc?JtvX1}QAPox@ zI04T8%slSp563Um_B)aA2+QbrN#ivC(>_K`fHNFo_1JSBaW0w8e;A(y+o{-m$_aZ?=iS&)}^E9zLid@2_#p6)ZRfT8N<)i(6pW z(Re6ch*UmP#Lk3aZXsw%xd%HvFj?$FD}+|!b)s!T23-X)xJiMU9cb?g)+hnXG}<_B zh-;e`Wsa*18Q3Qk{SR`$nKnEceb@ZTOaJHfTkz7xLD6=!aRh)ajzHeq*?11?HyihS zEV+P;N-vl{d&5;)_l)oi4lf)D*P-?e*AYhL7@i3jxRXDEvVWrlYV#m-{yxDd`rhD zmKvXI&`;}A?JD^rEs2;|mV)9=_rc{)wDw@{vx*MAWrTlQvyQr6UD9azDtnB`nx$AUaTUTqW>9)w?Kvk@-ie~gHG;-Ko z@>(hXW!5Vk#)??(Px$)Q%jNgp`TYyKHr=^_V{v;?3pdk=R=YnbGhTE?=NxCCJ!KcF z3){tm+3~xOSP&VpWXQ!GX+!}~C=>xnpyPLnl{hZl5 zyd0`BrAZTYq=bcFNm&7!!8`q;{o79`F zxVFEeznF5V-Hx~0iX0NQ2#)Hw);}m#Us^sdoQ#$=Icp)R0rnFd5Y4w80NdNAev?}z&U1sf7Lm($8QmDEw zYtW7bV;;ND6DXY}Y^D|BiCvf*{PHG+wGU`El>XB;>+jU&<6`2T%!5H&9qgR1ar;Kv zNLs5wn~|G|WW+{qxTACSZ?M&QpY9lOwC2DqWf5_K{i) zL(0wl{c4xeFUeR6Z zH)E-C!X&Oa;EK%os*o>@8TN67NzJp3$nP$ZDMlz7nUb1Hi}zC`5%;G z?UIo)&&f@YoT*b;*sNF!F-Snu{b-jMeZDihEG}05=J~Kwr!>ZM4NKfeDU!qkq>qVBGx#ir6xN@ZC z#hK{o#?#0twTzGVJ=mhTjg)^eI;TIO^XG)7MYSq*x;zu(#bq!gP~p59<8QruOzImE zHO`w%TqfNhu*>-2{?eNGg>CX1?|f?=$pAqiE398KBDhq=bNql!nTHZgXf7Ql&pqvm z*b#|24o6%m)F>73DbbaSCDl>-@8K6EnMe1mfv^%5H|-eF>xby97U4R=1`P=MM*`-@ z8eOc!(}2M^UJRpNS2BA&(~+yDf8M~%?$dAn4E2_t zSaFikne;@LtP0rkYI$hc+@wCk_tNGYe*7a^qsPfq$Cq<`ByphRxJiFIr;<2vHh_S|lw3PUj^E+#;I&H$KaDPZ{kxW&bt>}8>sT;GGY4!b?3hSY->+QAQWU+>& zr2Bk>mf7=T@u#%(Kc8Dim1~k>(k9F*&nV;z-Pl}Rk=E50vCdKL7A=C^oV0m5B<)(Y z;<@znF41%JA(Uu{Wy)rV(GmKn61z=)=s9cxA8X#+7vej4ZKi=7p5|ziYSTwE3lG+V zF71Y|$%m{Ie1Sq{Wo^AcRSszdmL6TivI$axXgxlsp%t4t1xgVgn3l(`GA4IDY;$Jv zK`GFsYaW;xibZvkrO^IJiI4-qj8~wGkKMbqTDwkIWRdihY@tdxJSHt2IjNY#RC1C^ zluvfESZ{h(_ZsF>=F!GT6RU6H#PDA2fOe&La_Y2|5vPP*qCjf&%*TX5Fk%!{Fm%%% z$_^M!rg-g_{_CyxZhq^d_Bv7}f)o|PI^XE^Y8tw1Z?#-|?#1FLE#@VwmEB9p{}>J$ zr%6DILp?+q#Y`kLQLfciEX~eaM(!I5BjO3~Yy7MrFRPliAkP{nbj1@C=GZ7_GD5Ci zhT@ZeT|p9twV>&mVnsSl2a|k@z=dQ2U-4}LTaj+79QFzpb5Gt+PB1qz6^E@uhThUlVGz4$~1 zb*?LPOFwtec37NMX1q#iN>iB~Gz9SeF+&qK&vMK|7x6-iX+xPaIT=|LHEdCCTaxF@ zN0>Ha2SN3dTNtM&3@%}V9>WOPE{9%NSTr>t3l>+*2fQfN2V}M55>czC9w_dz)HV85 zmyIOC5|qgNlLQrUD&t9LG)6%eAn@p(RLqcKI?S!n0>m;x)P8X`D8H0LfnniP2%7|l z4Dp+fX_4&cimX`11HV$xk%N@d~6OvS%RjHL>Q({az!w4#d zLkF-QW{q@YZqjXBHa!p;x^*G+ShuS;2jxP?{f;ZGI1BrT>~8Ixx>Bc8+sskE(DS5c z#`R59bRKpS-*x-OtYWjpXsPiA^tKa)$~tQh`C)%Nt4@uHo%8Zkbz(}hq)1AQXwv++ z*e8|I0@~lkgvvpBWuqbteVo>c&Kv*ssh56U@=qIqPoYOcUZe7pv>Q}@p^WN9Joo_? zh?ipWnUqyH1d;Zb*;<~qHkCCJ!FvG>t}eK4o2^~C9EyX2O9H1vVAvrqh?`S|V$MZj zoG$AqdUN(O&-kh>a#IW?&UR=jVsdF+Ub3*6BS$U~(X}MCAo8|iCYNi8fT4*bwo5ds zsa7r$j;NI(c2QhryOeCL_bQl= z?0I9pQK}`R0UfZvaKhJAkr#K??-cizk5kEQ>e51jT1#dW?I@G8>UQgEW^0!eo4?nz z9<@S@qA+fTDFz>qBI>KOk$^>|J<1baE7QbLqApi*TY1U!>YAq8@3mWKFD-Mf z95!2z(#F|yG5NqsYJ%R`#QR@9T@Z1_Ot;XnabiqcQiF|^bl%=HWB2Y=Vy#|RF+Hs3L^Vc2U6`i#TIMkJNbc)QC+!v^HExBv zcuIS%j@);~X`i%LY?O+HYvkrCZ?p7OSwxO|6V~5)=-3u*3bkKnNx-0FUoP^A%Nc;KgS(kNs6{ZTCx6$1}0dmX`1 zQEdxSIE$MUqma(XNlymjOMxX?o|dwanJD2+iPAQ;g+^UYo3}YM)C$~;cFN=99Ho|C zguK=KjheWucd1)d(QteNmZn*-jE3nb&(4CKI_ymIbeCoy;%6dUs9Uo{e!GPq;zFjX zM|!u_$rOq5LP2+Bl)HWWj}J@LP%L4YZk=!a{=3YSPoQR zPgIQq3nQFhNeu4z%X4dg|1Yn2}cO<^?%pgg#Pi zGNsAhEVhX9Xp0Q)+Atr{gSNxBCGNca}=k72QRdb2qU!zfbj zDh7wD@3T%ZFLuH83VjTWBOy_!?hF}NdZyf2x|ovB zRs^E(?+VlU0KHEorXwuIFjGl^Y3ex70+(`)Fb-VN6mpyu=Ii zNudUCCk1WN2GJ#)=?19nU~dxt2&ZMs!bIhQhUtO(BbbzI z=^0Q|7R4kpc+9?pBlp`S2#xQvG3~S4=Nl2&c`M2*Fb%= z8k{%-$}W)bP)ICJUd^H9Ezrd)3KyVqzYJ0@kSN)q22E|EkztH&R7kjTz~)kt&5`h) zSHE(8_b<)?)%siQ24IpF zki#N_UPcRrYZYS0Va^}UvszGg1LM{Z#5OdhOD);}--&R+5{oPzAswBH>R}KrW~t3N zp<#=pHEJ;a6vlQ+9D#zMPg`6lGH-x#c^QhJ3u0q%NgfG6+L-poOf8}&=Y+|a z$i)jZEi}?=A)^!atO)lVu+{`+*r;%%m%@@_2}2UGvB5{^7Ltwd+`Q>&*fc8gyV!B< z>Qefr__q8ZaHg)2};f$B+SgZ@Tl>{ z1tO|#xI(N(HF?LQeQSUGt#`@I_#GStV`t~Z7p3#8hAr!}!`>4*dHNv~Y+nEDv5}7C zfx~AHtfDdKc+p@pTuq2VT>6O^h-W+B*>l4=Y>8}W*7OH@klY{!% zXL5hTU*CRd|NZ*^PF=MvHr}y_+`08@RXc6Pl0$UGY0K=>V%AmL)UKu@;^F>*;|R5 z0)<(mu2L9nJhSlb_kX_oiPHAmI{sYmCmYxpTVh``e{}2cZ9f{T(EGHJKKHhXyT79B z$XVJV(Js^WY3-@ICr1X{B`rGtn^}7GBlIq!4D1_*r<1vZ)~~Cc)T_y+D;y(T)*>daw@ynB z_Xys8a?QDRX}3r8ADQEx*l&JQ7Ud46&yQKK#v!mKchQ_Q?UTp3judSGmH9t=0wNde@1#gEy8!}yd@~aE= z%jB$?=%LOR^!e_a2H36iscUPF6-wIDKU4Z%c4GiO=gxGe_6(07QhQ^{vNveuK3P9RwP)(L zuO=+M-F&Y3xH$2mcD8AGd|p2)?di;&=yw0kTA&}#O~&;#bE0*KX=~N>;=zfAxVvfJ z_UO~&IcJphACuaSdJC2P+N^u*s@O9~-C6pxdoJ~UI3!-ztxwHd$xXkFE(yXKslzN-_D-E>ZzM58noB!1FBT0WJ4Yw|g&+Xho4*>qvOhHA=Ng#;;nY5Olb$H zSkrTf+kse-m4lm5y*FRJ3N-Yh2^@~HbEB6of7R8gA5KqqU zlq4f}?@ro(fYjV@wEbDSq`ZnQhUclbjI7#e-|9 zb4_+pF!I#O?6j zmYtLCgnpy?#H@byzR9}I$qD5~bug>{y8O#^2c({CV%oo%Nz9HkyyX6a6 z({18UC*SV7r)ghy&wUTKw)Ov1cyIr`>~Q~*aG~n2y?BlA#KY+k4S%gvig zy-HqmcVuvLlht%Av!kMBi8UQ}va1KSSp!F8c&VV->wa+10Pbgx;Z(t7?bZ zJ2ZTHX0lGejW$`WxBhAQzS-)A`}($x?i9Y>*SF2_Z}ux^`sNxQuTjnjbNYGpGNmW0 z&dt84JX-Nn!M|PO|2(_1YB>M+@!7*j{r^;W?2+Vg1-Iv|ryJM!UD<0X!|k4I^?7t` zDi2k^f!ccW>tj3mko@@im;IZn?>dh^W>x)@^TtkkG;{4cCrS^)D&~)5Bus{-b8)U^ zmona;wzMiq315xm@TXnHswTY?q>jm0Eh*;77Hw9KW`!tevAvlw$7?<1Z6Z}Vy6jmP zsjIuKuH<4zLaq3W&P1Y})@D9ZOk2CE;U^?^DStyV)DB1>JOOWlAjRBXrrHG{n}FHt zQl6->7&hymH31_jbcie1Qc+1zMV^u$LS9vQqB@(A2UJA?9pM0but3RJGj^ax0+GsN zWV5rXTQ@T`(euog=f1OPue}bBUqJ&lV3>U0QG$Ce`@s~PGq^c;O}}^# zq5WnWB#MDIgcKtrZ{|@U8p+q6=mFV(v;S5hKn&bPxUa}yaTSc92v1mslQhQgynh4@ z{PBw@Ce@qqTlhYQ3mSNe3%E8sSO3_IQkNcc;+@`K%>7aR364Yk)f`)p1m#k;hbbYq z9JNm?igyXBbeE*8jm_eiVx^7zvx`<6L#a~Mc3bL*h!kH5x~0yMoMNm=W0JeTS1XA+ zhGr5lckd<w zwBe{pv@n6{5xf)=B&rCPNO9(5Z3w{*oKT^J6IznOs5$m~PPhx?QX(?bUTMNN=M&=5Rh6ms+Oagh<3v@WtDSWTRVPpE4$4-DvO+uXK)ul{%Dr9UaVyWWIvHYbTAxDT)q~MIUlSm=X!oSuFPwlAPQ@ZwF8|Y%26Mm;Oe`&5kR4wH~ zTz^y$PRTezzU>yVQdXVb-4 zm~-R&O$}nR=wAB&xqS88AO$f7|M2q5R`cgPsH}ogWx_w}$eMJFIQcJgzd)SkOUKn6 zIfW)8z0buX=rO{1cYAm4FW=hlyWs!79-H2M{=%nAdw>7_NnpnNI>3*M{aJ~)#t%mk zNI^v)63V~IxPXyY5+S?+uOfO;@CshFaFc&EXyBu=Kf(P{H@s@%2Ct&F&Cbt$=$egJ zp=tbAoqY8cUJc?6cr|Q5mppdE*~?4+^H+F>f3$XK;d`vjx*4oxg3~g-5dO&Y0^B$^ z)SzjbnT8qaZe>PTSG$PHouS-j4PPXouzKr=fph`$Om5Pi*F?_E zpLRor6PBr&P`y3h{M7H*|5>_y{lfEC?o===%c+)7U_C288JQ-vEMqD531jmVm$9^6 zSnzXQ2^>ns88D}fYQ-oO#I;KRA{>#J7jit=!RR<)6eM5 ztaU}x%*mlhG10R!t~?NvihU*?CuGK?5{!{0R-hWm0-eUPnq`qiN*zPXDP9D)W`~$t z!fJS-)!TFA-nH%byzUz72o$gd+AtmRBrH=6t68^eA*|K2(E8XTdAk!tHya4#>IBW6 z#(1ObNgHq+or?CE6y$D^iYQ>8uaT@R$XG)0&mxiP#>1J(L!-sp(kVva8;`i0+EK}puEmdIZKIDLGofy%iu_`IhTfoS~p{u3_}Jk z;q5%WwOJfuQrNH*r#LPG4oHF+vS=h3&6Nl;caBgbP>6IIC@6Zw1x=Tkkli;QdH%XD zmfm@>I}Ou-%(6HWQ8#P#slY;fQmkjx%AkxDBMR$dX*HDQ#i6;DUE)zMGHG~&il### zOl-t}Sy9YF_5!a*Ho)N0q#oI*b%}^FamI`Qib*?!eR#w`ULpkOZFGTvgqfYnMbaj! zX48x|NTGWnX{wcIkQP1y@rO_xgiu6MJ`g5rttFhQLMm8F?v)`H%Yx#|g=yzB3b`l- z{Ve7bMe%ORit$z+3O!QHcuNc(B$5Ltz)0$2aYzv)_nq7JJbpvz)qniK%-EVSMNitL z*s3)pYm2h0OdDzBVvx$1rmUKUe8C9Ixmv-$@^GaV+NVVV!!E3YuNU;ZCeTuz#u^o* zhfn~k(1nPKyw@1AsGG$A!wk{TQ;{{t30X8UCXLV%LTWrsG$9&oBtsM@zEDhj-L}{U z01{pWh4h6ZtdTb9f@T^R^d;4STmY+JI#NP}T4p+7vr`DQDIl`*r6L@Oi-$aNj-)i~ zXh3?k5hZ0~me@t42sJ=CRGzKNMTqjMef|rdlD=E|)z}9>gon*^8*|N|cFY72iq(7> zvY;k9Y{UMHfY-T=VJ5p_sE(}=ASdfYQ zmP8g~;tJ!v_^E0t&2yTBplEu6GE@Ps55S9tVcBB}~y-eJf5O{gvP7yLv zffPJf#Fo_T2oL3vlDYH&l$BMGbWCuHiI|0)DQVM06(%^wBDsM!Ur?xZuhBnFdXZAD zK=M&VW>iLZl$xvI=WQRKuv$S-Ao|9(?!UU(`9M;LMFDNZLJzo#^GF`Ku zU_x9hQu=9}o$%ybb#>afV7)Ia0&$r4K0bMADOM7iY>{&&@KGarn#kN1I6Irg8JjGc z@pWuE^jsqb6X5U={+MYA;)19_n{awpaT}j*L%UwuCJv8o?v#Pe^4Lx^Z?J5xUD1Ww z9Q=;-KDA*(pA?OtGIZurBE$p~2eftygsKpWVzQ16bj@z2#o5p%>cz^^aV6z;3%VHb zThhgXB*4iFPu33lI;GGmed?F1-@oU*-<*11dJGR{)HNY7y*fYcg8IiVH7q76Od=w> zn;F$aNKOb&KMV66m_;1QJCL@Gz|x3PGK$qeOb9dQMz~h1c3=^^v10!+X~uxibYK&N zMUxo5$QU_!aj&SDhE9t#_!}96aDu;8R!WQd{zt~(C|FMucHYu###Tc)B1O7jf&8L; zggq&Fl&0kn-fWp16SKa}#dPCv{thzork&ED{k0s#;x*WztPLAXZI_cU!5W|!YRP=L z+iJXX;`UoMU2cB}08GWOhUMsCAux{oxPmhpV)z|uT{69aLApZ&2t|zK<)hVyK@FIW zZhC;h3Ye^sIhKz@v4vSDfhLQF9MkOrf4eUV9kd8IJVW#P#3i9Nf)!N2Q0Q%u+?))E zDSQvpKlQxxp*b7Q$EdjOd=ycbSAQipk3%T#iiJ`RCe`F})hwGgw~lFVg0vD~0ezxS z-^^%GP{lc5!QgXHE3Dp(P1$_!!?6HIFSLpA+m^q2GrAQDDt|zo7_)9Ia~2|yi0kqa zp~0>Uq3EZUa;Rn#PcRtZyi5odIfGw;P++C{5QD*W=iz@?PF^fRM=eXyqO^@YPZ2;s>4ArOwNy&)Vz zrmGs8$te!)f}2M%{z|SIip25dLn4|Zht2MFCj_YYdekc;xpfJ#xg6$3Np6VD*Nfaa zylD6$QZfS58?IGSb%Mx@H(tKG-G2Mv|MLMT=Ooov`~am5LV^ih%`jvksY|oqh6Flw z>_^}WN!YAqO!c)yx)nx!89_Hj4`tJ{1%&v1h18I>5~v zc8DqZM#lv;6_#g0@K1q9*3%7NNP>(>Fmri#gmg-wN!#~E>P2`XIV?sTG(r)e0lk`s zBZTw?d=YI}@TFwk8Nq}J)vb$3BNfrf58dYkEwci0($WYkvyMn@U{uIMvf+({jI+Ob zyANXIB53=d`wM?@q|$TWpDk#jPlQpRQcNyGb- z##r{fkpkx19HHGp^f=$B2R6D_vr|r^ke@`@VZ&{1B)t+QR15spA~K*LhFN{= zSKXyub?e~xF$|d>pFv%?#=yiB}&(>Efz$c9@zcvuES&3v|9s!}e z)oJw+(i<%}WKb9u_=BMMebutMgC5V}HJH#|Uy!;Io+IHt3KxAQ88OzEw=f#IyL+z=xh>ZZ+~a2sO8Ulb$6L<=bUqHT}@N?a5W zG6+(|`aH`^5^OZ+1R?kXnieN%s9=-y=W&Vyr<8A+AW2uSBCADqkn({Nf+PVa?p)Fr zBm@S{5$dje{x{LHr4xVlA(+ldzOM+~vyKxa=^{?L28iIoY^|K zD|@jE-Usv#w(iS2F_*ya$ZH@3NZR|tl=txfl$#eO1SA}{qV?x-x+qOLY*kYQYr(m| z`_&*#U}~i%P&q;}@MY$5^f1)*!HY;WNCQ#ghey6hZ2^gi)+=kIwVPkZii)DIlkzfk z$OCh*uae--7^xxRybsofP$e=!aZDMq$fMO(Ar(}y_Y{R85ZI&_Swvp6 z(&-&DQ4+Gr8rAuvC|MvmELK7>w1luR-d%w*Ytbds{U}r5_w_lxg9AG}KPrmSTqdP$ zPm-ExsOFHS#oSCA-wPF0Ldui`16gd8WJME>@EH%j20ucqnGP{nMpJ5)R0yt(a3kU( zw?kAEKgZ&zX+OtuP-9n$NFQ_xrEDA-QOpK5O_H5vmW{{pz#i1_Y{oc9W%z+PIu0wp zAogfVxKg)rx*nlOi-zTtR_+e6-s88|zWMOCep9s}XPLC@E3D+WVqQePj9+gGF;y=YQf~3sYGZB@WAUyZi-xoh#XGi z1?!)Q=)|NBxJD}qu%qGtpaTnJOGR!gW@U0aWx#GY8Ul8OqLc>Nbw&nw*&#n=laIk- z(tF+m;}fHRJ}ohfjhuJgr? zAWe^yR9{TWj*yZhjZI@a69duM5jSI&Ba>6o7DDA@b)h3}m8s|RIVeQ*Wlk5m2&`jrM_%Y!ec)2Q&<(r3i9{Bx` zK1A=S6!Q3+A$9f2yq)q_9snHVj`kkEa$)U`Z~f}7|1NgGEmZ4WU*$=9)yy~BE5YIX`TYcG1GUij*$8cjX>fo2uPXY!of-?ost{s zKyJVUbmz6W0-=K`zyg-2@ji4GysA~EmutPFd`kXm%#D(q57=F3}W};AUX+X*H zBK4JCrh=5HzRuf;LJUo7M}s`|m7ckRbsdI)N{8$=NU2?;Lkt+wOK81l&RixUohZ~S zwqn|9bWC%a#!O%7`5{hdJE>T(+8m7`?%iZP3GHSw=k%_o;olnH>gc;IOz<75#=$ya(@a|>+l5u$>w4@$4!&vIHmgpk1Om&9Jy=tBsq zw;{+NfQRCPK}<#-MN_Dejyt7<5-MciXVzlG3R@8vLkbt6GNn9(TeNk>=jWIb3XMr{ z+^X`5Pj^WgnWe0=Z4?%S^2|67h!?8NIZB&!F@~t!hwyGqFOz02lYHmSMJ{qh`GR7# z1r0!0Fs@pn2~uSXiti}X&A3FGk)Iec4;sBtd{JD(e1jA%f+w;LAVe^s3m!s7BqRag zKX!gTRXYB)b^N%wkp<(25ntfMMQL1d=uNJT3=O0Yk<*O8eB_}0 zR+PN@v)WiztMjD~qwBR(ae@dVET5ISK#+mBmx|*=uwXWhvUTNG4JIaYWMp0YK>%%N zKgFNH?>^o0>}cr^&U^?z1lyRx5s2S7@d13O5z%8_s<1lhi=W^FsBK7JF@g92;r}Lo zAut9=TStKEmvH4igorWAhmw+p+)%kI!ipxvy}CGrYCLBX)F9-q4IXhyf$hD{#}FBb zcBb>O^*QXTK?oM+Fw`LJby+Tt;Sus73CycOT517BUjlu1&!PS6Fy5^pc{Njp0$Q4e zYrQUkq`>lLF&W&D!G6G4B^@C-li-3rtO!@EbXVSn}$a#31&ot8OdTDSOJA%YFtTd7qA>%#KdCdHnCk2?J0OKQZ4eK>td+p zwIJVT&rv@#oxfW$%`qt@{|dpWFNa8*Q3ob?jvbW!NOQvqqr6t!ht^kGX{EF=it(8# z%TXn3-!v+!aIq;m?UWhpjkXS8?>KA;rZDVq_M$B@**&S-7|Z&CXpSg(|0R;fb*1TU zij&+anZ6Om{+bDSiFYu(4cLd!&u;kM-;(spPrRPI`R(iMbqFt!(i-TeD=uQF+AB(l z(qO(1;ttKU;Qt9oK&2{uOv)_uBG&lwdJ)oSDS5pJ*Bbs|a<}hWo8_$#Mj3{LIB=~Y zitrEfATCG-k`a0TaEn>!9U=LUgdrcdb=@-CR_<=8t9k~$b>2a=*C#dZ59EQ|(2IFF zR3V2SxQOQPG#fNAk9{QJBl<}4SV3nD_8CZ~k=!r$ffQ(^enj1>Kr{Z?2eRlx`N#X; zI}~~Imm5%Su2@+25?wQ=<=`Zi5WZX4O)A$tml6Umk)Wl+cX9lA4JWbKSB_7xgbIQq z6GZ1X-Fl{=-u!XUEfv{!M@3LbxoraSZVeHl?^EK zPR?~3DTO-gX{0ds*8EHrZq^gJ6N{;km3ICsD`HM ze0OJEJk1SM(|-Dx5}yO&;MrZ_oXkt_yMTkLtLNc zUFeg2%}4a22MOD~E}Zc{6wdb@$VGIOyzf9ZRA$-x9wb!dLeyW#^j*k}2xjw#rumHH z``=j1?DItvx-z{Nwma`aZp`xcB~w4BRW$K1-OzoiKg(fmK9I|G?BbtFhO6O-fUDulHF8OtUGjG9t1)tZNXOTMeW5N$46UoCB0Q!Dht^I*ub}9Z` zZ&&=-+I1V14atP=+;GjQxSd=I8?M3#`8mY(32$Bm)mNQG8#8`GbHb;*s5$did;|>M z8>;gIRNpf{^%+t+_zxSX`ZuV|!iqH3M1uyGlevH0Ng1?s>i_lxS)(b7Y~+ygnk&jJ0RK@pWe8SQm%Z# znE&*MG^0NaQ?+92Tx_bs76LC;r_3a^|zKC65xD)1f(q|+)J3==o{D{?CMb9z?Nz8as{J&(q4}24Knm;}XX$gps8Kz~Zq0CID?X-l} z){4>P+?h|O=`@tq#)=Vfr8T$#S>1N4SJAzjnSRnvOK53~Sbd?Al4^Aowk&*)+uPkE zA!21+J-X-8J4NoFRKna}h2JkRraKJVxKoKtmP zQCA5LHX@W!FV+dNO*_lP0#Yullk;(w9%aob(iRczbg$?qc&z?DTQYdz>2#N#;kTq!iSWSaTY+$pvC7B?-A4)DFwIsDxQZ1EUOL)r{~WgpWs5 z(WTpqR6!sYCPj(9S=R`nL*?6Pv(9MOc$>!O(tIwJ1k_T(HI7AArXLuj%Z1NNh90b2 z_5wtxuWuH6Cv1>C3hTp!O>;<)p9h;G&mip3x*&nHC55sjkZ(yijA3j_@CyIKcdWk| z4f4`dcn8;!ZGakJVWeB%03Ax$VLyo9`eWhwER$ktV*lEAzB}$EzybAyfdkwxMa$KaYu5 zwl|Gca$_?`=L?3m!-a-6XKD@y&t8c@MT0A2)}J+nV{2|{9uucl%^sVA&IWhBwpsLB zCBLSCjdXM1n%Yb~e{~A#g3Se1`e{4RmBC+R@3hLGEXu2%>_7g{wSIWKGn-oT{Y9=Fj$_LiJ&eyPOz$i~$7U0^SGG>b z)F$~=oIC>_a~Hlu_umf0o8gV(Aq0mh`Y=w8e24`IZ86?=8K6WBZ{%K!1}g%2s6Dpx7kJnoXMlmrlJB@tgw&iQ-v!ky=9jO4f?6p5`Pr(E z`3Vf=H2_|OKZEQ00$o5aT3u*17SD3qH>8I6f(cx;=A{q8Y}Yh%Vph$^4?qnEHJL}v zwp||EJ>ut}e+Hlt``1BB>EY($w(z$8S+1r6t@bm}ipy8yB+PnuGaJc00!ZNO-At`G z%LOU}RpEy*q?1_9*s>c);>{^Jys_*bqI^?eg;GK7)2|{w`3D4F9=hH9*qzsnzWAH} z`e^&o!#}(s+#7#$K)W~rTnZ`$!VyVOUZe#0T?7DQ-qKExqKp(C(o0(PmN`XoK#nownrm2atn}MgTxhH z``0%+W6L&t=j)%dOOR(y;>IXkkTwirQfD1PlgW-qklX6I*+c*xu>oS=)SP5Qk#kLP z5d(LxFcg7_l#Vbg%D|`yd?6-c?JMIv@D6}K9z=lUaGY2GYA#UIhm1f4&N))uF-e8(qZ?B!-s1 z1j4nT@vuR^G$iYdwNbo z)~Z^(N0eJMGocuDm{>%=X6|le4U_LNL@~!`kC^DF!Pn?bTsc2)h-<5T`q`c^ZGyFm zRz;b=@tAQesu9LdEMbK9)ksebDpFRAn)+ljA6*2Keol53@omELSjfXE37Vr#y0}M` z7t$_Z&vGjr14o38`ds;FlF;vxR9T*q^Cnmk;~$ZZcXz5qD?f-2G# zG+VWwA{ir`A7tj00`gI_*qsvGI+HpunQ!X+fR|ZL#`;8cQ+ZV{3ZptRT*24T${KX0 z#7XBBaVg~%u6*EbZItP|ZEvT*Ppd?@qDl*it~#GGvHdkU z3rjq^MQvKvbp!DoMZ9OhsI9#hIRWp}tJ_%>xEBJdayP)}?dp0aw}1hf1jIk3RADVV z`kb<0IR~*4At50*&zfO{vBr=(Q;I|)`@i3oDp9S_YNyZOFE0JenZ)kQo*-6SgQ zzGNWNqZ&1|$xatH;)R?|e;hbBc=Q|`N zC;_1fxmeU`e+a4t(seEN3WZ|pIKyaDA<3mXTQE{>c@8A3m| zKwm`VlKeD@RmSprb9)If%?PvzvLy6IaJYd{8f?=71>;2k%X5@*1T4ELDNt160OfSm zfI79*hqFat%{G9*fwhH94PA58DV!33nU-i{kmxh@B+rg(k%fBjQr9@`W~5^Xvn2+0tRZ=x_&{JQVMe9%c@*1n#DF*~ z%Hw5Zm>Vpp+Qbbv(GdBZ&Ns8dxjW^au&RkQTZ|GB1xjKCOZgH?h`BvRrim%4k$G~y z3azcGE68CcRrUxu$=B0G%g?EQ*pww*fBO8ujm|>=HwM|qNSsrFs!z6F#W4W%aCrcj zQe$F|5pQ57OZdec&)>{Q#1X_(qm+wFvQWR&Ji-`DnB{gb)qZn%3m`9?2IPkjEn1); zNGxdw(m9E+GZ8MNrD(q#mcU||N;Jr=Zou!DepyhGY>9)0j+d$Rz(D60aZJ#U7Au=s z$^nf0B2egQCJFmq!?=Gz$hCM_H&cFnZ32X4kcBmq<-PvT9`4C)Cxu#y{n85?&s2|=X5Sz zOQiwftkp#I&(kI1avyEiYFw@CmeC=G_u>-3>z+g%5hxZ689@PDQ9!RLV}@25>gZNS z@1r3P-{|M()f()Mx7^d3CwTAaG7<83Wo||+r0fZKVf~nKGw&xU&em6wC#vmGtmFv4 zRM;vjp{P)`hwjn&r(#NZY){!bCD0%q8EDVv+Jz*2j=blLys$m2i+!SaJJnLl4P$!w z+TJSZum0lyxT*KVjXvb?;Mz&W*hp)1cnx&I@QL98RWv7VNAwI9|>;P9>lJ@kg)|2kNPBUM$Cog zR^Dv$;BElFWZ-F2mFph*-1psQzK*|(Dy=(pkp_k%;pUYt!LNTLqT|Y<&`JmRIWS9E zq5`dv?4XS@Kp?vat7w4JwS*=b4zg<6<#jEoBVsPlC}zgBL~qk%!k!ixKb_J=eQg}O zWCqy^ni zJfwc}TA~DPhb+Ghq_hZfoCw9y$P*3?{9e130x4@=7XfS4Xo=ABve+X7#~o*vh%fGZ zwJW&n@4Uo~Emc08z%t}OuraBFnd3T`Ngk#LoI_$VTDlJwh~4R!tCNCBX;c`m)ieDh z-$_Hlac^U$0Sx&vQg&cG&|Z}()ghO&wIrg@)oXZOpi{yDy|rPacA?_RKb6#?bpZ1<; z*yG*8e5c=RrF#{Vw!I~8X1j(Ks*<7T{&~MN%`6Z_Q9YxokTYD)=Nh>T?v6x`i-3ZT zs8xNz1~H`pCWh{*TQJV;!mSb2q-RIF-Wo9ydVr%Vs3?gLzW^;3mx>xGke?|Nh8?Ta zt-9B5c-6}H<5wp3{xz&&SBv}9)?X3GGC-nAnKN=O=Ifw)m6?b5I`Awse#3%e|D^WZ&v!ZMVAp4j&DSZiYMq zjv;DP78U1+IgE-Ha6KIyp*o9TXcCQnM$ZWRdLXu6>9@N$dl@BrbVrFnq$QK^t0)yQ z1{QNR4gKzsPs*2tp8M*lyJ&m9EaHY_iNC#`0@CZS!qs7g&tZT;4CMgY+d!hzt&5HO zOi_raF&%1^8_rd}`aNV1tzHP!C)jGawwQ0%ylR9Wi6kNGmw+Xwnp>xH zXO^Q#39N=n0jUF6yjMK>I@ZPbHUOITE|Hx`Yt#5yvC3Rc^9`wY@Qhb?)>Fcm;n*K0 z08hFts-R>Em!iNSJvwU4at63R=0aHu<)>Nm==8{3kN0xj*ekxa&Krdf_7V0IkSM|$nc_{Zh z-&Py;biCC;+1OSe@9%`Uzie;v(zM{KPjIH<8jhNx9YY6C)`UH3C`8evC~5*{02=Pm z-6@zw5xI~#%l+ zRT>z_tw1JkW80vd*tQ@^ui-S}2npjXvOmX-x+z^{F0ELNFD~8q-5agqBz+`=9yEcN z^yIgK($g4wP^Tpa)WB#3UPW%kkQ)?YoRqpCC}4v7XLs3=RwHV5O)(*=!Sk=FP7JWe zFtFmK1>>*mw2JgrBEioO$iLmM^HS3OKhTG+yg-}q7m-m z1n{aY;*sMWxQ3?Be^iOkvIpVV^=+{M;S(KVXE}yLv-yGU4?J1>UV<2Q0k@i-Ya#)- zIe4dZ2I`Sew08YVw2*7UqzO8bNcY3{qmYA-V5;Vnawvzk+#xwM!jaLy#0a@?2qHQF z&<8ndi)m;HV$lfD-(s6a0H3c2T>#lwhoZtXj3pemf)#`kM3!72FvLLc$0Tw9a!#3& zbtXL1BL4DQ-~4F(vaw&og{|#2)#VIo4zht#z(|Ut;I~3a2UtFYlwSyWX#%(^5E)Y7 ze$|Ei2}BQT0npznK!GjKDWJ)4!=%6g#F=oYE(gpC8%5xGBP9{CSBDi1W)ZMKjUv0E zYocSnsT1v*AvkGDqoAyS<}}kZsX?>zy7G{u!&NX#7=o(E7@ouiR^D(eyo+a51s0JA z7{o9a{fGiu2os*);n@!QoS_^B1NVCE$Q?WXc<{FZ$ExxS;b{|Yi)M$lN?3W z$^#&%1;G&r_YfZFa?3FcNdj<7R?ka7+z)zf+nQ670}CSbxuB0?R7Dg>0=f#HBOrrl zgk*otE~Oxm!MYg`CbWd*q6reQKy@fkdFb>Fp#mr7_jk%GbCwVL3(5&v~kXeG@NyLpRtOIVfXE_fV z5^T9CHG+{`Y%B>nXy|Hop9p%&`JAjcSl&sA($X3YqNJEQX}aJnOKk9Uhk`ixs}Zn` zvvB<-GS4#*RKfT<|Y7J8i-*?}ecYC01B7x0h4kf_91} z=ARn+yT_OQ?1$F%tj!K8)g>a?wpZ3u%9~_dmWYL3iB@eAXfvW(nS^9&mqOIS2O-T8 zMGBD-1U4b@(EY)Um4JXKfLWd*96lft;hlAQn&(}LVy8J)CUmE?z_dvOby2Y*_g-LQ zRbf1gYmS{11N4S=$Pp;WF$hPLfTsafU}qKoeCRRH^I$*;hDlOw4a1n6qjK7Qh`6G@She((Smi{9mudPW<&ZCx{!{ zIP0_YtLRmvQOMZ_WmzR6khnxtH`49Elv=G3gcI^>R2ry42^~^FP>Rx28>^c%*}{TX zpKH=NK)AU8V?_ptz7w%<=_UEqbq1k>3U~GnEP+g8FlmdCouliN5!FSkbQo-o43%u4 zL7*fHlx%T$P^(r23f8HKG;&HK8i#;<7g7M7w_jv}uA}(XGK@EwB?aI>}iw zxB9hXDDm9YFaP-E4%mNv(;XTCTp_p9RglE%!VW=$9oAQ2QAp;Ux?p%+wh@WY?Yc)K zGu3ekrb`~sVqm*mFeF*S>EdDL3PSQZB?seD>>;?evsO2Ac>>6ZZM>@k7L8znhv7?B zshTp-`4kwU7<`Awg+z8Z9k^^bAl)XB3X?~$`wks00_PI#vdfA05;dT40U|IbI^b-A z%9WipTqXb4tKBEQ0C(lahRM|156I|)$^B(jjYyy1rYT=kUcl_QRqYOZly~01T`lJD zF7?rum)GKjgaF{k9Wr-VUv#eR<`$ue9Jx~u~W$^y^pl4B6pMK6J-c>7L|*rd*J;tI&1X3^caPA zG=c?wM5(}hXbtIB0!ndKUz!^7mgk1wTO0MOooX1^DC@+GEByQsn@4S30T}tKcSV%O zUn)ED&1kFMH?Sjd?^_MROxZlj8|_x-RDTDRt(C;_=k=NRwI~1ci=L&0Uv;0Q+)ty; zBz=*qYGm3TTHZkUrZ+}A@@K}gc{tRAGq^eLPF3%(8hj;Bd`jTdl+S2Pn)Hyn@i@?c z()U!q5cA37gY+1e6~_Ni5fKul)$Y7UUIL%LcX%S?sGl_R_=-EnCp}0XZ_dk{#YOLZ zi!T$Z3*^mBd}KBkB3|Y%hDf0kE13^zrCZq5qbu*$bx*g)N{Tv={LUZ+GoIlHAO=$< zC709Ej^gZOG5LIL@Az60_YA1aS^9TlC!J)9TwLWpkYJDU;6$i2~tibqBv3 zGkH-*U7yZm`8{;G(Y+FP^UCpvP~uB(Np{P{bs61Igi+<)V%NHQm2DLUg}}>VvavjN z57Wxdke7eoT{wQL$mLMoQlczwXtkC|XU3l5u%$NaG$W$rr7=zPk#0SG82ewwJL6}JPVv$A5p}ZfQ{f|WtmMaG zZvUn&jb!;B4#pbSjZ~=g=p9=;)$K^w*a+QtF79wMtpg|+6&e0G-P} z`CnF*wecVLSNZ+=HFOvPGl$I8@$xJDD*x&za}5my&yF4swhpMf&~f#gdO@^ae+{qC zpyT0ljaS6F>XAM8!vJo%)9msb!{?}xzIL_27#Z>jNAWr1#lcOL>h;$^r5|spjEfh5 zX(jzD)p|i!{XXHZvpG*fc4tNhR62dF+?iPv0K z-}gU_t|B0|RG)^)#cX&AH(W!7oAhn57YhS@`1;EW$!*54d-ERjzvp_6V|i~k0}NB=q5`Y4=}FZ1-~nKBm@2~D90Jo@9fjZykWM#_VVKh}vbY++>b}Kl4j%$$14c>X zDv>P3;@e1;D{)aGVh{`CCE%0?J>)DHMR8owatt0=xrZlJy$>zN4J9^<$MbPfs$N5? zs#(N2`p^MeG1GpV&&2!98(h z$LAq-{(%EG8p&Ru+u@;sy9D^!VHw|Px;Stl2TsE@STz{afA;9A6~Klu=(J!iMMY&Az_JRTnP3){eB5^CfT;98py=d z;f)MqGJT|3Uv-a6w=~pr8@fkNyn^{(H6Lp&%v+?5z!#*3!c5f@AISvKr5DBlCkb?sB}icX2P^_QefMS!-K=mpGmFKCxaMQF*MFe;Y$hX4~Uf6hq(b!CmsHwnRx%|9#%3mzVL>=;8|MuIz_wpQ#b zuAMWM_6lo^9w=kxt%(d6xqPq?2$^_=ABI>VG~+`gQ&m90991~reLvyFRZ+6TJn2e6 zUSJV7&X=Ih;*Y}tRl3AQ=nI_Hl%WA*OHD+bHCp$h7IQ1H;FP}JJ(Az3%qCh3)jY8s z9AvS?)IC!M`AdWBrWU3upWXPU;y+&cPw1@HmJ6Z!odrf4^BQ@&m^y=7%4&u^4E)Qc zm;us!5{SyTjLIJg@-GEwI4gNPt5!tUxbMcr@@JzVw+ZG`HXh)VkrnTh1FvU)Xgvz8 zH$W-Yq7EZg_Y!<(VBZ=$(qwD*u9I_IlB%(g`S+4t}Kj*hET*fiUnZmtb57n7K7ze zc4=G?gdpUtx0JPtX}vOEa9|(u-<*d_M5CpGqD-y;crjWj0Yg6G0YlzJJH0^Yow=5)x1# zB09#r!$;Rr36H2$Q=(AIWr~Fvw3Kogq8{W+RXSqiG=N^k&T0*^qPlb|vrC26g3v>| zOKdjzFe_H)wkp!xh*=r?oe^{G@D9&`u(>(_Vu!WCY7Hfx4G;XR+}Ho5uc8d53aOKq#h$ zeWC%r0*Z>t43gCrTs)dnkm60QoDz-J0)D%6A6*Io#1)V=2RfBB&d6!Jw-p`+Y>1)f zSUZ6GEKf#D;}8>p<}y}7McxA>5`gJi89+wsi0l>_=$3{`k85e4csfsBpr?mD0o7Jc z`IYJdz7wZwIYb&u19^ts%rh3MZc$9vHDq*#GwUIP4jOD4b?Jr};tKtw3r%yX-af!D zrHi1&faH) zGyVKICd>Sqf0CIpt_FU4m-2Mugp$QWfz~UD%D8eR^G(3{`mRpxW;Tt+R=rsoC=`A1 zDPj8ufz`LfuAyc>da*tNEbiF`bx>G|I?B4y_J-C64pA#sRvr~DC(4h^nc00qG@e^G zrG~e4>_SiYnP-fDpaT_`D^cuE=_Wk1UhKl(7pK(Ow0aqr9t9GZc%)Mb&9{C7(t12NVOEf< zlibCCI-EC>4gM^!ihCvIQLgT&$Ca`1tmtbG3?;coE5y1DvA*cf{HwW!KcLL(Gxec0 zFE!J6a&7)lh_{-`Q;h`U(uF-e@!94U} zUcrSTc-ELYk=!-*qLVc*(7wW~bR&V8cLCmHVU%GLp5EsVf@qDJwTVCxgr&@unlULBwvM(nj zUjk4Xt;aUfbnm1}?JPb?Si>Xbnr4ht>rh^g-kNVH7kPACT-wVT0kag37xk#Y!d~7t zs?725FJ!J=ZhnV!dneR)u(@2GHvE&yT#s4X9!+@P`kvR*60Bg@M9FBV)S!xmOez1+ zqk2<-Z0-bdO3KVTsfNzC5} zyJ_~>YO=d}{=Z&Lu3t8j{JMF^Ao#cr)O6^=a`rS$xg34B*n} zRzy5vZW48GrCSiE4Rxn*Dh;_UN7;yX{2NR;HDM%#H24*2hF7+p2`v&5{WZ2mT0Nty z!3vXO(HwG$jE8i(vO#eLE_{g{XRo&7asw8(II$?b05DI3yM@PlgeIf3+k7<6;2lcehO|H(Hfm? zjXuf%1MpVo3iVNg+<9R3iDdf~y!%`eVwT(;rauNw2Z zA1|45iUlXrF4=?FjjE)ZA-N}Ex&(_pZl&L;1;Aqtt1C(34M8zn4o*wxk}g^_ftD)| zb>1SPdK0B!usqrlL^$uoi>L(afaqmLlpwqgYl8`_%>l+@92hnk8#}G$;&B#Sc@05+ zO%bdfsN<|(fn9R0XmqF%oq+F4$gI{xx!@fRDnIj*UCn}m5E5G0cvmjU}6R< zK(V)@mGN9kVU~#^PmCXMY%m7MG}#YiHNdnVA(qlf zQR6$~pD@+h5{?-&q~Y>{_8=4q-pKVKQ!Nu0ucQ_Oix3AhsW*#&HwM18Se>HaX|Q<< z;{&)KnoYD=358JXLnIPV@dI-FO@3G4ryGA(x9o$j$<@Jf?pTe*PgkD))+4_@IKx~z z-ppk#b*s1Gh3ba;J^0f{7A_xb`)297nU*Q$b8;j1L{-i9o{VQAlXxXwa^D;(I{oPK z-=ov+qO`l{(*E5{6a3V4&NX21(1J3uJwmnsQ zI)?5v-*rveDgMZaJN@oBQ^=iK_S$~9Wqz69Wragt)HQjtGI@mDf&{-!%X>7J=OpI$ZZwp zZoS-&*Q9&Q7&zfaPS2QACP33GjMt5l)5=vIKW6ME_p}Y=F&{&!Q?%ne{cb*<_5=8h z7iLNtKJj*r{Km`tj`1FPx}QqC4YAKd1$P;Vb*OsdwjSYQ#<)~wR#uOIHL+wh=>EjW zz9-b9!5skeXovm|l<#fkk#u56#EAj-MVy^%a{JIO#*$V`ABR^XW^}+iV;&r2e!jlE zAPaJeuvfQLKC|xHTR)sGydfq6CN_EqJvySxYB09bLHd>v?gg3Yw-E+pY0#oPphq|iL?{iZ%AgJ^EC-4jdx4JpD6XFmX ziZ-P005N@!eyqP{Lu^Aq!CMpD@6E3U*SFmW{+-Y3JD>N0+~i^B4ds;4xN~hK_Ecty zYc7G9zGsOE{_RA9QF1l}h|AhbE0xt9@tz;y(cRuA2oMX&zP8u&&VspK-rc(ZG%TGCU$$und!#q%=G)yn7^FpMVEmi z#u`xEpg7Gmq>Yqx+S)%3d z#^vN^XeNG)p4=!7Lb(=U%FOhs^?}~x1fB}+LTDziy_v#uD7_j{7tuThPKbKS0Jl25 zqF5~CO5>1+mlR95YyJkvbqf`bbONdORInQodP_0;Ss?uqc@;gx9fCU>IZ8nWCkLlZ z;H#)FSrO<%eOUVvJt!PP@r_~>g2-?eZm^1J}{*Er@8sSYEHetP5a>%0@IK+ znyIAmHh4m;Tuzp+{E~Wp;6hpGt+Fdz`AZKBqFV~;sa|M4RGRcqQx8Cr_p1Ut)rGQ) zY7m}~#t#gtE7WE|yqp9IiEjU_ID_Wny=8O$84SOocBr$-Gs+BgIZ;N(`fv%?R*FYo zPP)bEvcwSQpG_oyA~G<6Pe1&#(^qQPKc7GG)!-v;xCLlQnK3P@s-75B-FO;GjHmAp z7?8)2$8^$RBtgZTCcKuWwa*~x?YDe`iZYcldL#Xc%E^wmI2m=)4Gxig;~)ofS_Axo zd)ny=uA!AP79uGPbAMHx3L<|FlNA*YBn_}>8kJzjCN8Wfx2%-X!CM&1F%Y66EU9-> zHpt*3!Bv6mA28eC7*tin1rGugipc3&dbcoY2nW%eA2}M#b~krlzq+LCh(Dq(Kp{Mi z6<^sW7CE7q9Y?_4yvhL9T+7-`uV<#^3M3e|=otgX~)zwp+1FEMgO6<1LOsw=}cwCA6FlrFp@zWVmKWn;eKZCalkTrc#c zDx!C!O7x{k)&LXJ2ny_EBV$>a+bRNg)K+|a>z{dCc}fhJ#bRu?a7Y{yL4B>O7<|nD z^#wL&o0#nY&DFQ6ZMX2&)1!?~Td@>SVE7NXX|jI%p7VY1zcIMW(qE~80zGl4pt_=9 z9SUqL?d9h?`h_pb`h_E4WcVApYhOD13*lWf_&DgVi{cF%1G;NS1UutSCz|Q>w8CGW z2IZBq42*n~0RsaT=-}W890v`yKE13ru*?X|M_w&@bJ?%9f7R1LPCUq{5JZ(Oyfez3 z_ofCI%N@9GWW0U%eo&f!@7H2#z9FXd)&w=ZB|qK8eKym@%|lO1ujkTtgD+r-YWkh` zdNUVcKDB|{?y-D<8-^xz{IS}zQGk~aiZVUmMMK@9)RbxHja zrG{=dEN7sn3k(hViD>@09bADxzc`Nv#ofZ7*lRrggWigPUdJjEg40h}RSKtjQafn)nrVsMf8z1T8Hx^s2K&-iQ zCjNo@V^ke|9D)cl*B!Udy+dMeVo*HIWG5eYgQOCuvXSARwS**hT5_`N4A__V zE0_;&h{+86?YDmV&1DCF@s*TdCiC@ZTE#Wp24Xs{PT*7zo&}e!Z_;<~Oh-q}r_f2Q z!8BAvgQvY9^DGD{Q5c1B#D^+IJ(i57YG&Nvvz=x_JDKTsVJMv&4$=ETKHv{1$M3$S zzoxnnh+^NIE*$769DJ$;ZKErS9_X6CUH$H*G&tIphzv_asP+rS8cJ$mRT*uGsF82r zwtXd`+i$pQ*N2i9hEhq-v(y~KTR}qN4GEo8S93x?cd#8oV`d1P0yvP$CY_+JF47aWrrGnRz&#ovdJ*-Ntl= zznn5aL?9gbvgHK8(bHcEXlQ*}xq1OS>&nM}^8G8z8vp6P$B}c8lalU4x^R;Q2?>gJ zke!W~9eg{mD9PC(73O#o*beM{Mji8!q&QQ|rRiH#xrt*Sy;uR1cU_WcTq^_N zoB_XeN=qdB^hc2rrpsS*!td+!6b}Jcz5Gb1!tXj>z63Eaxt@=V4w!~ISHSy~5e+rO z2dVwsJZ+wHbIa&))gk#8gmb}ej10nat)vp8QV-O3?}Bw=VTv&m|0uvz5!N1;k{TD{ zJ)21)EL)HWL0`-@MX|SJ(%ude%Yf{{(>8u5XKI z^Q(6^z6)mobd{iO+Vyu3M1ZfEnoS}c@b0S(SL-aSJhA|H``mo^LHd94;gbavl_uRB zksFyJaf?u1m!Jxm)LO1y-NHEr1*9+ttwiGn#m+zt`jYq*Wq(|CrHZJGWYWeN#mJ@S zX^Y@|nyXo3K%=OvA*R*%787(2}h8i($2j~!nH_ruH`lzouY~tGfU&9 zG~DVkpvW7S&lypxh})gbVrTg~mz z2wN=&pE;Ke;zrh~3phft8=6DjOMrNQRmbikaY%4MAF$OXWjkj|42TAAz4^w*if@UC^Z(4ZxakT?H}{ zk=3Vi7=SH8@3HZ4E1V_j$#1TmSbCNvu5ZiGzCQ(;1M#c=59!$%s;fBsowbEc`LS8y z^0D2M^~Vl>On*`4e+ig8jsf{dxRUPMUJrC3Ppo|eSXuPLAIC3`4Yk&^y&6eQ#J}`! zxNFOum()%4liO#D=VLz$-XEzvONSqxI+`u}FezLs`*2LiZvIx;hqu2St_KFL`F(e9 z{?`jY7P8~veB22>d$z1GVUda`mkK^;XfBgy*UrbkSPC%*); zm1A?peCNJn+bSD^^Y{nbf&XP%-1RYBpdbzl5Oi{W(-0lGnqMj08NMG4`GfOE58q4A zCl6EcYil1rdYIaGadUQ{*%QvHo2dD~E2r2X$ zXJ7CFLCr*bZu2F7bCr5Yy+7V((YdVMF&o(xc>9h-<*A8m*)Jk}WsUg!=9&H3pvBgb z|3act+;QdY(hC*w6=M~~Ka_2}Fhl`k%lzg&;^DkEF2@hw)-=ESp83%q-smPbQ)`ab z_HJ9l`0^nYHAeTP3;hqNZ_0tn7;ayE6d%KFYYH~S;aF>Y56sK%dvlgMims^*^p}1~ zp7yuF;!{VhI(2%M3s$s}l|~X+D+N8x)a1!C_?B?9xZ?sBEMNH)b+uiom@Qu^C-4gN ziYTuKcanMzrj@%Oyo^2r_fk&RG2|)zE&neKYi}0PhmF+Z$#S(N(r~Z7KfDyJ7SRMg zV@%-L;yKi*19=M2mrltK?nVV|z%cXGVXsfP=$n9}T~!b45uP7uc?D`w23FuAocaM% zn+B4Y1guZ2D&8Zkz`At|tvCu(Jg(fEXu@j>@&j9dStypDyzeD>dx6}Erp5Mx;}gcV zBE3UABO4u@8hizf*WnIgRKrRCmzqJgGbuoBDJ2eGBG@xfJg$9bN-PI22#U@p|S}6&x z>OdM{m*6`N3DSf#xB#4DonU>-!Geaur0Xxc?*C6IZ~%^dwK+66t4$&}heW7Ai2}Rf zt{KRJ0Q9trXmyEpNw(7x+!Gi8xHmfsJZ8L{qX7I{;{gZ@i7s#oe9zh(E_f^z-avAS z1g|_p*kuXA!UU0HEgZ0_-CzluVr3jy8~m1oRe{_QT0(a!5}?#IcuUwcB5XYrv8-GD z^Lp3(&%b;9bhtieLK#cI-cCwjURg>X79~=tmd?mTn{(|y&}g(Sxb zCoM|3QGhcOkb)yE^|LCd@sx{PhyiL}i|j0%=V%1RTc@-{p&hy;I%-u1_&P2h5z|f9 zTvXsBHG4^2u3*Ce?{U(azOY2pp!^Tv2vexU1gN)30M_0Ki#`%KFYHZN^FSNwYJ`x< zr6#8;rW`dDb1`J>v~#Sgj8fXoDofCR`m%Y&6E|IfX8iwci@?1w?b6r#uQxI5f<5SZ z7qBF3^$u%;Zo*z~cIZ+7e80ZWYR9j-n-hRu8hmidqIEvhn)=_HQFFbwSbYU{JFP!} ziT=ZrSN?SCm-$<-evoE01CGs#W>E@5^-%?vNgtxyZ^xwMzAA^m2nQYC0=Knt3_5*nfm zV@HR3nfu(R6E>=i{)o-6X%OuflRnz&q6jIgOpavlXRC#Qd^8K5+d=kY+?yHQ3y#8A-mo^m(>hoGbSX96|%=+Z^$u;$mS$R z4g_-vId9KMwu8xczVCUyAN!-ra!+@4bzR=K>b=!lRU#GQupKG2U9FEl-GfNR^jf(M zOfgRN<6EKbL!5Upc%V=&I)J zkWJ1BE0}Xo?^ak9=Ge=tE!0bR+YUKNI7FK}9Cm2ZF~Wu!HYFEDdK@`Z?cu&U--X{I zkxEQ8x|-C(jv>f}1hBaX3gWppzPyQ9~2c3Wm=x6O3L3kw3}rI2`HCW?# zHGyRa1{54tK%@kkico7v>Ef$VuTMs$5-p?vd*CVJd{rXkz{(ii?V}E&c9Bkf>d6`h zwMa7%8%?pasAh!fCSf}+k-%b@P6T_|z+wjnL7=d>cnUVMXrLJD)Px*)36|0z9Nr2e z90=k4>o^B2b`hn>1CbUWO8_n`Tn?Dj6(IA#p41XqEj{xWpC+ZfKlSs35H6R8!>%mI zF6*aHep0MB+utPZmy;uhxw1Rp5RmbMqa6bH#m4Wj8{`)CcCXG29ayu*TUcXx+FAoE zVP0D1B`fvlS9XEt8fDvV2O^%=F)c(MrGRACtv!P`P6xwcNW zI{%nlpY^O(x5r-VrF71_PQHK8eqGe=Tb;{YBM$VGbkwV6k$bPyJwzIvTb-ViK}YsgAhGFT()p0RJC!y2{YQgt^lIN0|LCYYb- zhP9>=^r_YGwXKLiy*au=fc-se8iw`hkrtbPzyXW95 z^5E-5sKpNVDp7-jC9zsW>0!gt`_+f$x0U|z`a<)N4UUWi6EpzpU=zfF?i#fToc0Xs zXCg5@_*!zT5yQIEVPFEN?PwsbZm+ft)-4oDDxOHQLvQ#!;4{E3* zL{uwfxi7A%2_Lmx8xwA`sDzyeb!(Pf`O(y=h?lyNQfLd^neQWG7f9dbYtzvi(}ZlKK0H11lmyz)53e6pqh~ zNYxaP++kRb2ur}!RHPM96z#X3YN1q&$R;9Ih?y9cC&pu=X_K=&69X!tW5a6eIz0iT zj2oB=nIdU21%}@0S(lvon|BILMI_|3xSHE1J3taS;*?+zRV$6w;SO&HxPF62h8i|u zYgGjFilat_=5mKLDQSRoXo1YDw^&?fs>|tf>OtRE8kGnvvQzrZ4)7v3QQC$x7h14J zjLrz3aV5)8LLk1#^^PwPf@}->&6~^hP|hO#f(^2ljFt)J9MCS$h4I8dl7wz6p#-b| z1|e#Y1JN5F^*8w%GheQ=!Y0NV_<&FWxgemv|NbZc^&d;W)w|fTCg4UC?txS*uteO` zmcwv>TTO>ku7E-G0hayOW{IjH*uxiffz<%zoUo}q1iWnjs zKy;ByR2V?0n1x&l-@qR9&=w?T+f}NDl^AfOO_@HK>@VXdhSmZn|LA{9waUhS5MX>qEd z)st<|f$BjGIeg6SUz0p!Qzp>bT$)clDcd1JHPKNK`lc=l6#~vU57!h8qoN9CXIyX4 z8y8}7jV4SzZ0oCA6W)EIP3F``QY!3%_n01ng@$RV6>A9tj%n4!SmVfn*g(d0-P;t{ zYmk=PzMUN@i%I(R|QA{YM_gvG}M=p@YI%cSxp{ z99VnejIVblYV;gC+W3n5S&JI+7OlCKbSbsutRzR1_4lw6BfQ#rl3MP}tYQ4-U;h5D z50*A8If?=dbt7WJmI+WY@IH`@!n;wuGwwN5P7YAm8zGk#N4?dOErzFB#3w=7f;Ez` z&QwJ#;)Eq$dEb zS-w(-bpQNNkZDF8g!*G)W=hMaP+T4svvn9cEc6+hN7HiTZa7YZf*&&F!zlwbQ9j3m z$~Iv+MPERJC~S5+6W4%$zmqxC``PzipLy#WFG1!ILbiiEP>^H<&NfjQ#C%xb%6VBf z105YAQVdDWbHfFcQOt?!r80rRGLzgq#aogt{6iQ2My$K#y^)A|phU zkO_neDh$Iq*%n4$5*oyEY#!(zfgSwqG^bB9+^z7s`UTS4f%W_)$Ghh~re zkBz18HNw|^h&a?2)I82P<-pE7epJQ*TJ67Kh=W@{l124sU4&0N(7=cS3}`DwQ5M;a z1|v$=M8l8^C}k;MF9Qk%X&|?Z!=fb0{H;G3tF5Tb_9-glt^6xp3~ z2BIn0Ck^V5h2}dnYD3L)4s4AC&0K$io!eAdJKX;SDmBI4iJh-5?`4DB0;UN5n(sskDb`v3Jr4PyXf3^O9 z{j&56OY>QUFj1B*ebD)Zg0ZIfw@5(D9|afa3VF<%aPI+vm*V|={PdTF zeA|7DEe2SvoKNLf1u8RBNX_-*SLMfaB9T}dm-vXF%DJ1@7&6|(# zZ&WQ37XJf;h7h0|f)7~;UjCZD6d<faP<&N=xn{G=X&hsEX;(hi{C z37i6tmzo9-P(l0ry&w6+vC?CoT1*N#71{`p$ft!gzw?d%nF1F9y3Nx4Qnr4vDa1Jl zLIGfKOMOg2wfz;}i{HYpj}SlPYYKaT`DNrAywoY4{l!~fIQ+)-|2v=R;sv_8dGP^m z>?I(<3xEOibcoEi8^&@T8<27S7doK>@BHBRVt47oi#0(Upew@X;he90RL|!|AwD0l z-<1K`*W9xH3=!-_Tw|(-nkSBznlY?i(wcL0Bi{aIX`eSF6a2g0a9RW z`caUJd9ecdQu2||UWoRw1EnnDrZ7l+(g-hrUMhKP``{h+KTMk#3afxGpvM8-M5Wi> z^G3zYTh@rMz5IPx^$lygXQ zUmnWv2^8+6fObW;=FCAr)L|frFaXS)1KV8W98#dhF9Jo*m?&eqK<2js;e^^iQzD0b zjO~xwKtCfhK=$$kG-qaZvuJ`lnw`lg=~q!JK*ryk`e;r;5Bq@n?Jsw_UoCA|3k}N$ zXg<&)1?~Zd(C|Vp=4Nt0NqGVh0{jO%r2`m(%W`$O;KLFqI4~M$teHnW)C8*J(@CcQ zMu3GMI0r615p|%D-F`td3i#5X_QWp^Ix)~dNR+2Oe)k8-9U<4JQ4z&^C%*o+Pn6QX zggpEJG^W@`SQre_AQ|||i1Px}$v{vu9m;{6B6SA1t{Y?9U_}c|LQa<1U1#OU zTFO&Vj>Fjz#zX~$`Qokt%eJ%?794tRkr-<-Jz0ZH5I&BLtRg4C#f%t2W1Y&vWq70& zL=_`bL8W3?QmZ@K_^{={COcgR7$0tzC(BuAHN!bfc_`VYqLHn{5et{Y>6+YHEW#n^ zt!l3!Y36Zt+*4#&SJdT%#SVE=PloaI+Po}%$BQytH$FH<91Bb`;xRsAD< zR!G2sJAWzfXjyBmC%AiE-FBl@NFJQJTHTz3bIy{7ju}nc4OSyguJ`c0+o$?T%j7ka zn{kEIxV>zEz3|vL=*#br4eY5+y=qFE?})FT(t0=9bbD2*>hH9^Yotp2BQM!$^{wyG zCt{ORo$49-Bl*0TdUlj<@-~;lX>n8gjR}3-*ru_K-XQs*-SwqJomxV^Gj<&Rh*-J( z#v9q^T&Z2~TJUT3ql5AE+U~3Ionr%*lwOB#k>9k+tXZN>vpM5C zLes!_!!@0)c%t7sKVBoBsKw(4E(g;eUje4crX39xvbPAP2_xHH0Mo>?fpK3XfOPe zK^EL~!a3ojoh*onOHsQ|IwzeBL=8rh@Xvr$i%~geI64LY3}6K?{9c&J%;aWXFhA_> zimJU}E=7HyYPUwe5Iq^4g?ir_EswTFyP^I|cfHZuqZQGPXg4Z7F}v94rMCXw3@>Yb zp{+fTW1;z1wDn^1uW0L~<`>!u5GgeOsc2m^6`ceyTyb}0)qXE#cAwh);_mM$fTP{% z-PzqI6hO_=opa)7`e^p(2?f3PF(p9tDKkKIFsg%59T4z~)cO*$x)>D@@PJn@$t3}= z4#cv{1hhab%PR-JSBeTG@IV3wwJT7z0%Z#f2EUg~1QK{4fnOB20||W5fVOBFyJRj3 ztYu&i3?%SC0uLnc51QwHFKZ1X@IV3&B=BImqcDs2d&xu~fd>+JAb|(-)gLxDe@Oue z=Bq!mTok{`mwx%uBqZv(L`5X%CwED*~AvFtKOvcR{j;93{>mIZEvOD>Zah4R1) zCGbKCyifw?;J`U}(KkF$w*H?z^aDTez~vvD{{xr*V0A~Zkmmy{gM#UfV7lX?W)(Ob z1rA4-Ekz8bJA##%SFFFhv?eof`43$FuUrltC|iND6)0Q5Qu<)mgb&*x;^M?!FtZiR zYy~r0`R!4H)g8aP6-?k;cFB+I!|sCVj$pduA|G8+Kms?yz>P3)BMjUK12@9Jjqr*c z`T}JuP__bPD^RvBZW|EHYy~r0!OYeNHkJ+a>Oij!^y>V=lVB1vn8XYwF@s6WU=lN! z#Js{`HrVC=!%j4~=tLSU&kL641R^3!u)aE2UmdKk4%SzH(19zMug(VZ)xmsqFkcT zxcmn$|CcM4!4`GDt5{x~t`C&04^y!&#RbY%plk)oR-kMJ%2uFk9nBs+p#+nd!6ard zi5W~{29uaebGTQ`;swf9plrdk?$U1X!J)<<<`R48)O6jW-a_|4JyTIi?aQVM9mmEmo(b@ba@PTW>?*F$Ecq;GAa>*DTISgju$V-=E;Tv_bGD`2x*gnGXmsTaLTx1TK+$Z zv*^Vp=Upd`rjO>G{eN|QYv6x!%KW|7m`g`a20MZO2OQA>={|93^GWB#(x`s#V@fcp zgHfGd)p!{NyCn1l4upXN;T4ifU_1$oCxP)KFrGLsTrp?8o%Y!)I5={d6y z;}>yR$Y)9N30?GC_47a4TYBoNm%U#s)5pMK>{9U%K?-k|iu~}JU7pzo?*S_i05FA{ z!o43=h$#Ja>MP3oPaMt1T)KFEvEHTULbtyZk%!$yph3DTA};?{frmWAO}Jt3|6*#t zbLC^;rx1Si^PSh;QF`VFi;tYe`-$EBWic+|qc4Bq9Ei$yY|n?UUq8-)OFk-(A9#R} zAGFXW2kr~l$;UtkhM@t`KHduOf!alQ|Kh;s`9wC<5pxby6CPLo<;_3b{nqnOL;8Gx zVFbT^lOPoOftZSdb-5pmfkOYbh$ z2EHtv?R@XLt-qN7+GP`g#7}!cuBT$1bJK$6o$<#PJYHeDS|+{lSBm zQhneKNcRU~E2uA$@duUvI&8uPA;a%y@GBrxtimq@ zju)XSy(U5yJQevk3pq$w%0II6_`rXE=BoF%LVP}i9Vz6%KuWtj5{h*cGA%u9y1)Yc zayZ8&Hu54&!34$|@I=Q)MkLPbROhmU5XT}=Qi#_fHA7a`WGLUrkO{R5JDiVcIpl~a zq(BX!)lgSdLc%=3aXwEYJQpJsg)|citn*+D_nI7I4(Ip-#6rs?1vMHjFL>{M{mb9| za_JY|K$n6MN*T6WsG&cAIDTB}EQid2lSAqC<}@QdU^s_x%mhSPVIFEr1X4hoghDnS zHf?+_dlix~Ayfd2RixT|3D<8`$dtkcH5_=Ium9?h?w}IQ(y}}nw4*Y^7^mt@Bb< z6-M<+uLAucVF-YVVjNOhR!AIAIfzg>F6d9)b94&rKw_KjA%#CDM;CC#LY zd!6u2UbT+~WCMm>6B6shA3q4XSyV*coLWM>s;HjnR5NMW$Ddw zEyc7)>7=6y4Q(Z4jAtMb_PQxcP9KJm(oS^!J`;5V6s#3L!;%&CVixTaeCwgK| z=uxFVpr#mdDl+bkvhmdcfnc&X?iw_43@S-zv{ncmj@nHrn^XBwp4!W8^ihHFn8_K& z@3C!Lw(YBk149*4?4kGp*GXG^Z$*#ch0T|V%Ro@IxdB@*vdR1G{kL9vt8G^S3dps+ zu)&ASDc+YLIJ?U85b7}`TY}LmsiU@J@O@$>^(0R~c0ac@%}bEbE=nQp2!Rgj5u$VE zEsj+p0)fS-r&SCqmVC?8VH1VkcEi}=!%#eql&>av`kYBY9Y6Aw}cIw zAk9D_rKh*5HKXa&5fGFxU}dCE%cn#@vIY$bY*{2IIgHgavWnW>c7szwEp_4jM$@YZ z{rW5a>B(2${1HIi4@FjQi;R#O@x%%(WFo7@)eN#1`jv$U)#XVOamn&{$kCW3EhkdQ zBnhWT4M~E-3EmEwQKMGQNG8hgkpqI&EcXCmrAeM#C#Q5$BXc$nqz2)6daY#H6cSp{ zCS7a>GCh1+S{?(6({nf(V`PeHdb81Oh6b1=BTvvdVvcxQ%41j$C3HtD2AYUp>Ygbv zfB|SCne)hls+G`398P!84SZz4c8SX=_yK~)?dtZagK~;hGA^MM8#e9rwtV^AZ>6K> zzxk@)Yy?9rWJw;6BK?f?9pw(&XdEkE!gGl=6D5ek;0u{T2rA4S_9I}RoQW2*q`9vCEL-B{QmUK8~0$G73x7 zw?st*hAj@K01bA?Ei-^`0Od0J-LT+59KyKQ1RAS4oFa$J-(0hH-JiW#yNJH9Vw6yu zz(`~cM;sJ3fl9fnB*y?y0M`;Z)=Ut~n8*!n?cy~QQq~aa$=t>dA*AH6 zB$p9isE?=yUyS`p>7Ge_xOzE`szA0@s+JilBRw|>%k7o+po{G(r$#lN%#bZ*#|=}{!={DoyVX`qLgoY?l_$!8;&yp1b1*j|Io2ABEF-yo!oAu7z2JUR zqc5w=t&Ew9PR8}l)w8xRe?;xeB?37iuT(~4Eec+9U*)7ZK1BNG5>gq<4|J-$WO?6j^sN!OE`-LRr-7L=hNPC-IQd2js7uT+-) z!BdcjACi(;S~X%@5knwHtHbwDvQOuvb0F6dV`WSs%Y|Yi+fvhsI8#MY9s03ta!n?u zYzS+>GITi{lSWf%YK_EHLW)zax4o2tK>*@4N8l;$uVUFC>27t88Uy`;|w#L!)BxmY7Zn? z&6yS$tB8S&5Yzap`dr?#<=z8hX3vc4Q=rqf)dSQP(Fp4hPgAc~Ate_oTJmQ>}1_B zQ|BC};w}@3oVQ=dc4+!`gj|h1BZcjLH9p{hxZPz=m5yI0aR^5i8w&GfNTvT^j`nx?w(obUPNFRw41QX>nin0 zoNwhrM1Zk_M8on;bI7+cc@dkBN@YOPb%+6B00tj|VEDC%iZL6ai-casj~oV)D>zx= zlz3s6nUH71GC63A3|~c@VuG@MNrr=64n6=T%9*+`#iN9#YbK~^(9wKWs{xRrAfwON zPzk%eBm)`Pzx8gbD}DWKD8>(Jh))`T2;kg~CX^5f37p4cq9cGK3KJ8cB1@+20(Xt@ zAlOS5ztY1_!i+nRDT5Cn8FW!jlIk>{vwJ{TBcOFan_%pPEEA}TE1G~2$er1oOOl== z0AK+N0Em!(1Hp2OWca$E^-&(st|18;;)d;0a;BD94Nly1fSXL|xb2_XI%j_HZs9{{ zstIu6Gvy3}m8ZldXjJKIG{fSPx+{hFaa+ReD(XbmxDy7Tz^L$`Z4tgvHZkV-BoD%g zUvD5SNH5W8dsrcWoEvxmcCnTt{9fo$49JcZ*6E-MB#g5%s_8eIM{AfG;W;Wg6O~X2 zsS%%gkbDLGI&kQ$~AXE0Ldfuc?Tx9>jn@i*Ts z?S|2s51=o*98aL@aMZCgyvtF7H52>&8iIvEqy@!BGt+|4{Gf!}6zEhEcTXcKVqvpS z7fmaqa0){x;~=B>EJwEstg0)lztpEG82GG)I0AYQ>f;fijZ}9^bdW|Ma}^>*6juNw z)nR@_RG|Mt^C33OOJSyIRTQjtRPTo2z!?g_E<~wnX-z8cQsCJW|MoYz(l1fS$q&G{ zOrU3^&$LUht65<)qN{}QTG$pr2Pl?83UmX+{4p_ZS}78h!=N1QiYP@Q$IB~qFe8BI z2F8_?1esY8AZnoCTN%DsLg6|RHxYsl;cHalAz1^G0~{jRApuQcNB~+0A>=1QO$t}b zpg&IlT%d9LSVH1eSu2)3Pz@~0_B0uxS{WNmKt=XSc|V5gm$s4z^wQVc{0tztE?^B> zZ=&$7<8bB;Sh;DgJ5r2c!vbv*#U-Dwa7=a*3Vc^&)GI1l&cd3( z_qvW2(V&4C)U~kgKyRFgNd{z|*bT_IN>+MYsw72IE4Fdg0}&j=8hnSM0X3v=k9_`# zU#@x{5*7kWn9yioF{Xe@#1+yi8RS4;22xuZc*+E?vIr<=1v*u}Is`Cj7D$7~jsm$2 zt2WOK02Nl|;!>nd<&#^{O06FTfryG>Tvl^V{3)2FAt6wgcp##?Z0KPx0S*26#`VHo+J05+Y7s&w;V z1u&raI0dT_s3wp#p&AEt$uy7!d^|E7^m~-*MIjn zcRzi8!1Qy4e1Ys*oCaJtY(dwWBE$ivgK1a^n>hvOfor1*7`NfGR`%bd=m3F+;qo zan?jNMXbrJhxVhe2CarqR~seF1~TBoY-$3V9T6uC;}YiVxCR9p(^~b*JHNAb<%3%x zF@#WeQ`7ePSD1Ya*peiFv89eZFz{@GHkx){j83<@>D~iIUF)^t&g)rXgGT@1hCjMT zx9zLhHZeBb*W0YVD6Olo_=yPTl)$ zLF(IVf1=g<6N7Y{4eMO1T69+m_9w8~xe>i$Kd7!$+q3r#Q^NlA=xL$bm70x%eOnA& zn*@C1LWO=HhHa zzqo8{bEjTwXv@+ujr)UzFR_iQQ%0w;z&fyyD`)gH?i{g(t{W74J6$BsyEmp<_nx|k z?VmdRgCcM8>h_IkR-rBQiYj}geBP@~Y!+|QR#-`rlCr{1S5E8naL? zQayOp%O8o4mHy;l0k|lgZN2g5lll=e>yX4csm$|MS%)NnoIfG8wXOHa4<5O%tv@C$ zl&$M_vL{*YOS%~zET1~C#wcUtd|dj|I$<>?iB73~yjFVg-trTwyi@ju7u;Bia=W^Y zV`GQByS=o$t9lo#bFu8XROC})LH#@Zii%>cU4KN#2s_`LF4-}QCs9aci}xQ z!08pzTP|+TJ&JR?LTilf9jtDxSJIQ*EhSQ7xLo|x)y9oqVr_P>JFLkM8fE7ax6|E| zYOR-yS!#$4Q!0#3MD%-UNQYjsA9xxtgP1S0BvHk_zZ|pPTH6B)9G%DyrUsv~v zw+{;5URE;L61B9I(lFh3(>zVqwI{dES-F#>HSrBRlp4&W2kB>B`hfTgZJ+mx+Rr4< z;(ax<+CEBq*(2UmOEP;he%~kA4bR3)Kl0CuASTInHQlM!?d?hrJyNbuyy)#s-;ZS} z<@I$)+>Scqmey*`n`js!6+Qc|n@_kaHL1<&=v2oK*0*ODdSY2^{6LjcU%~bmCDs#d zkKP)``yX`akE^e$B^^@ypq?8q>zO#z$`YNRv9pQUZI$L{t>HUj`0TZ`PbCL+N)8&e zQpr*~?uQXd$npWOcJju9gDyY&kG{HV5@zXX=I7wpS zkxo{rdE|5R&1ih{0MRS8v$)|!&(NB-X9iCuRCcG}M6)*zUT^0-dQbaF-Eiq}Y(6vI zX~kJeI#R9K29>P+uQwLq0@ece8XMawbLT+kgvJlks5bSc069u-UmPb-F)UvD>G@vrs){hQrix7xj1zKNfZa(~o&5P#kJQ@5q}Ty6d0&t`W!If$PS=gVJi zKWEg}xr;wz2XVjndN<2%9Ird(UpIfp*n!(8-WBBzGP-$s*qUtD_N!mXU#wbBJv%vz zkGt^GvoR;Gt8KyW+2T5FyR`Wvt*BbSHoE|o5VZuBjSYrb6VRZejLyC zD5tA_Q8kOlAuj%L9lNKG{p7myt;RL-ofXTnbX0WViJd*;lVe+6n>&B<@NfHP7V&1uQOOt` z9z?x*3`|FIC!?-3`Y<)DW^7G1V30mf5y2eY@48chGATHzrVe-Bp7tUGvNp*oycKEIl(E(Jh-~4tp5U2b%~Lq7 zqc>}rd#gZ-!=pP7&7J?BFa6_REz$|q(}?ONl(0Dfx|4?_uZIttkD59N49Ej-(ow2I%KL50i<1DER9sEC2Sc6VKZdDU`i2?3mZVp zz#usyuseVR8Nr|*$N~jD(L*b#6WR}L^(0pR_P^TG|}X|mNJf+X*MjOh8XMFCFDqj zw4!H)M915#m>20+J1VoL5gwL|@eX;q&z*kIZQv8N7+Gw57>L41@7JUktQZw?CbLRn zKauN3JLI!u{D9hG5kc>hJ;gdWAsaDcg|V-1*&x5S&x60{z*Wo^EsK~7S^oabw91{w ztEdt;MAuAcEf$)pvZi!J^<0@--M(B1tz&8h13)CI>be{d_KPg7Q3NyTl+t{y=ftfU zS3{78nzLqPS;n!CQpW)4NYj$qW~?yI;9(x^Pd!}ck=!%2gAro1OkE{<+Bcu770*y@ z{;YO^ZoK8+{^G^bUw)(jB-H6uLgLN3>>PVhozs&xUzfataabO(TEQ6lTAl0C@#jy( zJe4#^ufEu+8msVd<2Zn7`tEcaz_CGUvCdT^il6h03ga~U8T7evNsTeoCus-zc2fZ1RPUP)n~osdU*cmSG^P_)E4 zR#jKhoU3O726LY_N2l~1tkO6m@6|nd)`&HODHCQN6I!*X-KS)Q6h_IJ%K7YE%G2h5 zvTDCx2Ws7EgWeAI*ca%QDXh&??ZV)e$|v8wb?b%MnF0Vgdyp0YI5YPY1pw}lPqOio z1Iq?tY_9-P)b6>uRoye=&iL~!(m|OQazC|V*-SZr@nBPj4E=4dHQv%PM;gi#f1dATJ`_Nn^4(C=2w(RHemIeb=L zILQMr?~1WcJjCjExI@A=&n}Nid)QvJG^UpPcwT!*fW9;TfO=Pr)aR1*@_@d;b~Qk! zTgMa<*)Pf6Wc8+r?eZ+%%#^9!$Bjfeo|d{iexzqjnblj4OYr^Wcoo}#$+dMkb+Aza z_Nzo=Q(6V>dC_e+;JQpb(Uf$Ik{3K9QEAMNUVE@#O*PBeCcbW!+)9ib*>OmjKiQqK zaJI~#-JZ7jsSfcSR*mO>;sf{W>K{&B_57{^Y{7Ek5gcW!L`PpCCtHb7G7yrKgfeG@ zlNB;LZP|VDqgDq8wyKCYH0G7H=#2*@uqv8~qnJkc70?%z;WoP6PY{UQL8n3~Ta$QVq?QzixQH%5&p&hQu zR3bWd_^1T{Li3`WRV>u#gj*RYnOrrX8x|`!sLaMU8|IXfBpviOW1pu_lwP=fam0q1 z8DT`x>70>l_2E)(_ki{zV5$S4;&z3st`sE--B0a6CC0s-V%Ry=(xR`RJ>@z@xC98A z`Z_>HCtZ?ms&fV%e^}@oSI6BuRYC-@Q!jD9=GDsT3u1*Ft6?Bev(> zt#-Nqnm&su5m8wo_QA7;B}>M3*a4G*waTqDaET;mHK58nnBF?JYCt*1=yreor3$*tx3LJKyYI>oq_4%9(|2caUf5KZhSw&pma1 ztlGG@QMUhjBg?&D*mXZ^H2J@&JEW`zrSrTSTX9yoq_SbbvM>4nG@5AR$)UdMy|1Q&i zzdgJ8I|o_a4f_(Khia19%^TJ;{O8x6A)mSRZ`p#dW8|3r_U${z)VWytlyS?K@cK>l zXYlK z?S>urV>OSRd_#lRd%NH6Xl8$Bq<0c^`nos9z9m&<*OZ;BpFNWOWQUNbqHlM!<#y;B z#ebmc-cA1*@7>hgJ9yn``CR2|YF#eA~W`Y{T~fAM4oW)u3gg^D$=} zsBK$XY8}Y6SyH8tvp3^hEim@)%NZl}DbQ_Z$D#}2N-Db>)8vuu38dYvrv-!ay+ zj@~8YIyE2^6~=R3jqvbk_V2ckYq9Wzq}w|yUw&d({-4tHK>xJ6a7PRH`Xq>Xw%?=Q ztx&)2{8)}Q?dvNU1Pz{j(&(2SZhpJhj@3)k*|gciCvKv^zqEBjM-8{nHG9PNGGOky zgSA!AV`Rx%pnRF$TQLaKS2=F1V(ND5pd?#zds$W}$Gsx3DxGdew9B_UbupQ@=}u0VC}@HloO`pB4K9uw0`?$J%PHfX>T4-`+)NL-F_z@(L0IDHu};-} zZdlUCw~73<(#hr*o9lCv6?S-VY@Fgla@nbN;Z&=Yjt-+u9D1mTZZts7xuE z=BdpwT%x-Xu~y94F{Yj|q?~#}mcvfcQ4`8MZ5Y({8aRq0tuV8&%G18@nLi&SKR?oa z5W8NdSTaTDhToyJ6+g>pdHLzR&;MK3eCaQCF2W7L{8PkXVbcX~pd^S)p-vX*RU+jK zMA#e#`E=4jO|8rw;gd1Rr_$i};Zl2rNTXxE&`Vj|)7pEcU3wMFyJ%p8XOW}eGvg-B z20m#Iba8c)7R>RDm@wr9vh$SUOTj%n$k1UWlrk`4ChI^^r9_8ETHSjn`6+DGlI3#6QdSV7G`hYSlQ*?^Ris1Aauy zrO_5jMk;jZUoumRI>xZ9wGfvkbc=t+U~$>4A?jnEV6__pHwX-?1g4WE^%Z+Ls0)%) zRD)HhaeOUxnh2jbs-sD(mWCF!hT9aOm`+Xb1X@pIm>TXSR^LHAHU?+v?N?3?6^k4bssjTbZg=vY&3vH%6LjC5top9w#`%wS4({EXQ+M7*Zrb@VGD}ah-YpV2jY}KV988Cf-o!HN z1Z#8G{q^IW#&}!b&GLrnYWhG;Zm1#kqSxnb?i!Mwl>ZKo51p*7xX}8J*ud{?s_k*Q zylrysPa1O@#gFLZ^z#W~4_If&wO(77v*-3%{h7~>c1Y(*NBL~xv_vg}O|O3Jlr)=?o{2S;v)=N7hw5`f56k`Xna&#yq;lINYrEV+>^F!sbk|_@v)9bW z8{qpz?3M zt^uR#HKUJG`c-_>*ljn`oK_B&NXBoo+jcnS;)p%nqYaTOETxA%(|{ldED08Ub~(yRBldf&>m0S%3f6aQtCN* zRvdr0u~y!D&m4ZJdHgOF;5*sp%o+c-h zCau@W$acLICqO7a|84KkCfTd6d1{aNSbDpWZm(N_dCT5%c7M~*>KLm(z@D%F-eh{4 zWIocY+6gk$d~k5Xa|fw*4W{c)8ePq-%d&PoWa;~^)q8Gc_o!!VTnx&fyl2Zq+t||$ zo7q?CjR(~pwvAF@sLwheJY;m;WHgFZZbF%=er_Irst(_M6Yctn(RKEFvT~Ygb8KpJ zY%|*+{)KnTkG!q}+JMwOm@*7wUuCW>cQdP$FEq2A!%bcL?XClOfEv@(c<7nV1zTxY zcd*Kur_Jo6-uAWg8;-xakXr9)`_%K9YJ8zz+t9zU{qwP7r4Kz*Kn2<{Reg49Q&lHD zZ*@{>VQia`$HW3_V_Rf0m(8PNuMmo{(H(;Mn&i6n_|EcA&C`V^02%SI=i`reX3Av> z$QT{puZ<67ZCh( z>(k^sX(qGe4yi3uPR@@2E}B-VH9rS*_(Nm#A7W~7dm!WLZ=gxH{wixe2u#-+w)*jQBwv@2SgGRm4xMGXNW>X*4#E=lbErrXvGu zjtt1%1F`Nw&q>7j9zv-~|khrusv)$;XELva#T4L!NdZrazz4PgwJ3h55`!J<`S!8Ba)OTAs1b>1W97Ure^y+x4M=8aCUz53BFsLk5P*Z8uUF-X1wO*>jumfOv+S z7gys2btf}E(*du$$(Awwwu4|MyT+g(!Jh9P&}uH=Ay(IYp*C+CJv9KiZM5vM_!mKr?iM{LeXYDrV~57z9S|9I%^ETLOS05Wa zU~gfQqkhiE4G?=bbeDPYb?g{DrzQ><2Rb&f?P}S?H2WF;EFRcX)4QQ&=cIbuSJY{D zcKFa-^*_;96f*aA}YBlhE+2|)YdUN(#n!njg%zYC0;GIBGn)rfyQ}t z)n>LuQti0ZhR0H>6+Td-ga_#BxTo6$pNe(^t<)W<2$M3M)G>BGphsted{Sw!1mt_V-*2{xh3^IjkgDZ}t1A(%ai<+IYdFhP3 zrv^)M7YN8u;dy)`zmmFxswK!ed0TR zxbudumVW7zgNCBc zCev+a3Gjp-`H(J`>N5NGx=|oSiN=rV%`NANPltM?w&$GlX|G>=?7J#ZqEX-r&jV9< zNKLk0n``@2jQLc^|1XW0(_q)Jffzm1G#`imn)Qbvb{Z)O`_xS6RyiFytVE>WX>8Dk-(4ZXNI zwI!ChUtRZNmGyI=M=eck;rQ-_BgrkY`%VVP(gp0(BYo$=hWGa!yj}UuA1)zh9`DHW zh-dWNCbey>U4K(*#y)N~O50=7PT9(}b@`eHz#mxl6CKhV118aM|CV(hozHw)2HZTF zF5u>2UGvccc=^P0pBn>HDwuD8U#rfyJLwM|r_;@639#)J3p6_Kyycv?ygb9M{~9Tq zCF47F;1jJhv9nHI_MA5))I8<0iOZ3*I@kIu?^Cf?IzI(c)bd7YngC)Nq#2vxH)d0nnv>_iQ&%KTl>zNAQEe;tew@HREbJqvP%eQ)IRp&i@H2AqHCf~cgj%G^g)NFTD(%o&!?H0!6u%_7q75pCclqy$Brm#eKmsmfxWg_|>;{T8x#We+ zfgxA3b#R+f>>W}BUYP| zdPr4`Nu!kAd@-d5Sh}I8a@EoT z+pZDi%P&e}x?1C=65fgTB#*rM?+?w(mB0+P5K6G8RAL0DuqGj}=L`Zc9++(-U}_CR zM_fB3NKpcNK~jkAVjBvkB|$Dx;_)($^MR2PMUv+(c9#TJJ3(Tva05v_zi>MD%HSr_ zmaO{1=U$CPp6~rlAr=Wy=a|(@Wz9Zc-EDP)6uP2|QvwWPqDg~QxXI4UfClq#Ii*RO zqFTOn2{Wy@;)j`6q*FU<-0LKj1Z-ApphrZfUKqu2m|CvLCGyr<^L@-!9ettKgITh2 zq2)||rQ&IAVP(2gtc!*^lqmMtx}EMZ1MB8Hgafom7}TRwI>iQdKdo`@22SpC#qLOI z6}1=WSYow$bgjh>AGerfn~d|854d=b$qz(xax&tF1>K8kjjabXGVR)&5MATKI+7g+ z93a~*I>`prt8|-N?InFIE_T;hgr@-*L~^}W?Zv-+@F(v*|EG~Tx|9f-YytnJU>U*2 zEzTjl6Eqv|5U`mFV^LW22&D=M$-vym&4vJzKTQ;gRZ1Dnd zl(<;)^@VJP1RH4^H9o9e(xK0 z+tE7{o4dZ3z3k#2tPvigo%@&c&L%#n@4ZY(cPZCA`h`30>U-?bi-oqsm)y}MjQpG; zgX}7C&&iRlhS5{3t9ErR!(Pb7zc52@>Ul()+BBoiws*!>Ro{Q~^VNr6%DXHgYyJrutV%lu~)l8qg zW`(YAt9|a__pC_TeK%5eYGmf>ik{P*T|)Wk`05M0?$>8mUpbw()a`oe%GAVINJjOjUpJL1Ep`*B@gi_rtW{ z#L=5;^EGtj3j+^Q|D)^g8xktBv&uDNvz=G#`fU6nedqDJIzgw-+xoJf8h_yEUBc(H zW8)()uiq=)ePZPKM3-*goSwe>W1FT&Z(D!grw-qj0jaZNqjw$ND;}QQD^~B{8UKd8 z6qGC<$bM>IRi|JvI@dKCtd;5dW$6ol!cTcr{ca9y3_J6ul$WXn%hOR>`%9%B-+)8umMf=f8-?t8B zgwgC0Gu;|ZoIE;6(^ti%mvp*`me}ZP>b~ zA)#$MoNn9y_UxDJXSK~8<*5~(Mt_MphxdHN6H4#8k;TtuPmW|Xi19=BDxCwDly@k- zPbv2PYY7T+mz!6wkfyTEz?@X(HLuP8*E{-$_?1i`FVU>^~a&NZ?~;! zt-GFXZegdjxIKIWSW-K&uJ651@9Ys>Rz1f0{=5(;5$iXXR|?xOEtLljF$Zs)+?Noy4UA|;b(->%v>+u3rKjjqf* z;N3M)D;@6}zDU_=TYp=(o!)9rD_UyFiTp+-zg{{nZN<#%f9jTxuzlo zBLXas;<7M00EHV23!Fg-Z-fjIX1oI_qQErWEZFHPIM+>;Ofy6yz#u}J;It5d6c$r~ zdn#3cc?8}yu=VStT1c^hmX+?opDMeD`0EuQnF4mzJp~{LqBcrS$n_&hd7Hw43Kp?dEUa68^T80Ka*-{K z`(7)j?~-%I}{N-Vta@7S-ZAs;RN5iBI+(+ z&0h23hOjb9otqkx#)7D59i_t+d2Er7E!NiQ*Ql&Xs$zt5`n7L~{773BZOc`w+unBH z8p#{1c*67sh0x#H<1W2PmFhgrukxCF&9)rB$h40Qha5LuZPA!yQ>~dfeV39co8)U+ zbw_Hdl1c_8A2!h)fTj=Vt0~VMFFC~ehnVWM%n(=m7u~i$Atip_ze@jJ(YxlU1m5Pa zU?s{C7^<|C3Y2e;)^jb2R%*e=z=`UR%(X>jGo+bX#IvaGLKeG+p{w7UHp`Z`j2&b05zgk;kkhITIHs(nV(QfxKxYpt=WVUb_@nMhm6 z&_p#YtGvu>a!81YaaHSfR87YvH`#(66N_g(vh?`A!E~)Xqe}kFp{+W7#`ojJmeq{i zGS82YaWNMO#T(Fn>%vgF;{^OX5~FNpa$$7gvi%v==GmGdD-NQD&D~l*7J~fCJ)3L z)kp$p&!m&|43+Ef)sXE-eU2S+1kU#44nDGm6L*S!RfQKwmu_D-N^}EVrO1cv)|CeA zz>mN|0g;MWi0u+lW5*)l4zC|T5t-UagJ`@X!m@`h)gv0`Xxs*k&qAGaNF8Tv*U18A zwZsYWZhiK5yZ4=Z{s>+YgoOdj5mL>tc-aaoypxP1kHE@%a;?(rG|7bWDC1h*h&QRG zY9Ikb!Enrig}I$JaE^Wib9N$WoayjxpnX~;TBODi}?0qnp@82~rD(~_mso;ugbS)X)^UC%A@ za*~|RNm`^|wxjkb7LPvdO-6;NuNcZ98IA?glk()!Y1x`wQXjgvsXNZ3fAc@=rCK$= zNA9sb)%hyxueE8;WCJRM!Zy*`XecRHX{ky%3;G6nB|hG_?jUWQ_(1Lmn-v_j8)CzX zxXnhh)uuJpNc{ssBR!(2b*`#!WoEayIOCG$kqX;1w-_CQHe729$Cx$chuAf4ht$4q ziLZ=`@w}z=y5ijoc@ipuL zzZR+hySZ=%rbiv1BSMZ(##NSA;+QOuR77iVohb~)(VWxcIgN5(gsak$)m~9lQN~nJ zminX?a3e-?zt3n?JXj>}`0c~;=%pwY0+(YBU*pSGPu$k-zTZkL(*UWDKh3t#E)f{r zMbXF(a!rfWAGg?-Dt&8U9rhe(3nnhWdRuKY;U99;A?yrs2D!>n0d(IVnS^7Mi7p8y zMP#x=wJdY;2C2;*5u~O5NV~v$x%GoR(Sc}a&&*EW9_Yx$Yk3EK#Ox8;m$j!8S*#9N zdBp4rAsI+AZQu#scO9kCk6-{#Tjmgr#^XYE zDQmU+o$aHc$TBDvzDP?R^MNpQndYm!cAc5A)4hK!BrLPY?X7AT(j8jIX38{xb?=XGIniMR>(IpNg>#Q$EpIuBm)u}kjoIqm zd`i`pZONcu0i^<58o5A7z=TyN+wq~isdxSMW0$`AX?d>7 z06BZE9hoNpG&w~Nd7{I45f1WqLmsY4`wArEMyre(=UFdUgc^`LNJ9R&Ufpce%~5m(R#0ddGi*KmV1_maXnX!XN~AodT8%!%J|@TN%CCL4T6~mc-PXSfM}&V;E5bAZXgq z(BU*BbI@af_47-8$!)*|oXMyV>!_kfpkBhpl?OxzaThYw7oF5lgOa1{MLMAprG(mq zqI^!2XGd@zhdSpH9-{w<6pBP?J1=TZ2NwbJpuLa>{r%~0CY#Hy_-2p`LfmfNkRaOP z(TFk{?JO6UwvSLpAyT8X*p+h5v{Hn2tqU3NhDo04Yog$knpL!0YRJ+GLG*=UC9Lz2 z8L@b{%zxA+Dc6``w?Ny>I-E0Ul!*0`U%Dr2ZqmVzL_6Swt>SzbO~2LOeCeV?-oa~~ z{jg2&wQ?~kai#%V4V`pbV$L;qPZnsi?(VWnqsdxJ4Yi7TNN?HAsccyu4YgQ-*isor zKdWa~G(2G|i)_<RCb}bvV(ToKzf)=v+%#Q*ISUIan>zFlqoDW!B9gev+c$o z-*yIW3tpnF9-+6WG&&*FvXY$?Dms;$osUUj)$QPA={$jFa89q82)WA#6irdjKU3-Su$bnXws!b_aIfq+$K32p~V5V z4l|UIFQ^9TX^O7XRXCzzoy!DVn;gVIyT+Sh1YU$VYgI+ni_iS#<$pMWhA)I7f$iYr zMmcG~2htorHp_YuwVOJj!vM#tvxpn^>@C_35u$*VtkA6ztc3-GPnSvgUdzDz$x{h> zS*{w@&B;olb;LDH3&xZI?u~GU-RB5!;Sn)ImT{GHz(|<>gs4RVHzTC7$tk(U;~}a= z4~=j6hP4FKM0m$h!iuT0x{$LBvjTpnVj!4)0&Vp>cAtcM0gEH`EF z9YUi~N?|x<^{wSH6NJJ$!DWnK@~qlv(K#xc=)1ICvvO33i$%Rs3G9iqBVjfHRgGA@ znW{Ev$l!$9DEex1MCaW^xhFhc<@GRGVs23*kbIMH*RU;hUX%ba?i+Sbgogm`lMGWe z`PeA!TWi}GQHvzK#2mz;lWS+98s^Gcu~;mIRXcg97;C0gE!fC>sj}u%5B>gcP^m)D zNk8VGj%2yTC??i)`wWntfNy{{CX!G39a8G|$`B{Kb%ck&(ne*1+J_F3tw4X~Lo$)_ zPDn2G8x0UC<$>%ns$b$7F!v&)M^09#aOLEjfOsN;tq_vi0ktt?lo)6-!l~dOv=vcN z0dNKGp{HQ^+{9&Yg$Cp;3Ii#$JN@mcZ^RyY;q=2Px#S23kVdI(Qaj|S5#9uYsECHl0!>Lpc>~!>k_HcVHq;rLpeq}``Oo9$ zS8hNA1gxTCJwkVb_bM1lWtTJHfnr!j_6*Q)H)bIZc!pEd^8`#L3^YVYhNUpXML-m; z9z-G0La~7nfj=1+*FI@SWq5~#gdjm7lrU671|dvhO~@uhGE&RD%;~VGwj5Lr&T~Re zsoFvd%NF5a;*Wr_f&;{XlTX*LxVy3JhJO!k27xytR_*}af;x7RxBv+S3u&+|k4ZGV z7ZFn-{Xy+eNeB6;0k!)Aj_?&)S;!*DoamS?Sp=gZv_M(sbZuV%aUtpgH`rl7%h(j= zh@2c|q%~+KaW^VVLcW9f1X?1}U~fS8iXjQ!$z2*OKj5ipkdB}gOj7oL_PlV{hEt!} zfEYnQi$UOP#L@(gI+&OhFyEX(^kKFPDY$rwSSTuj)(<>%E)uLI@G_PV9Qdw~gOZkd z6AbVioAB@hq=DTdWE2U$@H3+;$)kILX1hwu*Q6hnat ze@I5t7b$o%E>H@>Q#o*-P~abzsb!DfG5hJ?%wF}IAOb=WB->>&;Q{&A!9Wg!YT$S{ z#W^zYOyX*oQpp^1h{ZSq4@gnD#%m7Y>_`}j3~rJ+f-tHY4E`LP63jD^qDWz&GCHjbPC^GUNRbs#$>0x?-yT|Z z@oQztr^#G!L3jfCgBtn{bT!TjN)ZfJF8I)}O7`(g4!EvaMllm81tDQug@Xhlm1sst zu0XTUknGVyPq)#CddQM7`<20?7V?d9pk^2?QCJot{1Ln=sBU-%IW*T3)R3qh;V+Kv z!-@j!o%q%Ex!J`w+M+#JkBN3*cMCEnQa>4Viq2pDBss}OwcBsMr2?6|A!}_3z%+YJ$ zysB|6mPV&kKTJVVXx^$?ViYMU8DvAvw6H27V(>1`lR3k;X(fCcW;F*2kE$7YRaS$w z$*@N$2^o!#WgdFvj!Vg0DDvTtfEmzb2`|AJQUp#a6i7ex)0F8M&`s zDFO&;*DovfY=L(=2!x5{kVCp64#34AX*@`{)<91TTHivM43x2Y7#&w)1r`HYcm_uw zMSfB>f}Wvm(p*7CCkKhb-8NEkP_v8$tx&3UWRD`K=A=v(2Gd7id7Q0Q)^ z8NXrGi)cDrH6l`pXI)BWAcipDtwj>fhav9Z+fS5q%~{X?u!F#wKH`l9WTatc&$p_JpO1Ybp%o9?;wk#jIh`{g71r zxx6P>(s!Diio9IR1}~Y6Ras3NdapNonDeg$$_{Zd<|X3Zb}i)eQ*F$wI4auuf^U_3s%DSX zJZYH`u2srEN5d>X*;LIqVWY(^AN0Bt)>cuyMW@Z!>@RBYNLyZJHj~C`mnB;@K4ZU~ zri7YgPNq|M+1H-h`jN69{M8((h?FwLoo2mB;q_tCtE2!s9oW)2XvKW5p7!sqN_L9k zhwJ=N)+?BfS+bn%t*_HlM}48cQ>+{@fzF@omfd)Ny*sQr;k?B!L1)yWGgiaMV>@6g z47Z4#>~^KxqVa}UszDp@uy|k$YpdUO4{IFDxK@Ke>lkbhW?0m&E9&>Rhz_;5itM%l z`#qwSq2mf1(#7f%%pR+rK%qkwthYS(w*9fF-;X_aJ@&C^j#k(}uBPts;um|-BI{87 zvZ75%t_$fQ_UM=;DPrqjD4y((Q;VclM(df9tnpZtrS}b5 z4RLF`YmtfkfR=o;J}k1|xBckz?=5@k!}BCNM$LvhV9tELxc_mnNY(gniZsSW~ zP32;f)-I)X^w|8MP(OU=sA4x|q+^X8!&oJ@;`T)S4oqE4GHdN-!!cIsj;`EIt?oK& zbjuE4FNJ)&qzRO3-Q`btc{f|*`R=9KjMbR&`}U2l9Q;;o-g2UTcre{`jaQ`T>5)$9 z(uII1ZqU;8{;avSs<@T*uk_Fj+qFfWe5hKE5#s(#|J(q0u>TP#M ztIAz_Kr@T<%^PUC-Y%uX%l+g^%7%Pn+S2ljd9jU+q9@cuwT!1lpQv$VDd|;Y8V0R& zOzlUrWz~1nff0WZ{lfkZ*0|p4bNGz{E1A?{6c)B){X$G&EiRg{xZ=k0|3> zZttGNuJNYe@afyH>_|Ve{t3iNYs7v=6y}IJSzje2(-S>Wby!W_(Lj#;9RQ#~^ zvJT~?o=5D$;p_A=d(|gv*=1|=y`QYjE?#%spA`A(ouIO8G+`D=6$O=0+){R_ki~CnCDIAY`vptWrZWoVx zDCU`?dD2~@Jow3bM^@SjgN$oq3Nx(UcS)U8@?&b5qCe9@1S#1lC>Kg`hlt!Jb=zq>ysu`!L!Tb?V+31O3<-C!s9Hx59y>bzamI!Od#i!l# zBy~a5_afV=lr8tkC+*Hb+bEWvF-x!lp6uR`GYyPz-LBA4B`i=B|DC2-#;QVazIQ9# z?^FHC0Ph zee!wYB2kM*wzfwn25UbpKOF6=jb;^V1sfHq)a{o0#}B}rUf&m`T)Vb_u01MCSO~vy z_NAL2EBpOr^MVBG0aQzaY8vNVg>B;ylQmv}HaVceau&Wx2oEiz{9~!SpPOxki3B%kbY517dVC@ZJJPNod zS?DR4gG5RK4axUpIARS3RHCLOM^*gb3Z)^7m@0E>J$7Sra>!W36ay%Gv|cIg_8bq& zOsHIYzW#GRy7a@T`AVr+STy-)<_e*IlHy-4?WJN&wZiq``eF6kLjA0&bqUm>tx2t$ zMOjo9$QX@V;cY6WjC@qKi`2Zik{#&?=de=P^03y%hr&jo-9wE@AoqQEsHsPNRZ*LlGnU{Olx?@N3vNa*KNLVOq z$33Cd<-M4f$I_31EiePSc~vtsUEwthdJO?IKcjJ$M^`en&Qqt=w=JJk1}T=O#Nv?< zQ0H2nuAEfsCvgX4nRNKwgErPO!`h4QfB%g~UU>D#bCe0USiFU;si=D7`pNjtQ1pU?4o8I2BxFevCl4{%G?*x!haC|`%>TQi{bAg?tVO^mv)}j^d7*G;BDu+^% zSLrSEUEMq{3QLqouzv^D$EmK4b&8u=cv~vgiv>ANAEmdK*Rymdb2`Jh2B*PkQk|lf zEjwDaJm#IlRSSJZ2g&p>3&U-99yD9zfgpj)?VT{`KIUrM8uE|1mAm1J+rx^8J`P&C z=@wD3cn>fY0(BQPu#`r3a(+edSN|mFI2vQ=`y!r|TC{ zBYm(=v9?E5xKj}2;LeeH?&yw`+E}H7(S|0gLAdTAI>7YzQ8&T*5@6As;u{6(E$|9= z)G=f1#t&RE`~HK!IOBRLr5RzUa^0$vHle~#(M76}lBpHe!@#}^+Nk_tI?4+|DyE9=SHFYR!ar&woli<0`V2-^0Ey9FyP-7f4?h|ZZR%P=+U z`j?BcAJz%%D>R_?;lH}KJX_)l{$xR8E)EMyv;?lp*SbDBA}N@0gR5;h{5R zbQEct?T7K6Uwrvlt?aS~=2?gos8FO7NFZ=lm#FaCdr_fs_y`a*S8u9kCW5GORF@xE_$eGxMmLeQHUBOC*v0~ z($WqMq)?NqC%blCTh{sPJT-a&Jef^&R8LWLTf=tx3{AIso3D;0rmJ75UWrZ86?lBZ z2dej$kG8E_da9mXf0SMG?TYD3UQkxDr+QjWLoU?-KVSF5J;!TL_g34R9^Gw@)9Kn- zAX6S(cg-`_wyKwVVTgBP+wty?Q1w>YS05dX*N%RWJ=n8#rsI*8)4c$NU$RDFKUsDg z-Ci-FdDy?e!z*X})7Cruox88T#D<}z)wW}=Pq$zG>G*&yr5>chjD4D_E!8JS_SD{$ zSY5SLt6Kbhfa7PEGA z_m8=^+EA>jPwv0t#KX!bQoz=$?~JQu4B&b6?1?M(O3E}00Drk<`q1g=7W(~EbH}0n z6_zq9tgz`+PxjI1^u5PFJ^k}jqvcOF0;sed;H%M#>0;#+tD)std-J~>m|itKIy02M zP-q!B-Z%O=Dy#rj9&QHGozxwo|C=snyIUGt#FIU@FMD$GVs$S~!U@6Ht%+&9uakai zw3FSRXtDab6nmTRHnA-ww)VH(qO@Y{otKx|6@#;C)1$Td_p0JC7U|z5 z=uPTg@tPS6fW%hwnl@U#4Kj0B*7V`a2j6}LtrC*;u9AK+Dxd z9y&pv@RS5A{^dh)Z>GM~hfpcRUV()BI0ew@}{CU%M4a5>o4D}Lzg(A<)LN~p>|rdIChsT`2{ z9aGSU)g3Kktu2_Kl{fVkD2M&#^M!Y_iDnGW9RcAg&KD2uiUt}ChG!@->E_H1s4tFC zF91iby{$mD(oAWFGql-B!35o+d5|(w>MimdtO|K@qnL~(F`JuFiCgSy7{dE1d<;1n zzhOq*DzOQvJ(_LB&jr3=qnD3ET3~$1LRhF_FD(S*DG)4aBrVVK;Cf^R1e*lGv`PxQ zrV*^fSuL8S4yuEt)Xd4ngZyqxxF^*OGulhbSM54l*87WjVp$*+HhgJ#M9^WK8mYE= zN95M9jz)LY_Nd(ht(4+33MS{uSTlf;f0Bx8iAl(?VAeCx~8nF7_~E|%Rx z4rj`o!C^1A#G#x)kZ*vv53Wp%M{3%z)Fg^c1FyV|g5~cBh84aVz;i5nan&x?y?luk z603xZxuHrZ!pMpD3FwHcv_}pV)NCD=- z;yjPdP3mhY+G$EHfot+WuX+J?NN>zbTfQvtI{sm zv`+z?Cx&U(z*mF>MxdV=&`O}RTk7b6YMTv5v|^VtfZ{KLe~4Ta)66ioW;d_3RAAI? zj1%y#LW7#mCg4K=kbOY(P0rC$mxzV}>q)dvE+*=3n5A|L7?y;tZ{K!ucJ0eQ+(!x_ zywloJZTGNssh0isH0_T)e279tzwFZITSa4Iea!N*yVUIc%hV^Ny4j9;arP?BXf-Fk zKW6UFNVh(rpT0AByI41P+IoN)*I9A+*DzadinC8xMJG;MZ5=BIjm`zBc#_6@R#&r& z-{Fk6rCP4rXp0v6KQ;TFpY?a?Gs@j!Rptb1{)FE1981RsKGBf>>CY-NE&erk`d?w% zI7@elt?8~lQ@dd~>q<1`Rq0#e6PF0aFzu}TmEKi8k&aJ3oV-Q3$FMHQt`bH-NMZPn zJE4FanYkzZ?p;<+vp%@Qf3WgEW>#Fv_DbehoGoBcuh08`*v^F2)@f{I)7sYDbm}L} zo?_N5J*ibIyVYx#$5&|;xmF2Q(^%)zwAfVQXLRZZV=?-5<>rR_gQ>xuo~4qr$z3kS z6SLSzy_{BM2l}A$u3VO|r>sTN^@E+2Nm`dtAGS_@;jcO?%l`ekIl7ZAO>qyhya)R{ zNjvuNXq=7Gwp3)in@??s13T?y2PI(p^w~99mpEzh-)#lO_p=qtj_R{_(!FBO&#ZAe zX2pBM+sqczoYjn3ZNuoVSj*&0E}RNa$LU6~uKN7ToTgi>rfoTvV{2A9CujZL3ia{@ zg5RLWGigfOeo~pap+N7*ctCD#HY0RZ_5-0+IrIsbqJdMtEnW~crk+T5T{Hz+PiH=+ z+_%9}Kxh2Ui|SB>kL0sMLn+JZ@TS_mS=+xcYL-QT@?v6!SxTNZ?@ZWj3D7y&hLwU& z2|p|IQ}veaRIDWzH*@_%6!Tt9OQTJ{!B$p2z+&{inwB9ThJs)0zyisbvi#XxFKwoh z8O*dP)^0Mb zSt~;0am$--2APj}^%LGxAn-QOcqT6q?uC+u_d`CcH10;?Q zg2dBdYoi#0ORt`Vmz^)(l54sp2Scrn zPvxT`I8nO=6OFqocPoR+6Sd-bVp-)>x~me?w#nu8b(6~bKxODIn5mse zuN<;$*1@LwGION1r$jAnJ;uaI$phRoAhq2l#Wj$-Q;KJ%n6_~py#*Xy8BbMOn2ZFZ z#$@52X6V#Eft^9=-NB?5zpZS+0Z=;MRcYlhreV;)usX}=kYvne5^Od@18yF>;WNM9 zy?0U1+Bs4Kp+5N@Z=Cfm&DIP3m*_DiA7{@h{#JXsI>4uUT_Upp;bw6*tv_zv)w3L! zrRnNzu@%=`-{Mqo)&{dKVS) zU9~pQD{GXUqnC_yX-ZSQFjg}n1DM_^+{J1}hUf|rfQii)iEFBt9I9Oq;L<4^;dC)& zyFIPUCYFv2+KpY+wbR*mT5U{U3dM3($5R_RGF{3B69M9VDA8tjWSeTmo1r<@NhfK! zm|QN#*mSjZT*}?ePV_X?j%-tcsyB*v(WQx=&YlVnc$>oj>lklk&VhOWzWsdVK5ze^*KAj1 z4z*>VR`;jlKgn-Q=Hm^)Z?#16gTU5ikBU1_RPKgEIRTw0cUGKC1>&R=;-oVWCjmJj zw--t3lTyr2ugt`~4lAFshn5_;VTpf3G-1t(mG*XX%XO^$X!_kuz1-WDg)cCOhUskZ ze~M{SXv1q&2$ht$(TtxJD67X-ir-*?G)dJ>nmJ9Z@r_$qS!K$aJ!;V_;#Ii~7#!h6 zq)f6FmXCExn<2Sl_`UjXE582EWnFBJ>ST)+{}#Vtqo~IohTtBhFemLQ@3w9+EvrEF zdT+WCV`D*b&y#(ibWcHe7f24uiy;^ zGUw3R@puPD$v%Mm%X25U`g>MEFUeo5WI^$k%%MPhgYFwKOkRar}P{JLdBwFO||yN-7%~qV=AY*RVy|=ieY6z*srW z#!ZkkzTHeGFZaNw+p@WugjFNO3ltx?@b}bBzcU+uozh2x`R6#4J}4ytYY(bP%s_AKO`odx@$XLjW?rCB%SM-1&eP-J z5u$1TY;sm>g7Ryp0XhiQ)u#Gv2-cEFzT5H4ufk;tb$h)Q>RZVpt;96f8E}9#%@CzH z%Uc@skxRd1pSOfIVUA^3Q;L7QFC^`%qs@uxVDd34ZytdZqj^s+dFr^l(JNU(>wX^lC8JoP?2Yt_aeGf$=xxS83S} zg>ck^&4b+7f$@!XGnphaOedHsPCB{6QOzv&k{;c`LXpP!WJ;46ujs8>%E9g#JzZL4|D{)B*nnoO66q&m^VJN_~pHyU00U>RuCIuwss|;slw^LA@RA9ZKIpo06p%i zPEWIsuK%TeYu_)^Cu*ki!3^KAO2JM$~{59UAE^g!)X)%TwmIgxo*J2`TN{fVA@ z&A`g|j*-VdAasmA-}6DetGfC*c5>t!^r_m_r?T;TteblBar5yT1@ZB&>iaV{-~U{8 zmOYRFvU^%z|8BMuI_&J~j_g#Gf3oL;>DCWCclg%Fr|YqZs7;N|9AEOqRpsM9 zpS-#DIjwhjx^1MB4z+jC*?U*AUkk^vAke=2zE$E^zF5-;J!+k{HF30NB&N^acLQ4m zsCHs1@pC#}{c@&e@m+T7`=+xWg^#0w2k2g51W@j$f8KNK(G&6U!|CgK?%Lh@zRhi4 zVKw8s^Ru;yFGAJT^lj#$>nlE}$M#Q8Usc(8edXje>)@B_iw_8QWiPJSzN+c)33ltI z?6u#Y)^3yT%N+h?a`v5_*~8k;=`qV2KYXaI{7(9@0vwudzB)I0)13hf{>f}jVtxJW z`p;-vH)XB6sP!xFp6Z!IfRC>M$bQw5JtxH3^*=~`<+o!j=q^yWVC=aZMO%VD?f%0YVN5XH`bn5aaf(GzsLi^WBV zgc>$PJ?tGPq9+p8p^Gclv2OUMtEc9)p6=T*lJ5{sB&KY5>t=5kuu@d*Pp6YtvC$!> z!oPQ`SErc^Chwy0f$6}d3T97L3_9kjXtlOAt@YhW)8)EWxUbkXaK_uXfAtO54>o_`CAadxCr_o{u=mG2oMm3BW!OAk9dbcY8d!01$)ngq-2kGa72LRsvH~({TrFc zDO^JE2JtzZ2Aw|m8$<}skW&y~-8=Wz*Ova(Z|^Akz!{r7q6CR7!dW;3AtduKlq;MD z_aSjeU{X#(GG`D%{AKtPgg}@D147}&C{i%o1jRs@D@Jfe18ck2F9T78FqdjRE@B%b zWFSsiy7TmX#>uNTAPNHPtL@&HkCMOyjm8abAl+r5EF#?sXae@tsVJ z5SGqc)2UIpR~~lAwlQCaT^VPMXa+pZ!Xe7BVUoX2h)8IHq(f8GkHFNFGc>_f{H7Qq zVMew}#9HX9c|*S`1~#drX=hPLN3;4A^!qI)4 z>Zq(=H^wqjB3-dtu~na3ZuCadQF^1Vo0SK)Ch(LbL|yx8wzwB8Oy@n8)Z|ECJCGA^oyyr+gzcWEq-klg6B< zF$XWia{@nUK?hN7&-V1Bp_zm~Bp3Jl$bsw7VE`MoXyKD6Dli{aSMf1i z-hm83z!omy3|O;*PYQQ=*$eIm0Y)@_RMsY}Bd`XKkZweQ9WdC!#EEW^z^od@c49&2 z%sDtCX$QITx)z}Y0)ZQm@daPso9QmozKqC0D3ldSN52CmO%RS5XmKx~4+7(z;1HC* zR3%{$e9|cteEvBnE9YTjD3DEY#4~|HdOdU$1x-k}pjf;yU(z6Pp_m5Yslz*SvQR_1 zpcc?9@karUla$^4kFO-0)3+MI?I55go7uAJybnuw!58&lZAvA4%x9ssFx6P^k;V;C9;PKx z<4Qdes@L5MqIxwx*Jy=oBWbFZwL`JHEe9W1E%6MlL&T>^CJgK=c?N}eA}PN;3Z*fO zHN4?cku57`VZYcdvP-4&q~ca@$!?GpSTEiX@c|W@pv2@gD?_Q&XF4I+2=}K~o$i@E zy5YHrxq1@bfyNlnx0Qg~&=dv=4c4)dO>o;pMFAIi!lNk%Z&^#w zH{@u6z$#%zp>=)qI|CN;5z2cq@8E5mK`;31syeOg-Y<}|A&{YAmv``KQ=AE;2u9c# zWnogWP)J!u5OFm41RcJL4kLX&*eDCFW7ygORMLYlNVH=}95A6SUk5gK&^=LKBrA!y z6V4Q{?2siyLe&W;2hV~i=n^?9Un_Ys&KYyz|HEZCFO^CH-`uD?GnoU|8)-ug?9O<3 z+$+|L+!)eZzx&STuY0QO9|y@?fD{V)R#1&#^E0@KTbidiga`L?2g4RV|HdEG^%9^F zVSEc{EnXlm0r&ysgn&hnc?UlU@esoJ3rjQX^)c+sXm~J7N0OykixWlQKmt zhMEixB4ioyL4_lvw3#R_P<`51buVdhq+zES48G2=^X57mR*eA&=t^pYG8)gUYLWk{ zp<58|dj)hkeXCAJ9Ejg$16?@2Ks7WBNjBp%KKKdf7;BTL-2$9ZDb@izbSn&@-q%vI zqiY}e%6#=)0)RjyWSC?Z0L~f;=J$XfXi!hxA4Mk_g{+l%$TkAr2^^6fqYE)JwKxMn zwc}Vp)|!k#&`=4A{bY5NbZ?MLb1gqJd2N{ympb_5Y+<^HY)TUqrlw7@NyD} z1*T&RS_lbT*XWq25JZgJWCTBRq4;_=FQa=K z7HY==0fFysk2wWNgcl=lLH78Z`XPm?8&sPM>P$FvPFY2)ZiBcbfJGtO#zEgUOZ~z_ zbZ-OE3W5OcUG`q|?&m*YywdRF!aYLLmTZTx@WL@H8G(ER0vV;`9Rgw-_@1l)pnZW_ zcp1p$5D$+LK{YsE24;w9tRU74clEH4J{V*Ii5J8oI{xPaSKmdKuhZ^!(@-dA=mdO|Kd{1g5Z)DFZ8N{LDYbUzd32zch|jYl+ep@MI` z`{t`tcU}F%?nPHPXYVs8AZM@s)U!WUl0TLQhLAIlU;5Nf-}TUIJqPEnb572gKm8L9 zujeusmv{bzG;fT80YrZNPTB2Kuid})*v#uU{?wCs26;WY1sV1F#h-QZI%R&Bf8}4l z`}>+p=To!!W6(--S@X{Ptv~6I`@`6C*}P@5zp3zi*OTv@z3n&8zbc=3*V*E{9+m&Y zH=K$6rXv#b&YSMxvXIkT7H7W3b4hrktZngE|E28hpZ&uu{_Hz%Zn!@$gRn}|`^;OV{fplFo3Fo?dFX`| zzxj*4^etZYCgtNTV*G_}{Ur#3G3Y-H{3W+P_{qDthyK%u_FKgG!=Ca+_IryYXK$S& zV{y~sowDY?dhu5qPM(s_#(@@b?#2Je`5VhoctzRWzx(YwAA0^qy} zmW-!A^V*gFP&V+=xg~jPc{ms2Y|3*}{K5IW>hLFsn6pX$e#`&5y6pPb7edcIc+=T@ z-fz15XI!%XB<{aH_VKM{TX(%htUpE>a#l{BP5B22-rO)}qrTPI$scx=*OtBdxpSVC z7-v}ajd?>6KPMK-!aKqB8(IJ<&a~f|*b{|VLCiM<=yL^@nbYfdqmWIIX3>*-PDWn( z+K0}G9Xu;BIK}W(!0dxJsKHat_8g6KpuxJlhe=Oi^*eZg;03el2>u{iB)EYkWjRpP zZJx@(HBxa-p^RAJc%5cJjai8*2W#Yb5f7|EA@cm6LOg!&gFksi{qC#Z`0=@q{+#o< z`usU5{)4#I@1FXbr5Bc6_rLyw=YM$nOso9ilRxRsTx-2m)R+JIZ*s4e{qoyy_3WH` zymQXQ|Hx_C_aA?$|2MyH{O*54?q_&;KC5#^i`O~kkGT3myNvq$Kw1&p8ZdZ z)o-HBpHL6pA!W-J|FF00#@hcZHlm&hZ+y==P4EYj=1hvS-5GbVI(kkxCplX1)VrQK zwWsXfGf2BL@!+^45Hck)L0$ z=J+EJIv!@yI(~C<5F130SV2Jc$S#mHb36Ac7r`%hLeNQrWo;R!7Wv)hAFR)pP5df& z@c(M~FEdd=wEs62efIs|toz9MFUkLnS)R@6PwLq7(O*)&k=*yYgmX6af9Z_5I9px4*0G`(Hfg5l#!d{>EGX(^qAO z{^juGdh-!WrKZ$0YOucWCMqf zG)Oq9OwyY@qmg|4fn__wINaP|2|JiHEs+}%(%#1O@{zD<+9of(@2B37pL?GhR5b1~) zkp(#dsi(`N`=yA(ia^9s4*_DtA^wYXll>IpVT$;4d3qWqQM<*qlkLNv2$D zR2{!SIVFsNaGx{uR0s zS;6(fmk-LL5*l4XqP}ZU0`kR(>?WyLo>X33&@P@er5_gT2m$es7c@cI3&OV z69jK0JeN$1H5MdB96g+RpL-=0SLBC;SC zqXX%n950lmWHDJ`f_Nl{szTp*!AC9RQ66!t7#y(#hyvS!IOS@EDdLeFs;0IDr50s` z+yXB~2hv1Z2yY8d}1V9yudpk6KNqxE+#8X5Rc?gH5q>miU?62aVrAege%ZVr4jk4IE>bWUBeEgl!S!E%p>lu*b|JE+DYfD>N1_7+rSaa;fkjPNTVe!dn9B4H~1&W_@=h6I7g3PJL8(x3?Zou2V4Ok1dn@IK;& zwxjkf7+BCz?52|jMPzrO{7HL0DNXi2(!?Smsfc2BX<3S~KzZYaNwMBpRwg9Vw| zh=|&u`i=t}2uLf~QMsUOK|3i&I*xpfykjBXa}Wv8l?QR*fChkK8ZIERBjSJyFyLY& zA%pyig$5kF7<4}cM4S*(4FJi-L}>xB z8?YfA1E>um9)jeli9j>;!V4}?S^Q1Hg1pq(z}our8!-u5l0z-C@Nb>g;yTx!7oVAN98(-44@7us+$s# zofYKZ!V4}X$^{{j50%gnk?uldK^f)wura3Dm4@kbk={iaM&^z<93jo-Bwa~IxGUsj=L1A!M`@zS&I)Dni`HezCpo%*mCzn$Lc$F5 zp`-ly-~=LSBg&^V%Ik@m7iJ%*;4;C@)P+K0}Hw=Wb!faQ-c7a_5(ZE2K@xz3tdbr zlnMNWj_M$a;vj01!yM?NOB8Df`9WEZ93YMIgvl{LJ`weu;%Oj{>?|$X*HG^=)#Atm zajk$LfeVs?!EpgB4IsIQ$VVau90a&lfNvrJc@hWFAAr*N5N60pmjGe_`A1Mg1U_^4 z3~UG?3CIV6KBE2SAV+p0*&t1}q@#XQJfavt3}Q*~JO=qyASg?AA+F?tPz!kv@(85g zKTi-UQPG0sf=~w}Ok`;I0|Nh%CY^=!ywp@3KUm1ygFGcHN4Dii&j%+RrAq-zz<8A7 zB0PN=T}gfc;2Qi!et+0DmAUmzLAc{2{6`*@r+fm*L$JH$WvZb-zY950UO>=atH^>o@?WJK`--As4qL|PPXhnb+5ZUL8WD+%C1Mpyo zEPUAj6#G{!&p}oj+KdCAI$J;;e5wgSK2G`x8v)n_mIprsPJV6$$WMyvMj#>%flvkOws2V;zF91!c+4jZkg?j{!pDr@&N7PNqXW#hL>TBWofj2zn9X&_$r4 z0g=zJ(STPWz6JgkILRA;h{_>Q`ve!@0OgZRbLv6s{Jo}`P=d&oDB`px5@`UHeG7g* z1paq#7Unq3y)uC2#B@K&p2m%0@)qPn;0M7EqK+vPwm~`$90a1a9)!H{2!OKXkb@1E zcoC7F?1{+!E#M%a0St(U`a~cqqrnAf@P*1!IReQvCnJjb&m#pm*-)Fb7W9H^fEn_r zek3P};zM*0pt27J{r}$E5GUIteJ~G+hr}a+e9RRRbYD}OEQAIgQ8|RDEgmX0@Ssib z2SYh%3o;QG3tR|%D#%ejwMAv9tt9L;@aYt_Nn8Wk0V+dfDUNhrj)4;{aaZ2jETjXQzd>*x}0a05~ z4($OH2kIYzNCP%-TnN(%?FI8-4>GVf28U?!A%q5zsGLvjC$&#)5m7t!XtS6opT>lU z%0@IfMx>)Y0TbgO3{`Ukhfzm_&PG9y+SS1TNNp$|pN&h-BhX z+=vGD6q&x)ke?#e z5BSJ;!6~jBC?@K|hET!52}GPOsDnC2@eHUVMDmUm~=T$WY>N^IVtf_iuhzk zC`5Z>^vn{2o)8h$OEATPzdXtaIr&0yptb`$a1EFwJ}CuulyXCzh<;5Wv{Br)8)gQ+ z<<47Do70KfCLikHiw4etN#c+QAhIK9AuhBhkPi|7cM5hKQ-u;CQ9coI@`d^z`9wU* zhd9|TiDXA@k8?_aF3|r%#>2Y{ykP%Ylz{#8=S3~dv%m>NDnM-!@!(qMN05jVp*~_4 ziUavdqy<-8_|!N=YU0#yial|nh(~oyI)c2&w`d+v9476kusAh_F6oG1ccK1IDGM`d zJ8FYNCenb5?FrOg(EmL!D%umHy@$#YmGkrcqBbH>9uf7wm?%HGC#h^ik#9l2(5ImP zAt#v-%z2W9*h;!mU6XNuzrn$fcnA&rSFty4N0mg>UPLhngn*{`8TlLSm$ZiKhy$(R z@v|Y|TN@A$eT{sk^_%t@YI8d{^^cwx%R_)oA<8FE{-mEs(E6%DXLaBb(M9~!pr`ee z_V59e3-%iL`7iB>)W#zyLw?d;L+zJ`0GmR?NKNxcv*nkiQ-N zk}nijn&S~J=qK!`emW;m`#w0Wa|EhO1A=)%_hZ;The-D+y&riMa$uLkSp{Xwk)9XY zkv*je5p4(sx~HSLlaDf|aq^qq(M-J8epgM^(fXW6y{Sl|O=)T=XWxkWqhNN9}}dQTg()65^3e zeJaKW^^5$aSQ6EN{|ykVxugi&BAbi#OE`ke>7cR~A!>t&`t(8lism5oi9i%Q>*XL6 zBgiTEM`GkF-k#kbW)<2&g4$k9?MLyuNdHWjoD2*1qb(Y%Voq7q4$*WT#d4sie}Q4x zRv_a-Z4i}*AbDfh7PS|F^wTMtFHu{?u?%ddwMA_Z5zhn?E@;CAqFB-#(V{%?sEtS! zj1>|TLjuJx==(+5GGRg>iuzK_Ngu_I0dcA2}IG{DDGe2FY>eyV3SnbKYBk;=P(Vq)VImG z2{Ed_xUJ%TMeSWIj;LaC?ia_B^g%mlkNl+l#soBg?z^bnsD9#6T*-z3F(9I-{it8F z-o%;=Sg4=u81Yc1bo8x_tK);ugg{c#c6nky~Kl zb?8$hXz(Y2I#vN`fX+?|FrCaI1+_^;Jdz8V^H=hT&Sk`deuI-tdki6%WT6~AOKVV1 zCpxD@R2~W7a66!g7u!wA2KAdMh!;{*um76<7ndyf*7_sT(cF&cVr`i)cwbvlp^Nb_4#KY? z4ndXt{Cs$yZ`0e1e3|BJ5Ql$H|H%j7eMId=h@M@dbdfIo;fM{Mtb1zWf zLhDl=pze`e3J^v8r!t%}KLF9bLFED;QzR_obe;4WJ_c(DxJC#v3;x~lW+6fK1pbD3 z2Dlc=#9W{_pJXB}1my>G5nt&XM*Xj=5M6Gl*2ESrFd=w4*(tg zXd(FFf-v;%#wYpYbNDb~I}F=?*!IKDC7C$v3ABF_o(6^i(oG?hr+H;P2Y(ThV$zBd z<&cXJWT6jnC~5r5IzkSabkX|+8q)^@V{{!h^N>#!ksMSA7Ww%-+>0&&I-0-4rvQ0> zhO&S5X2I^!j*Hl#+;CA@h*PLIkL16h`a%oh7lIb%|HoqS|2?tbWq#f#zg!bMU5IPo z5yiP+X3BZSNaKc!TSCAo)58GBB2G;1`8Vf2M#l0Jj)r`y2Mkm$b0NWliogXB>?q&R zRn1|LajO#(gp82jm`RXuccv`=4HYcQLH~EhPV2 z3SGF&KQH^!rw{)8dtbe9?*Dh%nPp~LtY-r9s|-j?n^xPuBYElP|LLwX6}$goS{3D1 zu+ju4kYK^VGD9m(!tt?E7;%mzfQpoh9zCjibc|ti4bCFC(&+_j1P(op792+6oX$Za zN)?VXSe!zlwwR|o0{#-Zh~^y12^N{~Jd@xRKfL_CL$AL34IUQyjj@@jJT3Gy0htNN zv=1F8)jAsHe6Od^Un3nuMbcO_{+i6|-)gf6pwRLcl5%kS%@)Iv=k?4YR;wR;ubRvp^L*Hc&cY{*|N*f zCQ(e2f0A0iYhA@BdxA9HEzY6iw~U-}QG#TYq=i_EnJ|1S!I2h96hnwxs23;tz+odU zCamC%Ld*(&92(OE1>ziCD4~9$cL+7{uu({YDla4^#1Co2q?lwW!Se%)i#p6b(iW&( z#KS_x=%YXV_?7?swT?(>h=^IcCS+!-g@0BOA28x$zLwBV>*dZ7$FqbhjHL*jP>7|J zb)|zOCM-asfPlpfGriO~&U8<)y1Po{d^uipi0Vdnz$r0>&4=X?x6W}4AsN5fFdd`B z@tQP8u-go|)@Z0T;+}`_(|Z%Hlqsc3Tybqtm!vozXsmf=lgebHRi@aW3qqIZu$)un z>q6IjwNyoP6Po$crB9spD*oqgxWEWwqkvQi5xYk(CqYP^`GH1MM)UiG@N zTNT$7CX~oZ%+_KQ^q7XSaoLeAA->q}S~%bd<;+sdxTN9F6sCU{mm1|<*=M10A+BSPFq`mi)nhcX5F;nk#2dII#5g8m+)x#T7kXmEGm zOs2M(JS?qzzV6J&U+?}7lD}E{54s>3%CKvtrMRXi>KL1?JFy+Aj+rs5(o)hwX_fG# zB{XSmUKPVX=sji5ZYtR;H;8&hFJsLO{^dG9nx896%M_Wn3O(v9iJvm&F*By6ob-T~ zW+mpF?TbTYa(RS2WT3ge! z$?t8cds$C&T{vMn%B zsSP}9h(=YcWrdJ-;)W;-o>`T$^id-&V?uf~4HZF7_;6M*OeL)d8#TN|@Je1#Bt6yQ z#I%gzWE?qVr!$gm4eK3-!3@1biR=A}Z7-E|xy@&uT zd%6_sP@AIFG)mTpmhx*QRF3&yCdW3RZo%x@bFKK0QNm)lvs{O#Q;wC6TQ;pEd7)I+ z%UK>bL8jvAKX~`Y8{YY52lSwXL#u)iS4E-3H_Qa@MP?ydLkd=S}0wA^8x z(}Z%1mHSIQ(qZR`Yv4xQ!WEM)&Qn^j=iw$o2xudWac4G0nkX)oDnde}B5@IK#8hFg z2pgohT;gSL-$M>89z=5q#s$RQL`88?h*7;R?j1c%WrJl_kBivbAq%tA^-r*h>?p}Z z^m;AEJj^i`*M@L!Bs7F9jjahAn&x3B;}+c41OppAd0=B$5C~Mpgz}|%=Li?7gc$Q} z9&$6 zcZ&9*CPNVwOjpH|V_HmZWrF#~a+#SPb<)qdvXGUuBW9gB$1@!vWw>IZj|m6Tb-A`( zzNa#^No<%et2*l(cJ=wPnW$3rgyM3BW7KTbjvYT{ckw2<&MbADH1@tm(WPAsYX;lh za8S<OQnGVFM>G*6Bzw#P5Qdctj?NiM+~X4Sx2C|R;3fFYpv>JR;pFU!6&Bmm21O#TDID(7+1_rp0!5$2V%~P zc~eLyEYp;|%t*bVVO*0j`(?$bOzTQQlN>=caj4m@&Na9mPd6)iVgqJRxuI)K80))Q z^b(`3Pc2ae!^l?4X-UFBdrTJM@Tx|zyCr0$H2L_Vyqz{<;@@62@s9`pxhd?cP-4a~ zV=ETWinadPUT9RXJU*U6R=;& zuBBx3xFcenzD#yYWm+b#0#u?Un$$OuSAiXDZVKc%{eHJOo>qCz~-$+_>wi zT##59YCyPRnvu1JHB?*TOQ<(KfJHWk0x_-3uXx(r`ss?hMuY-U*t!~Q(TQtP+!AVR zHO{eV>OzLuu^Jgo8(hw&af(d1X&nD(Z*v4zrp7eo z?X7!Z#N8~8Vg+Lv#ZF@iIhG<1^M<{c(&-j8rehx9+eOS;6SF&F%wbx)xJbyPte91b z*a>Ay!+pA1nz6Bj^4NSGgRR@hNWi_#{YFz2&Np$cy1jL^8$DaBUYdy+JULhIXDl7# zOVKMB-X>duBzc+9e5qt&uZ_8yiJY|DtR`Bp7mI4V){S8lZ0xMkuvH^B?8ky1vsbpi zJbr7(d;5;T6Hts}>6aS~%Qp46700d+M@51S&?Irwoyv6!+bn2Y1z%^6;Y@@w3hT6- zOlcZ6WtbERj+2sraV%@c43PyLyMSWYIo_7GWlO>_tIYK9=xB%nwHqJ!vc1p45{|J} zxDc=NBs>PCcwDqgbQ@!jJ5F}BM6Gc^$IhwEF^}w2nrV8&WyH7~yU~a!{NmoH zHfle6>PmP5ny1I`fW-}rsxYU?Mo8nLr~B!+HBU}i@l^u}_n3rv;wD7T9@cQ|)#H`E zVBh43vWy9IjboK58?A(xSddS1+R!rjF)dlH#kQOAN<7|GdGRZSG11HDx*@c!Nv1os zsuOT-C9kpR16$m} zV+EdL4Oho+jk%kUu*qW~M+oK{!rT2H8Jkuh~~#>JFzaNl7p*11@A z3}?2270eVyTrr;K@Dmi{y7|saAN^lDD}KHu>`bYFyA}IDk6i9FJH2`=BfGLV$3Wi` zT1m!M9RaJ3;mkFz#%dwQUo=MSv?xfnl+Y{PxEQlgQJEC89iGUGi4C(cv1BY{x-xvd z8|TTcgBVc^oU3cCCF#s)GXI)6kEP?X zJD|#KSZSqUyf4t>mH@9xmX|oQOj*_vl}8f1Q8aPGmPx{FIfloXAKf*6#h#yDPj!P5 zxM8tq#M4)1tvHY4cVV4UM)52}N+>r}tw%~NXC*e7nmF>~%q_aPG&c6QtL$JnH^=j2 z+{gvFBwbCX&tPl9(Jx`RmWmy!#7^)`T=8A2Tr^S9Q9MELgeZ0LxTRzJnw6%53@t`6 ztAxeybYlvzOYk? zzpS%9U*E*9f2pdu!R)$5H|&1a{mlwyXL`J=YfO9Ov2|Lv-gvOf`gT>OZI#z>aQIRE zp}Rh2HCiR=T(vpfy}8ZVb6k<;zmV9?2K1hjDO*e3<;VM+s;k72{`B6>;;ex~1Njp9 z7E2jfkngXam-#zx1Y)Rwp{3A>4${n3wF?;WI58SiFo$sz0*|qDT?4_(K)ws8nE$=e) z748jcU;cFCNqb@IYi?$uN#EHdCYzS1_AK@3{NAcZy7OJjq}QtU<8-dKeOsQT{+7ID zh1#OV*CvlORUR0vJhFP^`6M$p)hm}NeByvQAWKzPRw_pi82hx*g(sy>R+BZpov--k zkDQUN{PEdBVDb9n<{N9a`KwL8inWf8+Dp6BuVGtN+)KKvT$Xa|wUvjium|j)vReNd ze!TW;rx(pNpY$764Gi~ho={s_I#;Vn`KC4U=JgGKp6$G{+v#nwGv?-%?C4!PrMH~r zd$&~D4{T^(t1OU~8Ghx9z3EQh%5)E>>ZN7=DoJlzeIUaIB}b{6rAkhVd9*Iydw+H3 zm$Ih@>|DKh@a38_oz8I0k=hl?2`T+)MOI%ne6cs3y?JkSu5PG##BCXtdX-gOFPc5+ z_QUy1cW?WBOg(z2{gGw%rWI!0!iR6V)bzJCD3wP3G&@qW%VDX0MeljKYUHaYt8+^m zI|scjH{Z0_Y`siTkNTOEGH^vtpB{g8?>fI{bGA|5eVMdU(qDGkcIwVxWq*b0)-^iP zP4=)~^IS=ub!KZ=iY@e-#&*c1csFyay0Yaj&V7o%^G6#BF^*rUwryAC(+iZFhL$#^ z$CGuok=0M>^Od)&>ZFv2>CmO!wzRsjRr=UkW4KPqu9TlkPZ*ExAD6VF`*W*Ux?#0) z1xr7rZv5@5`@GT38`rX`1E~SGuI(Ph*sXtE+INlm(AR2GtMjWH{#c%v!bMrvFm8Z$V!=SCda>mq@1iwlucNtsLEJ>(X$? zwY=Ar*^y<6?|j2t|NWYGPrd&0|M6-e)UysRd0IZ(w!5wV=_OAuI@`9Z?Y<|5)w6A1 zXv;k^Jm@D6SGnI;Pp&z)Nm z(fpaEcbH55(0Nzg*$QEo^QZYU%Pu#UJhJppcb!SDH|4V%pFVkp-5Ch&->IE&SN6B| ze}_%Df7pNIPRE~cAL-A7pU9tVKfG++4d(9t9XEY#N4L{3#t9600qG3t;D{l;U z%dagw(|Tj3^7hvjzR~p$ZnrwFKGCB5#H~}t7Y#bK5H^k;Iitg`yVl-5=rl~Ywe8Oy zIkOCkPiCIYpGn?fF1Te!`_~>l?QY2(9&~O#?cThjojRi4ycB#r_=nqlh5{_sl6e&?e@#s?{t(Ci|%@+9g2^$r{H>j&n@3ybf$GM)8Etf_%rE4XE1e> z&z)&~CG)~V>VFy^C4+~FmTU9Q&*{fT-q!xHZ9jC^da z+G@YNqdn8M#ysl`<(r+ML1$z4#<>~ZC0^I&RI|;?%}v%^yIwIr>iGNg>{W(Wsy5Ew z*D0E;X}2`ks@R5}${5G{-S&QUm(wty4DWXKx|(t#v)L9ion~uoS{@#B6hWfyZK;Xd z#v-Rl*GBy=b-yajHn*6^2b8_i=k3{&pK_{NQ^#y|W7V#WeXisi=$w*1x$4@?U74Xi z>_0btHUu0I$qV4`5+-J5(u#mOEA7JOb~%v-4A;ZL4}M|pJ~lK~t@X5-OLwDEwT zn|o~=-$P>Jm>6HeoH7>E6j_iiVH}SUcu`?t-;Z1LQp}2pUd-2Uj85S!Ixi`d`H&UG zvs2<2kJb5RmxvEFp0LIf;#L<9&*d6kVHvY!VKzRQh%v3ik@1i#4s&{f;=-sOCz*~c z)-X@B;=7f!^2kFUd;MqMcu)u^p|J`*Uvebou3)~XxbxcLTASD)mR5Q4^(@&akB*h< zY1LLQVeTrmRJla5MwM8HdOXzwXn`o$0Pc+6l*L?Y0aeSnBFCE`?Oka>{*jrozX3ZaK5mF`Q^rbwa3fMmQ$C>(tMop z@g;G&DleCYpZA6_b@w<~k8L+oht(2)u4;`A&BAB1m~8Vz-52IoBRFPEYLJ%w>EE5( z^WJ@5EyN}+c4^(_ER$#Z^{g+(m?OTRNo7}+vyO&k2CEs9ZpoxNq%DomRk1O#$FV0Q-MvRyl;pw4Qfv>Q~Kueey)!N;$5*V0$y(KeCwLz?YYO!OT55Vw#Qf9o;$g_rKWp*59`j zVkC53iLXGj&AFy~muinXiY&ju=2Vt8lvU!R4kpp)n0(Zcuh(9~EGof^CEH&tb*@%A zH&q#<+hWR-(!GUwv{pH_S~=BxPiFKVO4w&~=>bz4Q|jl}sSR4H-m7u88tsZJ;?TXX zR+&)mQd0N$Xfcz?%o-YCxn6JafU}^}b6>X+)oYY(hxZ^xY$N`*8>LFOx8_oF^T0sD z_oOO@S!LVy>K*c6^65w zPOG>7p{n;evj)WRVX1P(s_xo!{LiYhSEyF5&+8hxanT;9xzS(2`X0}EOH#f$k$3tY z#j(|IlC`VwQc&`LYoWEgZ1>Vrd0lc6E1&y+&MtcJ?UuK~IF>OLi%R;0+UEER<@hSc zz0?u2;%TPaO3W0SbQ5zYt;Q9}wd}oivolw1Yj#ZOKCBz-m^tbz-K}%wjeU+H^~z;V z!eo%@O!GEYgUIFaYI0$TZ-1_#+3(I&Cb*Dm-zH<8`R)LVd3=mtrwkm9?Q3Bh`8<}b zHI|?D%a)e;WxC83I#rmre$|-QH@qg-lF^M=)v$d+RlEGfjJGTMR%euL{)k>Tj3upM zRr?9qG25S(%`tziEIurkB%;thrP*{g&6m{VnDk-Q*=0(j{YFlb zH6|sPuZs6NI~K{g=0lB&R`J>6Kl{54?_9wPK`O(-dMasWechjrIN3~lqc$d%y_n{9Cc!dQ+mGPu98~E@N&Pq)lAv8-gh`t<}}Xt>@wz@*k|@& z_iAkJUn5|G@l5FsMX}{r%aD*uKf?UF{(SoYV=p^c!md+%el(fMZBWbD&3(RUXKM~6 z_KX+ zPpj+O9zCIU9-ZH?we3BpYVWR(>F=;O(4%U*azH$US{wC(pN z{NeQtEjZNFRGoEha6XwHt(w@_*FW}mZ2rWAyukm2>Aco7uKY0Be8<_=_0HGLZ`N%6?_4S`nPkjD`{(P^~v`lTj zF>BYiz2a28{6BoXX`6pTaxj^B;Y8@jr#(>=TCM*Z^sm4}8eAEC^r&kWZM zwbyTZLiHbZUTYsu_P0++>-(QP+q$uT=(fS+kvG^@HS>no>-V;e`r}D!-z)x#PaW=E z_xt~|{TZ|W467e{BIBI-(t;N@wT(V9o?OFDOIwl;HEeC&!a4`pn)@GB-!R@d{F&!_ z_0dD)e{dsPw)FYrLmxr*AKt!_?dny>mEEmhY`s1Ia2D0?`W?3I!+)LaS@HYMCs*87 zuMAX=?;3RWy)pdqjjfyfas7tczOOiA^-WK;?eCvZY94<(f1~rnvY|Iy&y3i=z35K1 z=DOS1ge+Z^{It{b6=^izRrToE*2`Nzr;qPmu;@wuMB{|~sQ&hcU)k|W#pT~G+z5iu zB~B#$B{gRA3H7EMSbyDKmJxqo%$3AVS4wu7mDKVxkfwpPIoeq(Aa^aDAbt7Kbrhjmu5hpNnp<%aZ0zp9go znRbR3-Ti8c*{pA%vt=M7^ky8q!D(S?-*uO3zBn)?qNUDMg`%EsogMuVST zntg;>`}(~Tm*2NbHAkJyIKyj4vFF-ZHOhu=Cp(}HyH}{*ZgtCz^1-h8DP8p%YSq-B zR~I<}dzMds~^@?De_2U$v|` z+uyX$?I@R8t1fYH5NfNEyiPH@MyH)@e3^0F*v9<7Hg7ng$|^P@>vPuV{s)?s2W;hp z@?)lWZOt#Hf_M&;)MfCxNoHM&_|VuaoQbNk%`HNr-@A?DCsd}I+Kks_I1Z_AVtvti z6r7Q&Mm7(c!}2x0>vOwDwaspCpLJp=b8knkTj}qMwXj*{@m3s*zBrKY_2o=^o&Nw= z9jQC7j`#~%XWyE8`|Mf%f{d==Yl^zhUud?~tMvzX`{k-NZiv#XhVLxU#q9A~wR5b` z8|J6H_!|xzVA+1Pyv9pe<1pK4R~|AXqd^iKwIqM(!m+%>L`iOxRzCaFi66ZF_DdVW zNf;L!c>)WuCFAuMj-LsU;dAvqrXT8)WBveJCD^i(5S5&x&_Ca5%V;UA$7YJ&&*3d( zm)PAJ3*_LN2vv&D3IYWJZyFC8^cD~wn-Y73j0E#iHD;%|Dd((G50)NRP07ZO33Mum z7xxCNN*B&zc(aQ4)p0G*;(LF|Avzi33q1;$a>ACh{JC%bkGnoz@$e7H1msYjqcpLD zMn+DxU{GE#Z0z2T}Tj${8 zhSTTh32v9U`4e)NC*l*-UNIx%1*fgFt#i?H1KE11r{f(i7aHX>j-S5nDD!;usMd=! zV+VfhlE+3eTPa_k%V9@E& zCk*)tr_T9K&8k_~f1K4{@d?S3_toeQV3gacwqJudY__&#X>&u2r$%rF)$kM>^xTG$yLpc&aWnzNjPhp>)lcKARr*#GFy@ z9@wp_JK0mooekHRX79_X4}E@6J>I@TUEtO|dSBH6wrR23k~%qb$hCUYy_+-t;LRG) zKau$@y}Ymb$U5CNudnwmH`gy^xt9K}x+z3s=#Q;n;< z&m3-VSYk@jO((9c>0HcSH1^f&S1deUwX3mi$MG94+aq-+vzN(vc4(nAo$WBKvxn4^YV*xw?y>4#yKJDnzQ4|U zc&W|~*pKw%eV3ea29veM#N)U4xt5Vk4|7hgb55?pDsz0j`H%9}m#`R}{mTz|3;Qnd z2G~h9f}f8KU3Pu#(6=v3wfLL=%$4s;y7w*edX7}+U$e8@mJhOl>#tCo5A`~Yx4P02 zwX<~LUGr-Z^cPmJmZnpA-07zV%Z{Xbq$6xWBcE+vyAsFhUcUkh+7fmDb9Q58R;sm| zg{@=e_yO(Ij>h^OqTZy}8SmWvt^3~k**(V!AurPt+R-ES#E1P4sXtUY|K8bKpI;;Q z4j|r$`WsiJ-)Oi?S@4kuSkH^29yU#7e5GFFiEIQ-W_3HY{f!IAQHO;?&cQ4Ce^K-aqfUQt>5O0`qI_iol}BJwwF7an-|EpWKUFg-N5izlyK_?)AzmFw!g7Q>cOKSo@|~-`V~pv9N&4rzeF8) z&MwI|RA#XWG;eJ+$A?C??U3ttRqADi)V2QFcmM8>Dn9z{!XOAK97^R;|7fz;>Dn*f z_7q$1AMSSEVaxKX)HB01hbQFS&Tp$Na&Oxk$yN8RPOkTHiv9sRnQnT)lP=s9a^?%l_Z}^ zo^0=J-MhY@-BzQVZM`!|xwj7O&fIi3>n~Gp@E<#bb2^UK%30@D|BsxQByzGGen9o+rk1pzZ zto5eWGi=!o)Zbcp_{758TD3XZ+txF5^8&?RY1H0(qf?D{FYw?7w!8J#%$j5mqS$RV zjXYr>#yvQRj(yR&3@6ddnc-yr3F+#Zp?#Ik@fyT1dDdAl^rhr=^B28wSf7yh8yLo) z$k*m8uFi(z+9m2`Nqa)}Yy58K#G*E5mA6;l?Q9rj`WtLjH)Hr!%z|XIGe=c=+BPqh zGhKK*YaPRHrBZ7RJgwNxZRyd&_>FR#bl*B=$5tyNJE3&U(cmdDT}#PUbop*)t}GyDP7h5rV{HL&W!QKJrB3( zs?xQ%zt4yF*_y+-8=0lI);224S?oV*D0q~`bK8V6(uZ&uXERmInZ3Ph2#4jJs`3PD zO|5bZ4{xeh!+M6YgA7k^z342S^0v#@-EtT|kzGJfaLl7qshP7}Ji@igWvtt599qs6 z;YoMTD3&hyz-4lqgQvJ!)lSq8jpW6g^9PG0t%UVBZ?9M~w!7lL2LJawLfdtvUQzR0 zbGO;ty}PI7#O2*B*s7N)_4)O3r~Xd8yt29Bt|dS1b+7kfy+=Lyv4%(bap0U#@j7pJmEZk? zob!7lRojAv?7Qsb4JVdY|jIlR)4(u zDRs49wJWzeea(|c>YjX#O?>$H?wV)S3H67Eu3+2zZGQcZJJi)X?p)L@jUSe1F~gd+ zn5{Nfux-ohcA3Y$-HSHljMX&@^lkcMjeg~U6Y90kU`2cTfHmH?)$RTIbM{r0*_x}d zq^b7!7e)pb?l8yw%8}fYy42(7J z3=NaR(W%Gi^!TZoeCnR+aDQp9``nwXw|5r8|7WSQlH-oK_!8B=+|2ZTSbzBToW8if z-#oBdbq1NAtslC@-}(b1w|b;%;9+)&9}G-&b=&c6U;Iga;tPN8^>#kjms`K@u1c^ommkzcDGRV zKkGd-b^`BfbIxwn8~DTYshuSa51BpJHtu5A4!hg(*4ue!+fIze0*r=yQ^VDG>DxR$ zq;2)g+$MU0(=iZ@mCjGrUR77|(u!y)x>7GOo$ezJW`l9R`9o!slk3B9IQkE2^fS70 zhf|jwTkw&NhhC&NlB|aAmVKLRFwpDw^?4YKPc#H0asOp^zcBia!8*IDe&Q*3lzvg^ z9!RZS)U#9RDD(BJahFuHiUz0Rsw$kxem{NvHE9e&j@9j@o220$vw43HZW7gXCP!gE z+nT}?>dETn!XSLY?9Ak9y@x-o_crTJ-pP;jc_$RR*Ue#i`?=M@CXf39e@F3VFRxBK zJ~Eix*+G)SNo$olw3=`eg4>eroY`i`UO?bZqGmL939yD z@@ro2;Zy!~w>~8;StLo_2!G>PbU$37j_tajUoC&rS0)r~^eaZKusp6QeHDtY$aB?< zt?5m8s2UT8ubfa0nq5{pBkPx{sxLNd!09zEni+W%zf7DZ^R^qd?_v!Wp6ywMjOK?l-3g0$Q)$}?c`b-w# z`VQI8SyEF+{+;hGd8YP~tF=N*;ymD85`H^V(9qS4(~n5-dk;Lv;`b;JoO$^AVayI> z{5u0*j%h;N63Xd6O(RNZD*n~hDisYjib&%}#dwy4fG25u(1=?Q@heC?`3m&0hpH5W zxUJy|D#_sS7i8lhGk6llN36M-bw8T*^S4Ni-^|I%*YKl1{3K3oT4}eL_yJQgz1chL z?G+{Ggxa*i(Mk< zM>V|cO4CVcO^%e_k#Dq)8@94kx9@ef>v(sY&U-!{uC;EK;9k`{-!x;NSM+^i+%i4K z*l0U%erU9HTgonB+W9K(QTb|Gd7F)gME zPTUfb`79Se7#@6;1GQ;%6@rg{`1+?6+MwUn<}pTvJlF;j3LTI{;k|w5Ztkgg`|rcd zGs%0}*S8IQGXGn*?q9a(*8TPJ&_A){e{#-TjpL$o`=^wjCRew$ChzKda;x*l!~ZX1 zZyy)Meea9UF00~0!p<-(10w8qn1xv$M96B^)E;-fJHW6YWY<-ZCQ(*2tL8M96)l>i zof&3fQIJ43hNiZ0afzg@wGdTKlhUW3QwZ`O}K7b^(Jw5GVMMG?w-p{K2-QWH1 zj=pwbW_FhOK778PhxhwEjdf`Ep7m&NYaq~IuP#5er#AIPukTpH$lyU7&)gEuH~l19 zf~seu`IbCaz;hrve0)DQ8s1q#4jvr8nfc-I614Xaj+=h+!(7j<*F6Wqv(^gF?CuIr z^I-kcKEbG84aQ~9n+3#!hjQHQlB^D{rx&YFlYn@^qo(smr|55}iH0=ljEh2X_w- z&R=~Ktp!+z^^ofs*FG(N_LRMjx^lxcTl{3hHfHePp4r1&Vn3l?IgI0dFKPL2oZ>&o zZJ6iZvch5N*nIu3K54CnD_P@I_^rN|X7b-SqiXvaZtT8ktqZ<(_vMjqguep5{d%<9 z_wcdT1BV)JR0SGt6wa=#QzC)ze8cibHoD@OmGmjoPb@jsy|WxdAnm6tCGEXyFJ=sf zuVp~{)6mY%iRQJG@Vmd77aUbqm#7LHbhm`(aSl4&EZ)rgjqr4Hef(?ZKYP=BZwx%( z$<=zrt#o4{ovvBIca1T=O1j;8g|*ju(p^<_3gJfTty4LVh&0i$bbYBw&fL~77jOAVSfcZOT84fWE{ej??Wx$ zRdKTE#0}4Icqb}nAhrq@L+rCtEo!Cg;!*8~)a1sfyUhX>#bZ>K z*L9;>>0i!VWQH~2CY1^UCY`gWzH}{*_peZyoFIHzVMkWA^uz_t$Tp}5n!TtfA4%R352M2*+a~HS?dtigRhZjYL*Te?4F0oa? z;szg+6PfV4TVh#DY;L$X*x3Yr_}-~tBNO{{<}%8WIDr7>7`*6>f?mtHllG5>^Yh-{ zCw9Y%7ZMDz-eE{*Ibfs;Dxe&a)FcUVV8HWY1VJL~d@ArC(PL5~gH2Gws;@JF^{p9m zC_woq0I@OL`Y|b!Z{+i`ZlgnV;#_ibk@R*MJim509V5= z2$P)A)ePPQ$+O51>=Fd~c?4cMF9`+;cuU=N|CgVj^ZwcXiPQh@%l-c@p#Jmcl#jB%+_L?Pr+@s<9S^X%Y%hC^6{lE4JHzcf zT?$x+MMy@C?Hs*;B^L6HYLHe4=YS?Ro@VJMk;xUH>443Bm?yxs3UGp6Vr@Fnu35AQ*Fg~+{C94itm{g>+kWFWfSQH5C^KFd|CpnmDT;Aa;kkgKFBiDfGGzx(PcyhzG*iRC`Xc*i*=}WhSbagUxRreR zd>NgK_J~{?sy7Cxf{j*bVJ6d?s@P0Gg4|59ZYqzS=5d^_C{PyA9MZcp@nT^q5=h60 zsD~h5u&a;q;4h)q`3K4KjlZ9L=IIr`cNuRzK^eVUI^-s~UevZz5iS?|f>8Bl(s@-Ua0APW&Fc^%wN)|F>p*@5yPss9 zw{ebrY$VM&z&UK?R$|D(FLYtXht1S9o%C{(@FM2wDUr=1Nov3m@BsO*frqI`N(RnN zdDBA2G+A#!*U4p8pJQ>BJ{1kyZ9yuDy&(-t3%UjU+icMoSwK>PJ5>t}^NmFeNtT&C z7J;WAa~h#@ER8qu??2!2-}jmb0s`I_;nUOy7&CEsKo4In2<42jSi3y5ke}wp3RWg- zX1&%=%bb8|+^FpYcD!Sk(T8*CdZmh5nzoC}1?jN7ejp>tc6MAQ3{VQ>{N@=oseQ2+ zf_BO3Wy^pQEG`iEMbh>baPyb-l2}caLxlZ={e(z}BCsYnNzfUO$zfxk%;E51?kpaW zk`C)MlxIzNd_~AEnv+AuF{8>69!U|+pa%^Mq%?$BCvG&oD?W7&GtE-2pmKdAux9g! zhfK77HM!bB)7U3tw}~ejIS#E+|9-2Z>-_BhTy=kxaFW>|^E_5C3C^INGW$?D5+df1 z1k@+HmZ|DFww>xVi4YJYLc{{FFBgPF)KmfV4LLyLCdZTN5n9Zk7m7m04u%u#@>z+X&!TJmut?j)OyE7c+gZ2VXC}cBbzx#j1?F@#>+tp(?U+?LxHC)< z3`5XXL%L*4W+mhd>E&p)s&NGZfpKWuWP>$CBk4=IrHi}HIn58N{nEGiA02v0Q38Er)rSD-g)&DtWM zZR%Kob+Y#DtnPw_mT-Egr8eWCa#3s%eUDx z7o#@UmNJ zRW?}87L-QPNQ2A)RiT1Js1!m4pa>Cq^SGI~0RN2;awQ860L7VMg~i0V@6Gp~xcwOX zlGu`AZDN;D2_s8AK*49J0s}Y*X863`OpFZZ6bEmB)!cE?}cnSZHfd;`6XK zgd73{c7V@=*F@lP?HZ+RLgiZbGo{LPQ`!M4>Z{>ZtR`r>#d^HA@b~3e#H>C4Ut>xk(zC)}- zY^yX)`TNVQ>(q{cTyd!dcc}s@yL8wsvzD{ojTAn@r(+!6VV6c&;jFzdM(*J^hf2+* zp+kJ}9sNU=yqI8mmw% z)o7x9sq9$>D=yDJpz_q`?tC|f%oQEdnyg{o)l2vGpB8nUq@%miVZ3ENS2FuFnnmRx(nEGSSiqD}P0+&nwsUT&;_4^}J;gv(`4$WMa=i*qs z#vG+&+jekk0jQb6b^#nMlVZVJHaJzn%VJsQ)PSC_NXw&O1}vtNFt}<>K>i0vw7wQZ z1xho3&+V0rDdjZfpP-aokO&ae#hfmK5yvVge4T8INEpBbz>HBy&NO7uMAA5J+N^US zVv(d32K+RlPyOr~WxCE46&lW7j(5;2KdBsiew9K2tCO2uYY(3zWQ zfK^haWG1-TXC%rTcp4bg1g|hU2N*@YWK;}FlVT1*(4yZ6av*sbqOMFaCLvsE1h>2v z?rYCvrDR+pfX)(MKoC9vyx1(EzyPc~df9h4L$8_L4o?-3WWn|-yk2JYcC*T0!DEgD zft*_kP#Fiht1F~;5GmC=Z~yW4^Xhrx{1!6%6l20<|=POwT zGgkDZqs$E4Lo)%>AGmB*BFO`$y_6-9=vau7xa1&BX!Hatt$?UT5FLv{z%xX!Y@{N+ zIYIEfmZxLoV!Z>_k)||W2U8p75GC(n2#1rHh>_xG=5ra;n_xP!aj2p%MUU&6kS%xadpTdhJ<0V zu}Jku(zX(=0LiF0yNN8TLm&K!Xlxa>vxoPTQ{g7&YVZFB*-U? z9<;6jbk2l@<9Wn2Pc6-(;A_O>4T?NzG`K>gd0MF%N%|n`l`DO6f$*UER-TB`izq~4 zEtRqxea{Q6Tw@UrdFfuxl#8Yi%Hj+NV{O&CRLP!$NzV7^+1GqRC)~cmZRe2RY+D7P zbt)~Cs&#AdrB@;ebM z+MEP9xmMSXRklZq7>~emuAaVVckZ)DeNLjh4_VxhF8D%L>l z;`NRttWEo%fo7{ zz*}D5m@1SB0HIEHi0laMfrR=DY4Ly`DkB&fCv2iB>*XYvQM7_72eYtriXI|2u<|1^ zurk5DmgKORbw(&Km~ZD)%2MOp|M&t=1N39L9a6ty4226#iZ1MirJt6rA1WFOgDKK?L0aEi>c89@1a7c&Rm?q;w5m?rGBlZX=3@*7t zU&%S`$%3%mN)7`LgQKOJZ~UY06? zGY)BH0{LW;FgVl&uo_6<;^~Yk-Drdy%wn9xEr8&uuT6l<=~)N7f|X3t6r2Qx3X)BL zr+ZK(1tZ}hcfdboLB>v|8&Gkob{o(iU?RPi*`MbIHF@?;d z7XlTU_F2KeL-hke>7$%Wb_hDaw!=Y{5K_+vPjvX?PuqO~jhbRY7OZ5);MuT4!gM6|GHItOb|M;_Sq|l!c z_qNxEM52r|N6aEa<6Z}lq$0Evl+q|ph5Khf?Sd2gIfbocR4WODaHz=8pk2Wkx-ehL z((Vom3y>;xai+mhq?5HFc_CN~HTlyCox>x@!;(QeB2Y#`3_uOUPyx<}TiFb>n+18$ z-R_FZR}_PJAy)M?r>1JU6NHN-^j)kTKkozxVhz^GkBBuq3smJ_@jS$37eYy)a+Qa? zuC2P1ZU-O5ynyy$2ULZsOO4DDJn4YG*q*gJ1EPe~Fch5@OK#P1OM0dEtrYE_(SpZ8 zG&$U=QO63h+u|V%G7#$RtPCF|9U9v!+d%6J!fQ5Z0=j0x%!{O$5W1tZdYFAAfQ4PX z=k*uaC}#wWC8l*|QX(|L)4(LF2*HqkStj%d%aGh8i4Y%SG430Xu{{HEivd$>K#&U> zP>mpYr`r{h2Jos72m%m#6Ufr&VKhS`(h84@MDTCOa@v5xZ9uEjAmahPW3MI? zXBmmB;X&w1azZlMJEY>3yWcPW@%(R3z}+S`;j$%JP6VBzUdD~9K&*vs17)$$^B$Q1 zg${L%!a4{Gv5*G(7olW~V+VL=Fy{qQ?_`#cZjmx?(qy%d1-&0W3-s7Bpe}11Z{R#m z(07nHCu6g)ytqJGhheG;Z5krf8je|Ors~b0;BF+a0AooOHDVz3uud>1L7JD)7m2Dt zOoaqFYu3TS&W&e+yhM@!I+l6FLT&_v9YAtG8aE~v2;fdbkJ9eM<#|SDLFGj1M#XWv zug>%9l+|EE?`~1r!Ru-m@ZSy9iZN3%baI42CcqS-A%`xHB&6Piy;%ZjdN4zJvz{90 zrdTLI8#I1ZQoo)SAbERO6r0QhL1+sbi3QRUm3S(lJGU61#s;vTX0XjVR1Wzq5&SwL znbl-!p#(Yx6S9t!4ZN8nb9D&hK?Fhr0T2R96w=8gTQBp(5>eejZ3nEhiv@G6!FPBy zbED%Jd}x?mEE36-8MGo8f+4>+`0mP-`3^Ya?zSLWisT2YIXO3vV5+<>HCbW%je?*n zVnRe_8BiNRWlu85I>4kZQ;A3@FA5#dFVhCuOBNoMNU1=ofL1W6P2p9gJ2jnil1V0w z6d=)IW}YX8K$Z*gVkUta=;D*x1#Dv-29m8%bZQzI6Bkm2d1yv5nljRRRzLVWSRHGIgbq040Y^k&Cd#$K=J3-BVd7v9LgALKAX` zfouz-R~_Ky8{wHHdd1vm2J|)5Rw$6C>p zWgTOFP+PT_CA_o|X1*>Jk1~lOhOi6_33LI)i8>g&9HiNZ24tjc?5Zefq{qyppfmdv z(rSWi>Nw>5xD$k`hj(Q;C&+ra6lM@@08|4fbHqtG#`0+CpK>z2DW9a@zeBefknu7> zjEWvn<`)TaR6+kk(t%PO(j$4vR)LT*X`nSxJ_n@&aNh`+eahfSj9n3i93gc{6vVWY zgU~zBz+LGueFk@hv&oQVf+pi9*^QFUY`$NgPqyh_SVxP+m(G5bbaC8_b=)!49!ElldbB9W zx3JA&PuoCmC7s4L_Xp_kN}g*Aw|oi}hPmb=IY+qN{DDzhp32y}iaZrH-qVMjWGJ(R zn~h!!p{2O<5qkxsB4dbhmzf5B>2xy3j?#rTMIElB#h`OY*+)fddg?8HchK~R<#F4i zO(jLPx$t^b@}~1W4EwC0v8|>XO?Xka;@;;x+j=8(g&N4@s0HP7>18=s{Szg4%K7=| zBZX{>)L21M$8x!4?AfVnk+G_x=3ibL2v=4p#}t1Kcj+g6mH;REi}QLo@&Oj-`U2hn z$Blhw$i>!Eo`UrmTZ>kX6bt*+7T!HjEml;G%%QOk?NM}P#r*M%hx(6HwV`JO_i~gQ z`ka2^PtV`^^wE3$KwRz%?GaLW&mrnhy$5Z3x8RZq_tv&t@5#`tbS5;F`6u_R(lh=e zmp@%na;fFW*G-0Zjvby_A&16fvotF>u2i8Bis|n_ zxm#^*jpJmd{Tk*sSCT!x!KK{(`fHZU_%@kAU*UVt^yUs79>8a#K@%z^UN)CRnz{3v3J@IHpAr<88tYB0G(=<>W^^=d-oJvLYx$Quay zeI2))88ydDWQ;5OT1B@~XnEdQzw_7bJQQ0wu&!Sew9)Wr)~>Y z%x$V+Vnd}HT<%@oDWU&YdHbfP`seaZ1O0CaC$n0a5yj9}7WhSdhb>-~HZ;=Ll%-Co zBOE>NKc)1jY`mZcbM_oz3d2%fp*O>E52k>+ylq zu@oCqR+;YoGmg>w_e!6led4XOpt67qP+nKHpf4*%7fmoo6m{Vtmw+%}ZCpTBrllw*Iv#k|+<^adL)=5>AC z-;z6M3VwX8*?L!sV{*TBFg>y%+L3v*KK<;!M%!NdBywo;%WXh?tQ;(kB;G4l|9fUj z_uOD*Y4PwW*EY%9O#RJsYR?nW7P2H;VUCC8?&ydzP!k#C+XN1>obvifi-?JayD=qn(&xo(x zdJTT?oWkD&Esm13g5lFMk!F1PYqPH3y3*5%)83>9XCkYM(_Wz?a}g(VdFxlUxlEat z>+N-kH_2Y9?8Lv80^x&Hi|f}lQ`W@$E&Ku1d(hLe=NA52M*55JHD1fCCH=+0%v*Si z72Z8BZnhrGbg$i1ymhep7TU}`KDhN3+QOB8ykCKLROx*+^HyZz=KZdg$gc+jCV20> zG8pjOeUI+*TyA)z+f`{hoi=h>s!S^;;mzr}yga~N)hw4Y0>Rr_rB+OK@Y{ItS=UEf z*FM2lPMp5-lJyB|-LCm-KT0`z`hJIl>;|RpM(q^@V2~zOLM9?pu};=-x@xw=wTh$M zFAJ?6Hra%}oyQO{rtC51T3>H2KZ7Vm=TdHfG=;9iWzyVi8;Yg}3+gKtYN3FpiQx;8 zSi8GZ)F*T|e5*upZ4H|8K)xf_HIc|o4buT~8NCQt1WXx4RcaPyMkxYNiBEi60x2k_ z1xWzXJc_r_+xdm4sf5-zQNoDE6YyJn?lpc?Zj&l*G_EekJ+evvi!$+7Z#qVrfDi}wFCfZ!OfvV<3BR`r;#hgnuTkb|bUBc2n@(5o}oz?7r zs4uJI+H|W0+bWG*P^B_ax&AOWq8YSc+YnQ3#iYtin3wzC;m&EPNlsfPG*BiFXHmj< z+RBKiI>+B24Q5dYmrFvUXgn!+RM!e}Jy$MxftD&5pmUy77Hz)x`Gasb!PmUm6xawSYNT!7KzWy*kXzs7*zO z#d-YbHSPf8zC`vpRgf@YdkYS;uW9eJwX36`RHJ72Gta4ufzCV3rHujL%)eJnz-|)PIYQ_L_7;C4|5oy?2*~GLeNL?=ZK{4A(}z`W;k5iEE1&D~R5wPP zDoxLZsuZc;_;a%2xUtl+?BNlh!l->`qPea34qjyg*2%weOr}TkT9GeQCmkkl}x@ zDbj`FoZn(s_(+8oT;?GsirFr)B1Vs!xs#5QPBC)c_o#P83C!lurF8V3poE$?H0Np& zA(IprC}>NUFS)7Mv;D(7KyyD?Q^>S{F$185YJ_gA6tY($!E#$1!Fe(0YTpHzb18erwB0-Q%`7!vIijYy`rFa%<_^^JP}T#G zS1;crY%(>W5)IWCs`5D=_g&=XP{i3@FFeh3*-YA~uvDQ-u;4RJyXniV{5XStz^(?? z=Nhflwl}yYqQ#1$bhRXAqa*y&==vgQm3K{3*%}UcnDX7>Dt^#Y_sEXAu?t37`HKEF{@ef=+BB8oo}_O zvt3PMB^MN)C^`P!o4@=0t;e_DYkET5W1RPB`Fy#QNjwW8l+#*$fy#aw5jWArxDp4M zwN;#(4Xr@A?69(k);1dP6Er51Ox4%|r-hg3opFWUSAI zK;7RWXrK=54FYMrSxQm{DkcaYP)atnc z;~AK|4`_W^h+#+&k8s(mkgZTe9wY0`%y4&5GJjBV2RY6!IfFhx%}=3nci7xm4mAr- zdk}jC`Y`G4=E6~6vvr_K+MJ}pS5e$ya?{JKi*d`?b|RoH6**^+8WTDJK^tG*bMy0* zZ@cb~#V^`Q=xc7*^!zaDcOMzHOxm(Q$51bS!-qS(da4bH?!K5*tO54$BTm*t<2Sfhg|dF zGgN5q^sD5}wSNic7k{<$N$I()|2(`6Wj6C?sdM&2o>AYdwa(SBv8w1BMF7RV_Li$I zLmb^1r#tBHxvDGDErr^83YdJerJ-y~2^;<#ceu8dO7pcPRw=f8Zm^_nUqe1xZ_*BV zrrcB3xVsj;5Ef|6LIv`<@krZ)un4*QGg2 zh2@$!o1ZRKHf^wU?0q~h=x=t-;q<|`!l%&@TL})&p#UU zoqlv16f#w%B{=p@#%67_^Z+xDO~K#tp3rsX82=UV2!kitB6?CxtjjAt3G!dM<#QjW z1Tx1`mD$PM_RHfpa0@kx(3d_n2jCPrzRb(EbH_Jc&}NT2+`m+oswVB{2z`-9Z@;hx zi6h$UP{Y2Q`LUC`BR;I<`)ntj6%+aJjGLXy{mu}q#_@)4XeH=+y~Wu|u6cMWQ)nim z>CK1zOZ`D8TgJ6wezjV^W>OjzaDO&Vs~Ta1SIN%8gW`zO-njZLTUupPnlOXB**h)F z?bI$b5F8!PDX554YEo+ZCl)d2&8Z+Ou4Ik#`L>Jnc!AC4vOP#WTC@;@FC4pZmC3ro z1hPJ)>{S6e^6Rl-w%)i!wRE<7LXCBvTKk5;_TkE!;f{3{;gBTO=PNlMdQ;MB?@vvC zS=PH~P73mO8po7w>Mf>|hE8$f-ja6(_RoV$$1ZoI0xS9*GV%_20r&D&s_;RT+n~P4 z2Qo@K`O;1a9pjA(xRY;5nZi>1X7(xGn?Ba5NZ0JlZBprB zGgCaSF{*=cYBwiy5gKB1G&?#65({j1YPIxVPx}#H#jV>z*Kf9Xb3CLs zN67L91z;t86)c|&O;1N=DvG7eX^c0>tAVUb#gIpZArY^ErfRMt+013YN`xye2oV4g2Kkjt0TY@I2{eh^Mv;2i6 zKY#x7cOJcWw-36Ep~d3jA)V04gCL2$MuNvT2}9;Sfg@{pn;9etZ(u?k1-0qL5RYCj$spHR=nUG%! zRUmNwnHr!ClH;8$#Bknh7o(L6QAo5ARNo1ZBhp8okJ1BwXB|k1Jiy~%)#Lc{z zT#P!U2YJVf0!~G}*x{zwD&8H!IaU|{qN5&V(D1Mv68(MtThII~#q;9*q2PD?`Fd&3 zSdsW;#ras)<)V?AC*J4l7)@%?z6hG%{}j(VFHs-w5@K0dG1KrGSi#5r)!8MQZ|sAP z=A~*-nlC#}f6Um68xJ$Fmz8(rb!()bZhb&YlSVWA-Gd!u&2+OCD;VtF)ydJrGvc{v z67YL-Q~%i}e@V)(*H80vIp1fTurm8t?=4jSu{BM-=Zl^MQ z<=IHy{J5!Yv{CxG(v*dnv)cG;O~aBp-TyarAO2n2g50O7-a<3zj!-53Tg{BUuYiwd z{k8byc*mbsl|8gEuyHz?qLxON53F=&p_@z<8}G}84AC3o9qrj_dPjC!wkx~+5n-NM zv7<}*w0AtCuyr!lc1IIdi>aek{u*tjt^YgzF7DIX2heNWK)GjJEn=RXZha{9*#Ofc zGSi;EsZM^H?;Cjac-jRuuctRB!y`78Sgf0RGI+>z_-~RuQcX&0MH>C=)bKCZMRY2^ z^Sz2T=2%BYUGDqQo`bb^XS?^gti58IaKkB{J@9$^x6bx1+V{_KTx*HBv3*SQ@tE3XNMK(usvz<9hJ zy?)JJhg~Pzl&8=)Nf_n+jmTE=Ls-3BK#zQ{_nqCR2QH}1;!{%&Iznpn;B{j6w*(=V(px1ns; zS2ferHDQ2TU)irtGjR=0ksc{xTASux&C;d@LUX7a1s@w1K42&MYT2Xw1#zm2-t*s6 zr=(pa#k@DWxJVdb`hxbWAkT8i?)0{zVN(lA5^}#$bt#`z+ELv8`_JgDo>Po(`TkQ(-Hvx>8Ry0Q zTzWG%k5fIMfiY3?7d!qEW)<%L_6NT2eUxRl(A4m|*T(X$jrj{JO^shzOpP;WS~yF` z{Lo)=jQPC1v}B!=JFufB)t4!J{Ok<+xaCu(?})z+b;ZBe(o=kDQN^0_(!7~yPP;Xl zqw$|H@1dS%t*_(d22j~~mTzsGFV1hzX^+9dwbAA3@f|T{j_gKl)p4efThCY6RtIfm zVz-v=ASglV7%v7TJ!SMB^~$3aaK2U^InPu8%3to9u_&9(H0 z*^rZIab9kR9yGy6%Rc|%nZduO{H*uBIs9pKe4C3J4&U*-6fNIwkW z`yj}RlxQII(jJ_Z>Vnoopur-V!o%S>-t@BXdtv1a_IxD9`YkP5KWMdNRN@{9?!}X? z`g?;52bHNfV3T*=414;I13D)L4J-q8O*K~^RKqX;q zYnN*tZ$mt2!_e9&3V3dzS}q@!={WE)UGV3YSV1?Y@Z}Z2R4fF#;2{uw`M7>gE2io= z6ze}5o_DRV{s^Z-u&_E`D&M;2YfN2`pVL-SJr--L^oDi^-#flVgRj@LW-DBcsf$|A zf@C2ODzzVi{^D}(l(iDh%eSCS852Lq++ay4zko8#Av^<=)GTXhr7PQ7OD(@E{_?fV z$3fs_TUc|yq!m_e;Esg@T8kwfK1zL8tK+2^OWEVr_Q4jd1vGfp)>+_>$w!s2z)5gx z+8Ha@hF*}U=JK&Ooy!f!q`7DbMJL28-vF7KZE(}enJwX0kvA>lkf%kPrv9enAZtqI zw)>qw6M>wWIA;}l2EndXYNyd@szR$rpt|GofdWBVgUoPv1ONetnSe+9 zT%y}i3*UcCQJJv%U7lYqIaU|Zv#!nBP@2?&_Bn7(2E}0{p}405hr3XW33tFcix+$CPEQLO<|MSK zFE85n&lXAXXtd2D3C>7;<}XjL`grf{rP%R_Pe&aKl~iWH(uo9%mOGI@~f$dhfJS+RPs&EvEiiFNR|cHfF8!MyHxY?i6p_cGYl^5^pG>sREBqGvG}*NY`L?C8tuT8S_F zBYF;X9tVl63+=Pvx~@k5zjjf3-!e7Mfq6Yk-z)`H@9S<5?19Kmx;ef^`}}vpU` zEjymd#yO|xXwD#gn*j?8mKH25760vOwI%hNWXrx563i&r*^afJF&n_ncEy-@-*bHa z%m2>hx351M18e(Vd1$m07D#7~6SR28*YbUD@)fD^fy89zK+sv7MgV5QTo=pscNRF zI&0?z@xUQ(`l%z$AN=9e1C|qs{w0V9kFF6Wet-L!i~M}S`8e~*(Kz#03i9QxkTYcd z{0DLFqzQjx-9F|E&lV^xTzJ_H?#;mXJ6vH_iK(w^)jf7^HSa$sV{pzz9 zs1_&mth1DYPK{q|p1<`(lVI{1UQR$?veOZ2PKx??{2FBq117-?C8yF0g&Pu*Qr z81LHQeC#3Tvz0U8_RO5TCd?h|W)HJ5yB#vOsE4ne(m;9ek(HSTmAQcxT6*Je^1Gci zsP6-|E0z^6s%3;)KGCJp?2n91>x=mVC_W7y5`UG6qaN^pHtZIvSM0(MRjO3}T;IbQ z#N5oWwye+A?a-i0hc7)wenVR=e6tlCp)+-bw?6*wx!{lQcj%=uld|;2MSkv~vCg7~ z|3d!-R&-dCTD6%SO(l|BoJX6O`Hfr1AU#a=UC0`^Fb=+INqcEZ`$?Fr;x)d7fyvs} z7Mcq=F7+=@&!l0hiV)j_4YqYnxi>^Q$U0~33zbDfsU0KJYrby(iAlUX496qTP``+uVV_*LEh4$8W?N4Xa%wICKKa28y zPB!JJZZN2I4>9nP#sKZAuZsEiHtBCLMN8T@?gH=B`0-Od=*2ipP<4)}DrmhwK{xJ6 z-A6_<)d$zg`MzLgoaror{+ssWl7`a$^ivs1X}SGMbF4lFjv=UjciACo zuVco<*)w#)k2Xo}92%Rt^d+t)KD#c=1@urT6Z29T8f#Ck09Pti~K01b~~p1|IG_qllxqh=x$I z)WP$IZ7T=OK4br35^A`r%p7-&KOdL+FsLt3ljk-1u<##Ei|7+0%59BxWwM@{31))L z7+N~+=!3Ey8fRS;>93vOYU-B`XeHxhsIQZT7$K;j3N2*D#?>Sq9sRCpRKjiiT8QmX%4i z`a`2sr0d_{--3JOffIuVkz*NFX68v;;1GRP|WJ~T= zmI3$n*?<0{|H+g$*4{tLi%}zBi-~1XZbFqY)ZjgxVM0(BdVvj;2`E{v>4#x%gnNKL zQD)pDN@7WZjI{_eytz+fF?L`)q%qkXZyfD3$ZjJRXM+3><;&ubc|bBxYf$qJ$;s#K zQly_h!9ieyCH4xtOw;o`hZwU?j%H|MC;IEvRZ!60svhQ&ajqBwogiRbVBCf9Sra?P zwo6>uqvHU$PVsw|3Or{X=K756`12$u@fs1j%nfwYz>tv4%XmG+x1r>aBPJ4y)V@sa zL5UiuQIoCSCcdm39^>wVXA+#HM9>UD4xa2YHk$7o>iL!D!6%---y#Nh>Z1%iN@y~| z09b2AN1F8xcrds?!X5$&^-wlXw0`0zy%_{7AlF4KL?V;uqB!G#4f`wnmWaVzh0d)qpMYIM-pkD#Q3j7DGGZTvy*g+)A@In#4 zIrX;}e*Cw+iCsy`=#z9^3Zw*_HpILqS;M?)O^_f)s*qcmj?vujh zb=Wht_wS$(e`|Lg$KP!DF#OlS)3?KCtktvKCGc{(#1)uz*10^xXaBx?!>OLl;X8qz z!P5s_@rGaj+fDjRCZKfSWp3~*FTH^_6^3<%uUw-R+87>-KAiTf$Gtl5UJ?r{L_)Xhj>^t$z$4(vGyXRZ3 zhWXtffHYqX{W0J0J1Tsq;dgW-9)4x@;6W+A`P|@hd#9p@Ja8O)<{P(pj(@!36YIR^ zcWHwMCvP@v>F=@bJ>)sK*Z)DSXLJy1KA7&vxhLjMJvw{H zad!+60GXMUs=Pa`bhCe6OxKFFbj=d-JuW50cxHLK*Cf`$uymm+v^9 zl`7Ew=qyuNe}t)|d!i6-#oVp9{#8`J(zFgAi24$`Jnl}--8~2%6{sL~n)F~@I zz`dIXYNc^ccNjcc#oAioekqy{5$22PRF!XyYjUMl9EK<0%;QSBv$GW>fTEYx;cz`@ z)Ig;6%a!1tf#B)2@)+}SJNy7DJ)shyUleB6QrX(dzN2v5;_i;FDD0ldIT-n(Kw2*i3`1bX(sBTf)3N`>Gyheihh-m3iwt+7ngzVEv7`3*QX zI;`DsrAFhM(}Y$R94$uea19KKMU|igE7VyMf<4xj##SF3ECCL;c+Bc4gv{=|R7E$x z29YuS5;&H{oJoUBK$_|^!I2s~@2alffW8BsBh+sFaFtef?67Zzlvg-W(jHCZyuQYK zVBz~J>23>r65`jG3ZLF}9MA87GK7ZgAYvrl6*AIFzVh&w(vR@yr-0GuCw@B9AFdp-cJ-4t3s_h3Fnn6TcAxBq+V3f$xRrojT}aJ~jQ!Qkb? z3G1S(MDT)EJrjU027gQ)7b&p|$3_Xksy73XHEQ8#(c>{x7B$Ew347-^zzc387p5G* zee(nlHc?myf(r{pW)n7XBsAZJnO0VHRWo!>Ait5rOO0TznPkutpg1tM3|