Skip to content

Commit cbd8a65

Browse files
authored
Merge pull request #137 from os-fpga/fail_sim_1.6.0
Fail sim 1.6.0
2 parents a6a73f9 + b6d6fb9 commit cbd8a65

File tree

14 files changed

+6434
-412
lines changed

14 files changed

+6434
-412
lines changed

sim_models/tb/FIFO18KX2_tb.v

Lines changed: 2565 additions & 157 deletions
Large diffs are not rendered by default.

sim_models/verilog/CLK_BUF.v

Lines changed: 9 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -14,11 +14,15 @@ module CLK_BUF (
1414

1515
assign O = I ;
1616

17-
`ifndef SYNTHESIS
18-
specify
19-
(I => O) = (0, 0);
20-
endspecify
21-
`endif // `ifndef SYNTHESIS
17+
`ifndef SYNTHESIS
18+
`ifdef TIMED_SIM
19+
specparam T1 = 0.5;
20+
specify
21+
(I => O) = (T1);
22+
endspecify
23+
24+
`endif // `ifdef TIMED_SIM
25+
`endif // `ifndef SYNTHESIS
2226

2327

2428

sim_models/verilog/DLY_SEL_DECODER.v

Lines changed: 88 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -34,7 +34,6 @@ module DLY_SEL_DECODER (
3434
output reg [2:0] DLY19_CNTRL // Output Bus
3535
);
3636

37-
3837
always @(*)
3938
begin
4039
DLY0_CNTRL = 3'b000;
@@ -85,5 +84,93 @@ begin
8584
end
8685

8786

87+
88+
`ifndef SYNTHESIS
89+
`ifdef TIMED_SIM
90+
specparam T1 = 0.4;
91+
92+
specify
93+
if (DLY_ADDR == 5'd0)
94+
(DLY_LOAD => DLY0_CNTRL) = T1;
95+
(DLY_ADJ => DLY0_CNTRL) = T1;
96+
(DLY_INCDEC => DLY0_CNTRL) = T1;
97+
if (DLY_ADDR == 5'd1)
98+
(DLY_LOAD => DLY1_CNTRL) = T1;
99+
(DLY_ADJ => DLY1_CNTRL) = T1;
100+
(DLY_INCDEC => DLY1_CNTRL) = T1;
101+
if (DLY_ADDR == 5'd2)
102+
(DLY_LOAD => DLY2_CNTRL) = T1;
103+
(DLY_ADJ => DLY2_CNTRL) = T1;
104+
(DLY_INCDEC => DLY2_CNTRL) = T1;
105+
if (DLY_ADDR == 5'd3)
106+
(DLY_LOAD => DLY3_CNTRL) = T1;
107+
(DLY_ADJ => DLY3_CNTRL) = T1;
108+
(DLY_INCDEC => DLY3_CNTRL) = T1;
109+
if (DLY_ADDR == 5'd4)
110+
(DLY_LOAD => DLY4_CNTRL) = T1;
111+
(DLY_ADJ => DLY4_CNTRL) = T1;
112+
(DLY_INCDEC => DLY4_CNTRL) = T1;
113+
if (DLY_ADDR == 5'd5)
114+
(DLY_LOAD => DLY5_CNTRL) = T1;
115+
(DLY_ADJ => DLY5_CNTRL) = T1;
116+
(DLY_INCDEC => DLY5_CNTRL) = T1;
117+
if (DLY_ADDR == 5'd6)
118+
(DLY_LOAD => DLY6_CNTRL) = T1;
119+
(DLY_ADJ => DLY6_CNTRL) = T1;
120+
(DLY_INCDEC => DLY6_CNTRL) = T1;
121+
if (DLY_ADDR == 5'd7)
122+
(DLY_LOAD => DLY7_CNTRL) = T1;
123+
(DLY_ADJ => DLY7_CNTRL) = T1;
124+
(DLY_INCDEC => DLY7_CNTRL) = T1;
125+
if (DLY_ADDR == 5'd8)
126+
(DLY_LOAD => DLY8_CNTRL) = T1;
127+
(DLY_ADJ => DLY8_CNTRL) = T1;
128+
(DLY_INCDEC => DLY8_CNTRL) = T1;
129+
if (DLY_ADDR == 5'd9)
130+
(DLY_LOAD => DLY9_CNTRL) = T1;
131+
(DLY_ADJ => DLY9_CNTRL) = T1;
132+
(DLY_INCDEC => DLY9_CNTRL) = T1;
133+
if (DLY_ADDR == 5'd10)
134+
(DLY_LOAD => DLY10_CNTRL) = T1;
135+
(DLY_ADJ => DLY10_CNTRL) = T1;
136+
(DLY_INCDEC => DLY10_CNTRL) = T1;
137+
if (DLY_ADDR == 5'd12)
138+
(DLY_LOAD => DLY12_CNTRL) = T1;
139+
(DLY_ADJ => DLY12_CNTRL) = T1;
140+
(DLY_INCDEC => DLY12_CNTRL) = T1;
141+
if (DLY_ADDR == 5'd13)
142+
(DLY_LOAD => DLY13_CNTRL) = T1;
143+
(DLY_ADJ => DLY13_CNTRL) = T1;
144+
(DLY_INCDEC => DLY13_CNTRL) = T1;
145+
if (DLY_ADDR == 5'd14)
146+
(DLY_LOAD => DLY14_CNTRL) = T1;
147+
(DLY_ADJ => DLY14_CNTRL) = T1;
148+
(DLY_INCDEC => DLY14_CNTRL) = T1;
149+
if (DLY_ADDR == 5'd15)
150+
(DLY_LOAD => DLY15_CNTRL) = T1;
151+
(DLY_ADJ => DLY15_CNTRL) = T1;
152+
(DLY_INCDEC => DLY15_CNTRL) = T1;
153+
if (DLY_ADDR == 5'd16)
154+
(DLY_LOAD => DLY16_CNTRL) = T1;
155+
(DLY_ADJ => DLY16_CNTRL) = T1;
156+
(DLY_INCDEC => DLY16_CNTRL) = T1;
157+
if (DLY_ADDR == 5'd17)
158+
(DLY_LOAD => DLY17_CNTRL) = T1;
159+
(DLY_ADJ => DLY17_CNTRL) = T1;
160+
(DLY_INCDEC => DLY17_CNTRL) = T1;
161+
if (DLY_ADDR == 5'd18)
162+
(DLY_LOAD => DLY18_CNTRL) = T1;
163+
(DLY_ADJ => DLY18_CNTRL) = T1;
164+
(DLY_INCDEC => DLY18_CNTRL) = T1;
165+
if (DLY_ADDR == 5'd19)
166+
(DLY_LOAD => DLY19_CNTRL) = T1;
167+
(DLY_ADJ => DLY19_CNTRL) = T1;
168+
(DLY_INCDEC => DLY19_CNTRL) = T1;
169+
170+
endspecify
171+
172+
`endif // `ifdef TIMED_SIM
173+
`endif // `ifndef SYNTHESIS
174+
88175
endmodule
89176
`endcelldefine

sim_models/verilog/FCLK_BUF.v

Lines changed: 9 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -14,12 +14,16 @@ module FCLK_BUF (
1414

1515
assign O = I ;
1616

17-
`ifndef SYNTHESIS
17+
`ifndef SYNTHESIS
18+
`ifdef TIMED_SIM
19+
20+
specparam T1 = 0.3;
1821
specify
19-
(I => O) = (0, 0);
22+
(I => O) = T1;
2023
endspecify
21-
`endif // `ifndef SYNTHESIS
22-
23-
24+
25+
`endif // `ifdef TIMED_SIM
26+
`endif // `ifndef SYNTHESIS
27+
2428
endmodule
2529
`endcelldefine

0 commit comments

Comments
 (0)