@@ -34,7 +34,6 @@ module DLY_SEL_DECODER (
3434 output reg [2 :0 ] DLY19_CNTRL // Output Bus
3535);
3636
37-
3837always @(* )
3938begin
4039 DLY0_CNTRL = 3'b000 ;
8584end
8685
8786
87+
88+ `ifndef SYNTHESIS
89+ `ifdef TIMED_SIM
90+ specparam T1 = 0 .4 ;
91+
92+ specify
93+ if (DLY_ADDR == 5'd0 )
94+ (DLY_LOAD => DLY0_CNTRL) = T1;
95+ (DLY_ADJ => DLY0_CNTRL) = T1;
96+ (DLY_INCDEC => DLY0_CNTRL) = T1;
97+ if (DLY_ADDR == 5'd1 )
98+ (DLY_LOAD => DLY1_CNTRL) = T1;
99+ (DLY_ADJ => DLY1_CNTRL) = T1;
100+ (DLY_INCDEC => DLY1_CNTRL) = T1;
101+ if (DLY_ADDR == 5'd2 )
102+ (DLY_LOAD => DLY2_CNTRL) = T1;
103+ (DLY_ADJ => DLY2_CNTRL) = T1;
104+ (DLY_INCDEC => DLY2_CNTRL) = T1;
105+ if (DLY_ADDR == 5'd3 )
106+ (DLY_LOAD => DLY3_CNTRL) = T1;
107+ (DLY_ADJ => DLY3_CNTRL) = T1;
108+ (DLY_INCDEC => DLY3_CNTRL) = T1;
109+ if (DLY_ADDR == 5'd4 )
110+ (DLY_LOAD => DLY4_CNTRL) = T1;
111+ (DLY_ADJ => DLY4_CNTRL) = T1;
112+ (DLY_INCDEC => DLY4_CNTRL) = T1;
113+ if (DLY_ADDR == 5'd5 )
114+ (DLY_LOAD => DLY5_CNTRL) = T1;
115+ (DLY_ADJ => DLY5_CNTRL) = T1;
116+ (DLY_INCDEC => DLY5_CNTRL) = T1;
117+ if (DLY_ADDR == 5'd6 )
118+ (DLY_LOAD => DLY6_CNTRL) = T1;
119+ (DLY_ADJ => DLY6_CNTRL) = T1;
120+ (DLY_INCDEC => DLY6_CNTRL) = T1;
121+ if (DLY_ADDR == 5'd7 )
122+ (DLY_LOAD => DLY7_CNTRL) = T1;
123+ (DLY_ADJ => DLY7_CNTRL) = T1;
124+ (DLY_INCDEC => DLY7_CNTRL) = T1;
125+ if (DLY_ADDR == 5'd8 )
126+ (DLY_LOAD => DLY8_CNTRL) = T1;
127+ (DLY_ADJ => DLY8_CNTRL) = T1;
128+ (DLY_INCDEC => DLY8_CNTRL) = T1;
129+ if (DLY_ADDR == 5'd9 )
130+ (DLY_LOAD => DLY9_CNTRL) = T1;
131+ (DLY_ADJ => DLY9_CNTRL) = T1;
132+ (DLY_INCDEC => DLY9_CNTRL) = T1;
133+ if (DLY_ADDR == 5'd10 )
134+ (DLY_LOAD => DLY10_CNTRL) = T1;
135+ (DLY_ADJ => DLY10_CNTRL) = T1;
136+ (DLY_INCDEC => DLY10_CNTRL) = T1;
137+ if (DLY_ADDR == 5'd12 )
138+ (DLY_LOAD => DLY12_CNTRL) = T1;
139+ (DLY_ADJ => DLY12_CNTRL) = T1;
140+ (DLY_INCDEC => DLY12_CNTRL) = T1;
141+ if (DLY_ADDR == 5'd13 )
142+ (DLY_LOAD => DLY13_CNTRL) = T1;
143+ (DLY_ADJ => DLY13_CNTRL) = T1;
144+ (DLY_INCDEC => DLY13_CNTRL) = T1;
145+ if (DLY_ADDR == 5'd14 )
146+ (DLY_LOAD => DLY14_CNTRL) = T1;
147+ (DLY_ADJ => DLY14_CNTRL) = T1;
148+ (DLY_INCDEC => DLY14_CNTRL) = T1;
149+ if (DLY_ADDR == 5'd15 )
150+ (DLY_LOAD => DLY15_CNTRL) = T1;
151+ (DLY_ADJ => DLY15_CNTRL) = T1;
152+ (DLY_INCDEC => DLY15_CNTRL) = T1;
153+ if (DLY_ADDR == 5'd16 )
154+ (DLY_LOAD => DLY16_CNTRL) = T1;
155+ (DLY_ADJ => DLY16_CNTRL) = T1;
156+ (DLY_INCDEC => DLY16_CNTRL) = T1;
157+ if (DLY_ADDR == 5'd17 )
158+ (DLY_LOAD => DLY17_CNTRL) = T1;
159+ (DLY_ADJ => DLY17_CNTRL) = T1;
160+ (DLY_INCDEC => DLY17_CNTRL) = T1;
161+ if (DLY_ADDR == 5'd18 )
162+ (DLY_LOAD => DLY18_CNTRL) = T1;
163+ (DLY_ADJ => DLY18_CNTRL) = T1;
164+ (DLY_INCDEC => DLY18_CNTRL) = T1;
165+ if (DLY_ADDR == 5'd19 )
166+ (DLY_LOAD => DLY19_CNTRL) = T1;
167+ (DLY_ADJ => DLY19_CNTRL) = T1;
168+ (DLY_INCDEC => DLY19_CNTRL) = T1;
169+
170+ endspecify
171+
172+ `endif // `ifdef TIMED_SIM
173+ `endif // `ifndef SYNTHESIS
174+
88175endmodule
89176`endcelldefine
0 commit comments